CN101903985A - 利用单相和双相介质去除颗粒的材料 - Google Patents

利用单相和双相介质去除颗粒的材料 Download PDF

Info

Publication number
CN101903985A
CN101903985A CN2008801221803A CN200880122180A CN101903985A CN 101903985 A CN101903985 A CN 101903985A CN 2008801221803 A CN2008801221803 A CN 2008801221803A CN 200880122180 A CN200880122180 A CN 200880122180A CN 101903985 A CN101903985 A CN 101903985A
Authority
CN
China
Prior art keywords
cleaning material
substrate
polymer
cleaning
patterning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2008801221803A
Other languages
English (en)
Other versions
CN101903985B (zh
Inventor
大卫·S·L·穆伊
萨蒂什·斯里尼瓦桑
格兰特·彭
朱吉
孔世钟
德拉甘·波德莱斯尼克
阿尔琼·门迪拉塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101903985A publication Critical patent/CN101903985A/zh
Application granted granted Critical
Publication of CN101903985B publication Critical patent/CN101903985B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3723Polyamines or polyalkyleneimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3757(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions
    • C11D3/3765(Co)polymerised carboxylic acids, -anhydrides, -esters in solid and liquid compositions in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3773(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)

Abstract

本发明的实施例提供改进的材料,用以清洁具有精细特征的图案化的基片。该清洁材料的优点在于清洁具有精细特征的图案化的基片而基本上不损伤该特征。该清洁材料是流体,或者是液相,或着液/气相,并在器件特征附近变形;所以,该清洁材料基本上不损伤该器件特征或降低总共的损伤。包含由大分子量的聚合化合物组成的聚合物的该清洁材料捕获该基片上的污染物。另外,该清洁材料截留该污染物和不会将该污染物返回到该基片表面上。一种或多种大分子量的聚合化合物组成的该聚合物形成长聚合物链,其还可以交联以形成网状物(或聚合网状物)。该长聚合物链和/或聚合物网状物相比传统的清洁材料表现出更好的捕获和截留污染物能力。

Description

利用单相和双相介质去除颗粒的材料
背景技术
在半导体器件(如集成电路、存储单元等)制造中,执行一系列制造步骤以在半导体晶片(“晶片”)上形成特征。该晶片(或基片)包括硅基片上形成的多层结构形式的集成电路器件。在基片层,形成具有扩散区的晶体管器件。在后面的层中,互连金属线图案化并电气连接到该晶体管器件以形成所需的集成电路器件。并且,图案化的导电层通过电介质材料与其他导电层绝缘。
在这一系列制造步骤中,该晶片表面暴露于各种不同的污染物。基本上制造步骤出现的任何材料都是潜在的污染源。例如,污染物源可包括,尤其是,工艺气体、化学制剂、沉积材料和液体。多种不同的污染物会以颗粒形态沉积在该晶片表面上。如果该颗粒污染物没有去除,污染物附近的器件很可能不可用。因此,该晶片表面必须以基本上完全的方式从该晶片表面清洁污染物而不损伤形成在该晶片上的特征。然而,颗粒污染物的大小往往与在该晶片上制造的特征的关键尺寸在一个数量级。去除如此小的颗粒污染物而不会不利地影响该晶片上的特征十分困难。
传统的晶片清洁方法极大地依赖于机械力以从该晶片表面去除颗粒污染物。随着特征尺寸持续降低并且变得更加脆弱,由于该晶片表面上施加机械力所导致的特征损伤的可能性也增加。例如,具有高纵横比的特征当受到足够的机械力的冲击时容易倒塌或破碎。使该清洁问题更复杂的是,降低特征尺寸的趋势还使得颗粒污染物的大小降低。足够小的颗粒污染物会进入该晶片表面上难以到达的区域,如被高纵横比特征围绕的沟槽中。因此,现代半导体制造过程中污染的有效以及非损伤去除是晶片清洁技术的持续发展中所要遇到的持续挑战。应当认识到平面显示器的制造步骤遇到与上面讨论的集成电路制造相同的缺陷。
鉴于前面所述,需要清洁图案化的晶片的设备和方法,其有效去除污染物并且不会损伤该图案化的晶片上的特征。
发明内容
一般说来,本发明的实施例提供用于清洁晶片表面的改进的材料、设备和方法,尤其是图案化的晶片(或基片)的表面。上面讨论的清洁材料、设备和方法优点在于可清洁具有精细特征的图案化的基片而基本上不损伤该特征。该清洁材料是流体,或者液相,或者液/气双相,并在器件特征附近变形;所以,该清洁材料基本上不损伤该器件特征或降低总共的损伤。该清洁材料(包含一种或多种大分子量的聚合化合物的聚合物)捕获该基片上的污染物。对于由一种单体形成的聚合物,该聚合物包含一种聚合化合物。对于由多种单体形成的聚合物,如共聚物或聚合物的混合物,该聚合物包含多于一种聚合化合物。另外,该清洁材料截留该污染物,并且不会将该污染物返回到该基片表面上。
该由大分子量的聚合化合物组成的聚合物形成长聚合物链,其还可以交联以形成网状物(或聚合网状物)。不大量交联或几乎不交联的该聚合物的聚合物链的长度可通过将该聚合物的分子量除以该单体物质的分子量估算(长度~(聚合物分子量)/(单体重量))。该长聚合物链和/或聚合物网状物相比传统的清洁材料表现出更好的捕获和截留污染物能力。结果,包含这种聚合物的清洁材料(流体形态)表现出出色的颗粒去除性能。然后将所捕获或截留的污染物从该基片表面去除。
如上所讨论的,该聚合物可以交联。然而,交联的程度受到相对限制以避免使该聚合物过硬或过于刚性,这会阻止该聚合物可溶于溶剂并在该基片表面上器件特征附近变形。
应当认识到本发明可以许多方式实现,包括系统、方法和室。下面描述本发明的多个创新性实施例。
在一个实施例中,提供一种施加到用于形成集成电路器件的图案化基片的表面上以从该表面去除污染物的清洁材料。该清洁材料包含溶剂,以及缓冲剂以改变该清洁材料的酸碱度(pH)值。该缓冲剂和该溶剂形成清洁溶液。该清洁材料还包含由分子量大于10000g/mol的聚合化合物组成的聚合物。该聚合物变得可溶于该清洁溶液以形成该清洁材料。该可溶化的聚合物具有长聚合物链以捕获和截留来自该用于形成集成电路器件的图案化基片的表面的至少一些污染物。该清洁材料限定为液相。当力施加于覆盖该图案化的基片的该清洁材料时,该清洁材料在该图案化的基片的表面上器件特征附近变形。该清洁材料是施加于该图案化的基片的表面以从该表面去除污染物而基本上不损伤该表面上的器件特征。在该清洁材料施加于该图案化的基片的表面之前,该清洁材料基本上不含研磨颗粒。
在另一实施例中,提供一种施加到用于形成集成电路器件的图案化基片的表面上以从该表面去除污染物的清洁材料。该清洁材料包含溶剂以及聚合物,该聚合物具有足够大的分子量以当该聚合物变成可溶于该溶剂时形成聚合物链和凝胶形态的聚合网状物。该溶剂和该可溶化的聚合物形成该清洁材料。该清洁材料在施加于该图案化的基片的表面之前具有小于1十亿分之一(ppb)的金属污染物。具有该聚合物链和聚合网状物的聚合物捕获和截留来自该用于形成集成电路器件的图案化基片的表面的污染物。其中当力施加到覆盖该图案化的基片的表面的该清洁材料时,该清洁材料在该图案化的基片的表面上器件特征附近变形。该清洁材料施加在该基片表面以从该表面去除污染物而基本上不损伤该表面上的器件特征。在该清洁材料施加于该图案化的基片的表面之前,该清洁材料基本上不含研磨颗粒。
在另一实施例中,提供一种施加到用于形成集成电路器件的图案化基片的表面上以从该表面去除污染物的清洁材料。该清洁材料包含溶剂,以及缓冲剂以改变该清洁材料的酸碱度(pH)值。该缓冲剂和该溶剂形成清洁溶液。该清洁材料还包含由分子量大于或等于500000g/mol的聚丙烯酰胺(PAM)组成的聚合物。该聚合物变得可溶于该清洁溶液以形成该清洁材料。在施加于该图案化的基片的表面之前,该清洁材料具有小于1ppb的金属污染物,以及pH值在大约7和大约12之间。
该可溶化的聚合物具有长聚合物链以捕获和截留来自该用于形成集成电路器件的图案化基片的表面的至少一些污染物。该清洁材料限定为液相。当力施加于覆盖该图案化的基片的该清洁材料时,该清洁材料在该图案化的基片的表面上器件特征附近变形。该清洁材料是施加于该图案化的基片的表面以从该表面去除污染物而基本上不损伤该表面上的器件特征。在该清洁材料施加于该图案化的基片的表面之前,该清洁材料基本上不含研磨颗粒。
在又一实施例中,提供一种施加到用于形成集成电路器件的图案化基片的表面上以从该表面去除污染物的清洁材料。该清洁材料包含溶剂,以及缓冲剂,以改变该清洁材料的酸碱度(pH)值,其中该缓冲剂和该溶剂形成清洁溶液。该清洁材料还包含由分子量大于或等于500000g/mol的Carbopol 940TM组成的聚合物。该聚合物变得可溶于该清洁溶液以形成该清洁材料。在施加于该图案化的基片的表面之前,该清洁材料具有小于1ppb的金属污染物。
该可溶化的聚合物具有长聚合物链以捕获和截留来自该用于形成集成电路器件的图案化基片的表面的至少一些污染物。该清洁材料限定为液相。当力施加于覆盖该图案化的基片的该清洁材料时,该清洁材料在该图案化的基片的表面上器件特征附近变形。该清洁材料是施加于该图案化的基片的表面以从该表面去除污染物而基本上不损伤该表面上的器件特征。在该清洁材料施加于该图案化的基片的表面之前,该清洁材料基本上不含研磨颗粒。
附图说明
通过下面结合附图的详细描述,将容易理解本发明,类似的参考标号指出相似的结构元件。
图1示出参照本发明一个实施例,基片上的缺陷和器件特征。
图2示出参照本发明一个实施例,三条与在图案化的基片上施加清洁材料有关的响应曲线的图表。
图2B示出三条与在图案化的基片上施加清洁材料有关的响应曲线的图表。
图2C示出参照本发明一个实施例,三条不同技术节点的损伤曲线和清洁材料力强度曲线的图表。
图3A示出参照本发明一个实施例,包含由大分子量的聚合化合物组成的溶解在该清洁溶液中的聚合物的清洁材料。
图3B示出参照本发明一个实施例,截留污染物的图3A的清洁材料。
图3C示出参照本发明一个实施例,图3A的该清洁材料分散在图案化的晶片上以将污染物从该基片表面清除。
图3D示出参照本发明一个实施例,图3A的该清洁材料分散在图案化的晶片上以将污染物从该基片表面清除。
图3E示出参照本发明一个实施例,图3A的该清洁材料分散在带有沟槽和过孔的图案化的晶片上以将污染物从该基片表面清除。
图3F示出参照本发明一个实施例,带有乳化在该清洁溶液中的类凝胶聚合物滴的清洁材料。
图3G示出参照本发明一个实施例,带有悬浮在该清洁溶液中的类凝胶聚合物团的清洁材料。
图3H示出参照本发明一个实施例的泡沫清洁材料。
图4A示出参照本发明一个实施例,用于清洁基片的系统的俯视图的简化示意图。
图4B示出参照本发明一个实施例,图4A的清洁头的仰视图。
图4C示出参照本发明一个实施例,该清洁头的侧视图,其将清洁材料组成的清洁体分散在基片表面上、该清洁头下方。
图4D示出参照本发明一个实施例,在基片上方的清洁头的剖视图。
图4E示出参照本发明一个实施例的基片清洁系统。
图4F示出参照本发明一个实施例的清洁设备,其使用该清洁材料包含由大分子量的聚合化合物组成的聚合物以清洁基片和冲洗设备冲洗掉该清洁材料。
图4G示出参照本发明一个实施例的清洁和冲洗设备,其使用包含由大分子量的聚合化合物组成的聚合物的清洁材料以清洁基片。
图4H示出参照本发明一个实施例的清洁系统。
图4I示出参照本发明一个实施例,用于清洁基片的系统的俯视图的简化示意图。
图4J示出参照本发明一个实施例,图4I的该清洁头和该冲洗头的仰视图。
图4K示出参照本发明一个实施例,用于清洁材料制备的系统。
图5A示出参照本发明一个实施例,聚丙烯酸(PAA)和羟基乙基纤维素(HEC)的颗粒去除效率(PRE)与分子量的关系。
图5B示出参照本发明一个实施例,聚丙烯酰胺(PAM)的PRE与分子量的关系。
图5C示出参照本发明一个实施例,使用氯化铵来降低用聚丙烯酰胺(PAM)聚合物制作的清洁材料的粘度的实验结果。
图6A示出参照本发明一个实施例,使用包含由高分子量的聚合化合物的组成的聚合物的该清洁材料以清洁图案化的基片的工艺流程。
图6B示出参照本发明一个实施例,提纯清洁材料的工艺流程。
图6C示出参照本发明另一实施例,提纯清洁材料的工艺流程。
具体实施方式
描述清洁晶片表面而不损伤表面特征的材料、方法和设备的实施例。这里讨论的该清洁材料、设备和方法好处在于清洁具有精细特征的图案化的基片而不损伤该特征。该清洁材料是流体,或者液相,或者液/气相,并在器件特征附近变形;所以,该清洁材料不会损伤该器件特征。该清洁材料(包含由大分子量的聚合化合物组成的聚合物)捕获该基片上的污染物。另外,该清洁材料截留该污染物,并且不会将该污染物返回到该基片表面上。该由大分子量的聚合化合物组成的聚合物形成长聚合物链,其还可以交联以形成网状物(或聚合网状物)。该长聚合物链和/或聚合物网状物相比传统的清洁材料表现出更好的捕获和截留污染物能力。
然而,对于本领域技术人员,显然,本发明可不利用这些具体细节的一些或者全部而实施。在有的情况下,公知的工艺步骤和/或结构没有说明,以避免不必要的混淆本发明。
这里描述的实施例提供清洁材料和清洁方法,其有效去除污染物并且不会损伤该图案化的晶片上的特征,一些该特征包含高纵横比特征。尽管这些实施例提供与半导体清洁应用有关的具体示例,这些清洁应用可扩展到任意需要从基片上去除污染物的技术。
图1示出参照本发明一个实施例,带有基片体101的基片100。基片101上,在表面105附近有器件结构102和颗粒103。颗粒103具有近似直径107,其可与器件结构102的宽度104在相同的数量级。
对于先进的技术,如65nm、45nm、32nm、22nm和16nm技术节点,该器件结构102的宽度104等于或小于65nm。器件结构的宽度(如器件结构102的宽度104)在每个技术节点持续缩小以在芯片有限的表面区域上安装更多的器件。该器件结构的高度(如器件结构102的高度106)由于电阻率的关系通常不会与该器件特征的宽度成比例缩小。对于导电结构(如多晶硅线路和金属互连线),缩减结构的宽度和高度将使得电阻率增加过高而导致显著的RC延迟,并且产生对于该导电结构太多的热量。结果,器件结构(如结构102)具有高纵横比,这就使得它们易于被施加到该结构上的力111的损坏。在一个实施例中,该器件结构的纵横比在大约2或更大的范围。力112施加到颗粒103上以帮助去除颗粒103。力111和112由清洁材料(未示)施加到靠近器件结构102的基片表面上以去除表面颗粒,如颗粒103。在一个实施例中,力111和112大小非常接近,因为他们彼此靠近。施加在该基片表面上力111、112的可来自于该清洁材料和该基片表面任何相对运动。例如,其可来自清洁材料的分散或该清洁材料的冲洗。
该减小的器件结构102的宽度104和器件结构102相对高纵横比使得该器件结构102易于在所施加的力111作用下或施加的力111积聚的能量下破碎。该损坏的器件结构102变成颗粒源而降低成品率。另外,该损坏的器件结构102由于该损伤而变得不可用。
图2A示出参照本发明一个实施例,三条与在图案化的基片上施加清洁材料有关的响应曲线的图表。曲线201示出清洁材料施加在该基片表面上的强度与能量关系(力作用的结果)。该清洁材料所施加的清洁能量的强度在EP出现峰值。曲线202示出颗粒去除效率与该清洁材料施加在该基片上的能量的关系。该颗粒去除速率峰值在ER附近。当该清洁材料施加的能量达到ER,该清洁材料最有效从该基片表面去除颗粒。曲线203示出该清洁材料导致的器件结构的损伤量与该清洁材料施加在该基片表面上的能量的关系。该器件结构在ES变得受损,这比该清洁材料施加在该基片上的能量的较高端EN还高。因为该器件结构损伤曲线203是在该清洁材料施加在图案基片上的能量分布201外面,该图案基片上的器件结构不会受损。该颗粒去除曲线202示出该清洁材料可从该基片表面去除颗粒(或触点)而不损伤该基片上的结构。
图2B示出三条与在图案化的基片上施加清洁材料有关的响应曲线的图表。曲线201′示出清洁材料施加在图案化的基片上的强度与能量关系。该清洁材料施加的强度峰值在EP′。曲线202′示出颗粒去除速率与施加在该基片上的能量的关系。该颗粒去除速率峰值靠近ER′。当该清洁材料施加的能量到达ER′,该清洁材料最有效从该基片表面去除颗粒。曲线203′示出该清洁材料导致的器件结构的损伤量与该清洁材料施加在该基片表面上的能量的关系。该基片上的器件结构在ES′变得受损,其高于该清洁材料施加的能量分布的低端EN′。因为该器件结构损伤曲线203′在该清洁材料施加在图案基片上的能量分布201′内,所以该图案基片上的器件结构会被该清洁材料损伤而增加颗粒(或缺陷)。
如上面所提到的,清洁工艺过程中损伤器件结构会致使器件不可用,并且损坏的器件结构会保留在该基片表面上而降低器件成品率。所以,图2B中的该清洁曲线201′和损伤曲线203′之间的关系是不期望的。相反,希望出现图2A中的该清洁曲线201和损伤曲线203之间的关系。
传统的基片清洁设备和方法包括在从该基片表面去除颗粒中采用机械力的刷子和板。对于先进的技术,即器件结构具有较窄的宽度和高纵横比,由该刷子和板施加的机械力会损伤该器件结构。另外,该粗糙的刷子和板还会在该基片表面上导致划痕。如兆频超声清洁和超声波清洁的清洁技术,采用至清洁基片的空泡和声流,也会损坏脆弱的结构。使用喷射和溅射的清洁技术会导致薄膜侵蚀,也会损坏脆弱的结构。图2C示出参照本发明一个实施例,传统的方法(如兆频超声清洁)施加的传统的清洁材料的清洁曲线201″。有分别对应三个技术节点90nm、65nm和45nm的损伤曲线203I、203II和203III。对于90nm技术节点的图案化的晶片的曲线203I,损伤开始于能量ESI。ESI大于该图案化的基片上该清洁材料能量分布的上端EN″。所以,对该器件结构没有损伤。图2C的该传统的清洁材料对于65nm技术节点同样适用,因为损伤开始于ESII,其高于EN″。随着技术进入到较窄的宽度,损伤开始于更高的能量水平。当该技术节点变成45nm或更低,曲线201″的传统的清洁材料和方法会导致器件结构损坏。45nm技术节点的损坏起始点ESIII低于该EN″。图2C示出尽管一些清洁材料和方法适用于传统的技术,但是不再适用于具有较窄特征宽度的先进的技术。所以,需要找到一种清洁机制,其使用的清洁材料对该器件结构比较温和,并且对于先进的技术有效从该基片表面去除颗粒。
图2C示出参照本发明一个实施例,传统的方法(如兆频超声清洁)施加的传统的清洁材料的清洁曲线201″。分别对应技术节点90nm、65nm和45nm的损伤曲线203I、203II和203III。对于90nm技术节点的图案化的晶片的曲线203I,损伤开始于能量ESI。ESI大于该图案化的基片上该清洁材料能量分布的上端EN″。所以,对该器件结构没有损伤。图2C的传统的清洁材料仍适用于65nm技术节点,因为损伤开始于ESII,其高于EN″。随着技术进入到较窄的宽度,损伤开始于较低的能量水平。当该技术节点变成45nm或更低,该传统的清洁材料和方法曲线201″将导致器件结构损坏。45nm技术节点的损伤起点ESIII低于该EN″。图2C示出尽管一些清洁材料和方法适用于传统的技术,但是不再适用于具有较窄特征宽度的先进的技术。所以,需要找到一种清洁机制,其使用的清洁材料对该器件结构比较温柔,并且对于先进的技术有效从该基片表面去除颗粒。
图3A示出参照本发明一个实施例的液体清洁材料300,其包含清洁溶液305,其含有溶解在该清洁溶液中305、具有大分子量聚合物310。在一个实施例中,该液体清洁材料300是凝胶。在另一实施例中,该液体清洁材料300是溶胶。在又一实施例中,该液体清洁材料300是液体溶液。该液体清洁材料300,当施加在表面上具有颗粒的基片上时,可去除该基片表面上的颗粒。在一个实施例中,所去除的颗粒320附着于该聚合物310,如图3B所示。该由大分子量的聚合化合物(如大于10000g/mol或100000g/mol)组成的聚合物形成长聚合物链和聚合网状物以捕获和截留所去除的颗粒,从而防止该颗粒返回到该基片表面。该聚合物溶解在清洁溶液中,其包含影响该pH值并增强该聚合物溶解性的元素。该溶解在该清洁溶液中的聚合物可以是软凝胶或变成悬浮在该清洁溶液中的类凝胶滴。在一个实施例中,当该聚合物分子进入该污染物附近时,该基片表面上的该污染物通过离子力、范德瓦尔力、静电力、疏水性相互作用、空间相互作用或化学粘合附着于该溶剂化的聚合物。该聚合物捕获和截留该污染物。
在一个实施例中,该由大分子量的聚合化合物组成的聚合物在该清洁溶液305中形成网状物。另外,该由大分子量的聚合化合物组成的聚合物分散在该液体清洁溶液305中。该液体清洁材料300在清洁工艺对该基片上的器件结构比较柔和。该清洁材料300中的聚合物310可在该器件结构(如结构302)周围滑动,如图3C的清洁体330所示,而不会对该器件结构302产生强烈的冲击。相反,上面提到的硬的刷子和板会与该器件结构形成坚硬的接触并损伤该器件结构。兆频超声清洁中的空泡产生的力(或能量)以及喷射过程中液体的高速冲击也会损坏该结构。替代性地,超过一种聚合物可以溶解在该清洁溶液中以配制该清洁材料。例如,该清洁材料中的聚合物可包括“A”聚合化合物和“B”聚合化合物。
由具有高分子量的聚合化合物组成的聚合物形成聚合物长链,可以有或者可以没有交联以形成聚合网状物。如图3C所示,该聚合物310与该污染物接触,如该图案化(或未图案化)基片表面上的污染物320I、320II、320III、320IV,并捕获污染物。在该污染物被该聚合物捕获之后,该污染物变成附着于该聚合物并悬浮在该清洁材料中。图3C示出污染物320III和320IV,其分别附着于该聚合物链311I和311II。污染物320I和320II附着于其他聚合物链。替代性地,污染物320I、320II、320III和320IV每个可附着于多个聚合物链,或附着于聚合网状物。当该清洁材料中的聚合物300从该基片表面去除时,如通过冲洗,附着于该聚合物链的污染物与该聚合物链一起从该基片表面去除。
图3C中示出的实施例仅示出一个器件结构302。参照本发明一个实施例,基片上,如基片301,许多器件结构,如302I、302II,302III和302IV,可以彼此挨着集中,如图3D所示。类似于图3C,该清洁容积330′中的液体清洁材料300在清洁工艺过程中对该基片上的器件结构柔和。该清洁材料300中的该聚合物310在该器件结构302I、302II、302III和302IV附近滑动而不会对该器件结构造成强烈冲击。类似于图3C的该污染物320I、320II、320III和320IV附着于聚合物链,污染物325I、325II、325III和325IV也附着于聚合物链。
除了具有线性特征的清洁基片,如图3C和3D中的,具有其他图案化特征的基片也可利用本发明中描述的材料和方法清洁。图3E示出参照本发明一个实施例,带有结构302′的基片301′,该结构形成过孔315和沟槽316。污染物326I、326II、326III和326IV还利用清洁材料300通过上面在图3C和3D中描述的机制来去除。在一个实施例中,该聚合物用作絮凝剂,其使得来自该基片表面的该颗粒(或污染物)变得絮凝,其是细的悬浮颗粒聚集形成的团。在另一实施例中,该聚合物不用作絮凝剂。
如上所述,该由大分子量的聚合化合物组成的聚合物分散在该清洁溶液中。该具有大分子量的聚合化合物的例子包括,但不限于:丙烯酸聚合物,如聚丙烯酰胺(PAM)和聚丙烯酸(PAA),如Carbopol940TM和Carbopol 941TM,聚-(N,N-二甲基-丙烯酰胺)(PDMAAm),聚-(N-异丙基-丙烯酰胺)(PIPAAm),聚甲基丙烯酸(PMAA),聚甲基丙烯酰胺(PMAAm);聚亚胺和氧化物,如聚乙烯亚胺(PEI),聚乙烯氧化物(PEO),聚丙烯氧化物(PPO)等;乙烯基聚合物,如聚乙烯基醇(PVA),聚乙烯磺酸(PESA),聚乙烯胺(PVAm),聚乙烯-吡咯烷酮(PVP),聚-4-乙烯基吡啶(P4VP)等;纤维素衍生物如甲基纤维素(MC),乙基-纤维素(EC),羟基乙基纤维素(HEC),羧基甲基纤维素(CMC)等;多糖,如阿拉伯胶(GumArabic),琼脂和琼脂糖,肝磷脂,瓜尔豆胶,黄原胶等;蛋白质如胚乳,胶原蛋白,谷蛋白等。为了说明该聚合物结构的几个例子,聚丙烯酰胺是丙烯酰胺子单元形成的丙烯酸酯聚合物(-CH2CHCONH2-)n。聚乙烯基醇是乙烯基醇子单元形成的聚合物(-CH2CHOH-)m。聚丙烯酸是丙烯酸子单元形成的聚合物(-CH2=CH-COOH-)o。“n”、“m”和“o”是整数。该由大分子量的聚合化合物组成的聚合物或者可溶于水溶液,或者是非常吸水,以在水溶液中形成软凝胶。在一个实施例中,该聚合化合物的分子量大于100000g/mol。在另一实施例中,该聚合化合物的分子量在大约0.1M g/mol至大约100M g/mol之间。在另一实施例中,该聚合化合物的分子量在大约1M g/mol至大约20M g/mol之间。在又一实施例中,该聚合化合物的分子量在大约15M g/mol至大约20M g/mol之间。该清洁材料中的聚合物的重量百分比在大约0.001%至大约20%之间,在一个实施例中。在另一实施例中,该重量百分比在大约0.001%至大约10%之间。在另一实施例中,该重量百分比在大约0.01%至大约10%之间。在又一实施例中,该重量百分比在大约0.05%至大约5%之间。该聚合物可溶于该清洁溶液、完全分散在该清洁溶液中、在该清洁溶液中形成液体滴(乳化的)或在该清洁溶液形成块。
替代性地,该聚合物可以是共聚物,其从两个或多个单体物质形成。例如,该共聚物包括90%的PAM和10%的PAA,并由PAM和PAA单体形成。另外,该聚合物可以是两种或多种聚合物的混合物。例如,该聚合物可以通过在该溶剂中混合两种聚合物形成,如90%的PAM和10%的PAA。
图3A-3C示出的这些实施例中,由大分子量的聚合化合物组成的聚合物均匀地溶解于该清洁溶液。该清洁溶液的基础液体,或溶剂可以是非极性液体,如松节油,或极性液体,如水(H2O)。溶剂的其它示例包括异丙醇(IPA),二甲亚砜(DMSO)和二甲基甲酰胺(DMF)。在一个实施例中,该溶剂包含超过一种液体,并是两种或多种液体的混合物。对于极性聚合物,如PAM、PAA或PVA,该清洁溶液合适的溶剂是极性液体,如水(H2O)。
在另一实施例中,该清洁溶液包含除了该溶剂(如水)之外的液体以改变该清洁材料的属性,该清洁材料通过将该聚合物混合在该清洁溶液中形成。例如,该清洁溶液可包含缓冲剂(其可以是弱酸或弱碱)以调节该清洁溶液和该清洁溶液形成的清洁材料的酸碱度(pH)值。该弱酸的一个例子是柠檬酸。该弱碱的一个例子是铵(NH4OH)。该清洁材料的pH值在大约1至大约12。在一个实施例中,对于前端应用(在铜和金属间电介质沉积之前),该清洁材料是碱性的。在一个实施例中,对于前端应用,该pH值在大约7至大约12之间。在另一实施例中,对于前端应用,该pH值在大约8至大约11之间。在又一实施例中,对于前端应用,该pH值在大约8至大约10之间。对于后端处理(在铜和金属间电介质沉积之后),该清洁溶液是弱碱性、中性或酸性的,在一个实施例中。后端互联中的铜与带有铵的碱性溶液不相容,该溶液会攻击铜。对于后端应用,该pH值在大约1至大约7之间,在一个实施例中。在另一实施例中,对于后端应用,该pH值在大约1至大约5之间。在又一实施例中,对于后端应用,该pH值在大约1至大约2之间。在另一实施例中,该清洁溶液包含表面活性剂(如十二烷基硫酸铵(ADS))以帮助将该聚合物分散在该清洁溶液中。在一个实施例中,该表面活性剂还帮助润湿该基片表面上的清洁材料。润湿该基片表面上的该清洁材料允许该清洁材料紧密接触该基片表面和该基片表面上的颗粒。润湿提高清洁效率。也可添加其他添加剂以提高表面润湿、基片清洁、冲洗和其他相关的属性。
缓冲清洁溶液(或清洁溶液)的例子包括缓冲铵溶液(BAS),其在该溶液中包括碱性和酸性缓冲剂,如0.44wt%的NH4OH和0.4wt%的柠檬酸。替代性地,该缓冲溶液(如BAS)包括一定量的表面活性剂(如1wt%的ADS)以帮助将该聚合物悬浮和分散在该清洁溶液中。包含1wet%的ADS、0.44wt%的NH3和0.4wt%的柠檬酸的溶液称为溶液“100”。溶液“100”和BAS两者的pH值为大约10。
图3A-3E中示出的这些实施例提供一种液体清洁材料300,其具有均匀分散(或溶解)在该清洁溶液305中的大分子量聚合物310。如上所述,这个应用中,大分子量聚合物完全溶解在该清洁溶液中,该溶液可以是含水的。该聚合物非常吸水而在水溶液中形成软凝胶。图3F示出乳化在该清洁溶液中305′中的类凝胶聚合物滴340的液体清洁材料300′的实施例。该清洁溶液305′还包含小的、隔开的聚合物306。表面活性剂(如ADS)可添加到该清洁溶液以帮助该类凝胶聚合物滴340均匀分散在该清洁溶液305′中。在图3F示出的实施例中,在该清洁溶液305′和该类凝胶聚合物滴340之间有边界341。该类凝胶聚合物滴340是软的,并且在该基片表面上的器件特征附近变形。由于该类凝胶聚合物滴340在器件特征附近变形,所以其不会在该器件特征上施加很大的能量(或力)而损伤它们。在一个实施例中,该滴的直径在大约0.1μm至大约100μm之间。
在另一实施例中,该由大分子量的聚合化合物组成的聚合物溶解在该清洁溶液中以形成类凝胶聚合物团350,其不会与该清洁溶液305″形成明显的边界,如图3G所示。该清洁溶液305″还包含小的、隔开的聚合物306。该类凝胶聚合物团350是软的,并在该基片表面上的器件特征附近变形,不会在该器件特征上施加很大的能量(或力)而损伤它们。在一个实施例中,该聚合物团的直径在大约0.1μm至大约100μm之间。
上面讨论的该清洁材料都是液相。在又一实施例中,该清洁材料,如上面讨论的液体清洁材料300、300′和300″,可以搅动以增加气体,如N2、惰性气体或气体混合物如空气,以将该清洁材料形成泡沫,如图3H所示。图3F中,该清洁材料300*具有分散在该清洁溶液305中的气泡360。聚合物310也分散在该清洁溶液305中。别的实施例中,图3H中的聚合物310可以是聚合物滴340或聚合物团350,如图3F和3G中描述的。该清洁材料300*具有气相和液相。
上述清洁材料可以通过任何机制分散在该基片表面上。如上面在图2A和2B中所讨论的,为了避免损伤该图案化的基片上的器件特征,该清洁材料施加在该图案化表面的能量需要低于该最低力ES或ES′以避免损伤该器件特征。上面讨论的该清洁材料(如清洁材料300,300′,300″和300*)或者是液相,或者是气/液相。液体和泡沫可在该基片表面上流动并在该基片表面上的器件特征附近变形(或流动)。所以,该清洁材料可以施加在该图案化的基片上而不会在该基片表面上的器件特征上施加过大能量。
图4A示出参照本发明一个实施例,清洁基片的系统400的俯视图的简化示意图。晶片(或基片)420以直线移向清洁头410(或清洁临近头)。该清洁头由支撑结构450夹持,其可以是臂。该清洁头410提供(或分布)上述清洁材料。在一个实施例中,该清洁头410的长度440大于该晶片420的直径451。晶片420仅在该清洁头下方移动一次。在另一实施例中,该清洁头410的长度440小于该晶片420的直径451。晶片420在该清洁头410下方移动多次以确保清洁整个晶片420。
在一个实施例中,该清洁材料可通过供应管线460从储存器470(其可加压)得到。替代性地,该清洁头410可在上方晶片420移动,而该晶片420保持静止或者也移动。如上所述,该清洁材料可以是液体溶液、泡沫或乳液形态。如果该储存器470加压,则清洁溶液或乳液可在传送到该清洁头之前充气并产生泡沫。在该储存器不加压的情况下,该清洁溶液可通过泵送或其他公知的方法输送。
在一个实施例中,该清洁头还连接到用以将使用过的清洁材料从该基片表面吸走的容器423以及提供真空的真空泵425。
图4B示出参照本发明一个实施例,具有多个分布孔411以分布该清洁材料的清洁头410的示范性仰视图。替代性地,用长且窄的分布槽替代该分布孔411。在一个实施例中,该分布孔411(排)被真空孔414围绕,真空孔从该基片表面去除清洁材料。
图4C示出该清洁头410的实施例的侧视图,其将清洁材料组成的清洁体430在该清洁头410下方分布在该晶片420的表面421上以清洁该表面421。该清洁材料由供应管线460提供。该清洁材料通过由真空管线465提供的真空从该基片表面去除。该晶片420在箭头422表示的方向在该清洁头410下方移动。清洁材料组成的清洁体430形成“弯液面”。这里使用的术语“弯液面”指的是由该液体表面张力划界和约束的该液体组成的清洁体(或容积)430。该弯液面还是可控的,并且以约束的形状在表面上方移动。在具体的实施例中,通过将流体输送到表面同时也去除该流体来保持该弯液面,从而该弯液面保持可控。进而,该弯液面形状可以通过精确的流体输送和去除系统来控制,该系统部分与控制器(计算系统)接口,控制器可以联网。在基片表面形成弯液面的分配头的细节在美国专利申请(11/641362)(代理档案号LAM2P581)中讨论,其递交于2006年12月18,主题为“Substrate Preparation Using Stabilized Fluid Solutionsand Methods for Making Stable Fluid Solution”。上面提到的相关申请的公开内容通过引用结合在这里。
在一个实施例中,随着该晶片420在该清洁头410下方移动,该清洁体430在该表面421上留下清洁材料(未示)薄层。该清洁材料薄层是清洁材料没有完全被真空去除的结果。该清洁头410由臂450保持临近晶片420的表面421。所以,该清洁头410称作临近头。在一个实施例中,该清洁头410分布的清洁材料在该清洁体430下方的基片表面421上施加剪切力432。
在另一实施例中,该清洁头410分布的清洁材料还在该清洁体430下方的基片表面421上施加向下的力(未示)。在一个实施例中,该向下的力和该剪切力帮助使该聚合物接触该污染物,以允许该污染物附着于该聚合物链和/或网状物。在一个实施例中,该污染物通过范德瓦尔力附着于该聚合物。在另一实施例中,该污染物被该聚合网状物截留。在另一实施例中,既不需要向下的力也不需要剪切力使该清洁溶液中聚合物与该污染物接触。当该清洁材料分布到该基片表面上时,该清洁材料中分布的聚合物将接触该基片表面上的污染物。在从该基片表面去除清洁材料的冲洗步骤过程中,该聚合物附着和/或截留的污染物与该清洁材料一起从该基片表面去除。
图4D示出将清洁材料分布在基片420上的清洁头420″的剖视图。该清洁材料通过连接到清洁材料供应管线460的分布孔分布,并通过该真空管线465的真空孔从基片表面420去除。该清洁材料在该清洁头420和该基片420之间形成弯液面430′。另外,有偶联至表面张力降低气体(其用于降低基片表面420的表面张力)的供应管线467的表面张力降低气体分布孔(未示)。在一个实施例中,该表面张力降低气体包括异丙醇(IPA)和氮(N2)的混合物。
图4E示出具有清洁材料分布组件418的清洁系统400′的实施例,其包括上清洁头(或临近头)410、下清洁头(或临近头)410′和支撑结构419。该上清洁头410′是该下清洁头410′的镜像。该清洁材料分布组件418由控制器419控制。基片420(由基片夹具424夹持)在方向466通过该上和下清洁头410、410′之间。利用该上和下清洁头410、410′,该基片的前侧和后侧两者同时清洁。
每个清洁头包括多个分布孔(或喷嘴),通过该分布孔提供形成弯液面200的清洁材料。该液体可以是去离子水、清洁溶液或其他设计用来处理、清洁或冲洗基片160的液体。多个真空端口114在弯液面200的边缘施加真空。真空端口114从弯液面200和周围的流体吸出液体,如空气或喷嘴112提供的其他气体。在某些实施例,喷嘴112围绕真空端口114,并提供异丙醇蒸汽、氮气、其混合物,或其他气相或气/液两相流体。该喷嘴112和通过其提供的流体帮助在该弯液面200的表面上保持连贯的液体/气体界面。通过在上面相关技术的交叉引用部分的引用而包含临近头结构和运行相关的更多细节。特别地,对于关于临近头结构和运行的额外细节,引用美国专利申请10/261839、10/330843和10/330897。
使用临近头分布清洁材料的清洁设备的细节在美国专利申请(11/532491)(代理档案号LAM2P548B)中描述,递交于2006年9月15日,主题为“Method and Material for Cleaning Substrate”,美国专利申请(11/532493)(代理档案号LAM2P548C),递交于2006年9月15日,主题为“Apparatus and System for Cleaning Substrate”,以及美国专利申请(11/641362)(代理档案号LAM2P581),递交于2006年12月18日,主题为“Substrate Preparation Using Stabilized FluidSolutions and Methods for Making Stable Fluid Solutions”。上面提到的相关申请每个的公开内容通过引用结合在这里。
上面描述的这些实施例仅仅是示例。也可以有其他用于将清洁材料分布在该基片表面以及用于从该基片表面去除清洁材料的清洁头的实施例。图4F示出参照本发明一个实施例,包含清洁材料481的清洁罐480和包含冲洗液体491的冲洗罐490。基片420′(由基片托架423夹持)首先浸入罐480的清洁材料481中以允许该清洁材料接触该基片表面上的污染物。基片420′由机械机构(未示)来降低进入清洁罐480的清洁材料481并升出该清洁材料。之后,将该基片420′(由基片托架426夹持)浸入清洁罐490的冲洗液体491中,冲洗掉该清洁材料。机械机构(未示)用于将该基片降入和升出该冲洗罐490。当该清洁材料在冲洗罐(或清洗罐)490中离开基片表面420′,该污染物与该清洁材料一起从该基片表面去除。基片420′由机械机构(未示)降入冲洗罐490的冲洗液体491。尽管图4F中示出的基片方位是垂直的,但是也可以是其他的方位。例如,该基片可以水平方位浸没在该清洁罐和/或该冲洗罐中。
图4G示出清洁该基片表面污染物的清洁设备499的另一实施例。该清洁设备具有清洁罐485,带有基片支撑件483。基片420*设在该基片支撑件483上,基片在该清洁工艺过程中转动。该清洁设备499具有清洁材料分布头497,其将清洁材料分布在基片表面420*上。该清洁材料分布头497(或分布喷嘴)连接到清洁材料存储罐470。该清洁设备499还具有冲洗液体分布头498(或分布喷嘴),其将冲洗液体溅射到该基片表面420″上。该冲洗液体分布头498连接到该冲洗液体存储罐496。该转动的基片420*允许该清洁材料和该冲洗液体覆盖整个基片表面。先将该清洁材料分布在该基片表面上,然后分布该冲洗液体,以从该基片表面去除该清洁材料。
在将该图案化的基片的表面的该清洁材料冲洗掉之后,该图案化的基片通过以相对高的速度旋转(或转动)该基片来干燥。旋转过程中,该基片通过装置(或机构)固定,其在图4G中未示。在一个实施例中,表面张力降低气体施加于该图案化的基片的表面以帮助去除该冲洗以及可能残留的清洁材料。在一个实施例中,该表面张力降低气体包括异丙醇(IPA)和氮气(N2)的混合物。也可使用其他表面张力降低气体。
该清洁罐485可接受该清洁工艺的废弃物。该清洁工艺的废弃物包括废弃的清洁材料和废弃的冲洗液体。在一个实施例中,该清洁罐485具有排水管403,其连接到废弃物管404。废弃物管404连接到阀门405,其控制从该清洁罐485排出清洁废弃物。该清洁废弃物可以引导至再循环处理器406或废弃物处理器407。
该上面描述的清洁材料对于清洁在基片表面上具有精细特征(或拓扑)的基片特别有利,该特征如多晶硅线路或金属互连线(具有沟槽和/或过孔)。这些精细特征的最小宽度(或关键尺寸)可以是45nm、32nm、25nm或更少。对于使用上面描述的清洁材料的高级清洁,需要该清洁材料带来尽可能少的金属和/或颗粒污染物。在一个实施例中,该制备好的清洁材料中的金属污染物(在清洁材料施加在该基片表面上之前)规定为全部金属污染物小于100ppb(十亿分率)。在另一实施例中,该制备好的清洁材料中的金属污染物规定为小于10ppb(十亿分率)。在又一实施例中,对于高级清洁,该制备好的清洁材料中的金属污染物规定为小于1ppb。在一个实施例中,该制备好的清洁材料的颗粒规范(在其施加在该基片表面上)是尺寸大于65nm的颗粒小于50。在另一实施例中,该颗粒规范是大小大于65nm的颗粒小于20。在另一实施例中,该颗粒规范是大小大于50nm的颗粒小于10。在又一实施例中,该颗粒规范是大小大于30nm的颗粒小于5。金属污染物和颗粒的规范对于具有更精细(或更小)特征尺寸的更先进技术更加严格。
许多方法和系统可以用来使得(或提纯)该清洁材料满足该金属污染物规范。例如,可通过分馏来去除该清洁材料中的金属污染物(或可以提纯清洁材料)。在一个实施例中,醇添加到聚合物水溶液。因为该聚合物在该醇中比在水中难溶得多,纯的聚合物将沉淀。除了醇,可以将酸添加到聚合物的水溶液以帮助将金属与该聚合物分离。酸可提供H+来替换附着于该聚合物的金属离子,如Na+,这帮助将金属与该聚合物分离。另一去除金属污染物的方法是使用离子交换。该清洁材料通过装填了小的树脂颗粒的柱,而以该柱提供的氢离子交换该清洁材料中的金属离子。该柱如果填满酸,其提供氢离子以替换金属离子,如Na+。Na+只是用作示例。其他金属离子可以通过这样的方法和系统去除。也可使用其他方法用于提纯清洁材料。
图4H示出参照本发明一个实施例,清洁基片的系统475的示意图。该清洁头410(或清洁临近头)类似于图4A中所示的。该基片420″由基片夹具(或基片托架)424夹持。该清洁头410连接到清洁材料(如上面讨论的清洁材料300)的储存器470。该清洁头410还连接到用于使用过的清洁材料的容器423,其进一步连接到真空泵425。在一个实施例中,系统475具有冲洗头417,其分布冲洗液体以从基片表面420″去除清洁材料。该冲洗头417连接到冲洗液体储存器471。在一个实施例中,该冲洗头417结构类似于具有冲洗液体分布孔和真空孔的该清洁头。该冲洗头417连接到使用过的冲洗液体的容器408,其进一步连接到真空泵425′。在另一实施例中,系统475具有真空头412,其去除任何留在该基片表面上的剩余清洁材料和/或冲洗液体。该真空头连接到使用过的清洁材料和冲洗液体的废弃物容器409。该废弃物容器409进一步连接到真空泵425″。
图4I示出参照本发明一个实施例,另一清洁系统400*的剖视图。晶片(或基片)420以直线方向移向清洁头410*(或清洁临近头)。该清洁头由支撑结构450支撑,其可以是臂。该清洁头410连接到清洁材料储存器470。该清洁头410*提供(或分布)上述清洁材料。在一个实施例中,该清洁头410*的长度440大于该晶片420的直径451。晶片420仅在该清洁头下方移动一次。在另一实施例中,该清洁头410*的长度440小于该晶片420的直径451。晶片420在该清洁头410*下方移动多次,以确保清洁整个晶片420。
图4I的实施例中,临近该清洁头410*是冲洗头417*。类似于清洁头410*,该冲洗头417*的长度440′可以大于或小于该晶片的直径451。晶片420先在清洁头410*下移动,随后在冲洗头417*下方移动。该清洁头410*包括狭缝411*以分布清洁材料。图4J包括该具有该狭缝411*的清洁头410*的仰视图。该冲洗头417*连接到该冲洗液体的储存器471。在一个实施例中,该冲洗头417*的结构类似于图4A和4B的清洁头410,具有冲洗液体分布孔401和真空孔402。图4J包括具有若干冲洗液体分布孔401的冲洗头417*的仰视图,其被若干真空孔402围绕。该冲洗头417连接到使用过的冲洗液体的容器408,其进一步连接到真空泵425′。
当晶片420在清洁头410*和冲洗头417*下方移动,该清洁头410*将清洁材料分布在该基片表面上而该冲洗头417*将该清洁材料从该晶片表面420冲掉。该冲洗头417*还去除该清洁废弃物,其包括该晶片表面420上的颗粒和污染物、清洁材料和冲洗液体。
图4K示出参照本发明一个实施例的清洁材料制备系统482。系统482具有聚合物容器484,其存储用于该清洁材料的聚合物。该聚合物容器484进一步连接到分布控制器488,其控制分散进系统482的预混和容器493中的聚合物的量。系统482还具有溶剂容器486,其存储该清洁材料中使用的溶剂。该溶剂容器486进一步连接到分布控制器489,其控制分布在预混和容器493中以及分布到清洁材料调节容器495(将在下面进一步描述)的溶剂的量。另外,系统482具有缓冲剂和添加剂容器487,其存储在该清洁材料中使用的缓冲剂和添加剂,如表面活性剂。该缓冲剂和添加剂容器487连接到分布控制器492,其控制分布在该预混和容器493中以及分布到该清洁材料调节容器495的缓冲剂和添加剂的量。在另一实施例中,该清洁材料中不需要添加剂,而且在该缓冲剂和添加剂容器487中没有添加剂。在又一实施例中,该缓冲剂和该添加剂在单独的容器中,并且由独立的控制器控制。
在一个实施例中,该聚合物、溶剂、缓冲剂和添加剂先在该预混和容器493中混合。之后,来自该容器493的混合物提供到提纯器(或提纯系统)494以从该混合物去除金属污染物和其他污染物。在一个实施例中,该提纯器494还具有过滤功能以从该混合物过滤掉任何颗粒(软的或磨料)。在另一实施例中,只有该聚合物和该溶剂在该预混和容器493中混合。该缓冲剂和该添加剂不与该聚合物和该溶剂在预混和容器493中混合。
在去除金属污染物之后,将该混合物移至该调节容器495用以添加额外的溶剂,需要缓冲剂和添加剂以制造最终的清洁材料混合物。该制备好的清洁材料存储在容器427中以用于清洁基片。替代性地,离开该提纯器494的混合物随时可以使用,并且不需要在该清洁材料的调节容器495中进一步处理。在这种情况下,该离开该提纯器494的混合物是最终清洁材料,并提供到清洁材料的容器427中。在另一实施例中,该来自该预混和容器493的混合物随时可以使用,并且不需要通过该提纯器494。在这种情况下,该混合物(该清洁材料)提供到该容器427。
系统482没有该提纯器494和该调节容器495,该预混和容器是混合容器。在这种情况下,该混合的清洁材料直接提供到该容器427。在一个实施例中,图4A、4E、4G、4H和4I的清洁材料储存器470中的清洁材料来自清洁材料的容器427。
表I比较BAS中不同重量百分比的Carbopol 941TMPAA的粘度、冲洗时间和颗粒去除效率(PRE)。该粘度在500-1的应变速率下测量。该冲洗时间测量将该清洁材料冲离该基片表面需要的时间。该PRE使用颗粒检测基片测量,其故意沉积了不同尺寸的氮化硅颗粒。在这个研究中,仅测量大小在90nm和1μm之间的颗粒。PRE通过下面列出的方程(1)计算:
PRE=(预清洁量-后清洁量)/预清洁量…………(1)
  浓度(wt%)   聚合物分子量(g/mol)   粘度@500-1(cP)   冲洗时间(秒)   PRE
  0.2%   1.25M   26   <5   74%
  0.5%   1.25M   198   5-10   89%
  1%   1.25M   560   8-10   87%
表I:具有不同Carbopol 941TMPAA聚合物浓度的清洁材料的对比
表I的清洁材料通过在上面描述的BAS混合Carbopol 941TMPAA(可通过商业方法得到)制得。使用的Carbopol 941TMPAA的分子量为1250000(或1.25M)g/mol。表I中结果示出PRE随着Carbopol941TMPAA的重量百分比增加而增加,直到大约0.5%。0.5%和1%的聚合物之间PRE没有显著差别。该结果还表明该清洁材料的粘度随着该聚合物的重量百分比增加而增加。另外,冲洗掉该清洁材料所需的冲洗时间随着该清洁材料的粘度增加而增加。用水冲洗该基片。
表II比较不同清洁材料将颗粒截留或悬浮在该清洁材料的能力。有意将氮化硅颗粒添加进该清洁材料。在添加氮化硅颗粒之后,将该清洁材料分布在清洁基片上。然后将该清洁材料从该基片冲洗掉,然后测量该表面上的颗粒(氮化硅)数量。
  清洁材料w/1XSiN颗粒   冲洗后颗粒数量   清洁材料w/50XSiN颗粒   冲洗后颗粒数量
  DIW   饱和的   DIW   饱和的
  DIW+铵(pH>10)   6002   DIW+铵(pH>10)   饱和的
  “100”   4238   “100”   饱和的
  “100”中0.2%Carbopol940TM   1137   “100”中0.2%Carbopol940TM   15689
  0.5%PAM   53   0.5%PAM   104
表II:添加氮化硅颗粒的不同的清洁材料的颗粒数量的对比。
五种溶液用作清洁材料。第一种清洁材料,“DIW”,是去离子水。第二种清洁材料是DIW添加铵,已将该pH值调节为大于10。第三种是溶液“100”,其是BAS添加1wt%的ADS。如上面所提到的,溶液“100”的pH值是10。第四种清洁材料是0.2wt%的Carbopol940TMPAA溶解于“100”溶液。该Carbopol 940TMPAA的分子量是4M(或4兆)g/mol。第五种是0.5wt%的PAM溶解于溶液“100”。PAM的分子量是18M g/mol。该第五种清洁材料的pH值是大约10。该五种清洁材料与两种数量的氮化硅颗粒混合,1X和50X。50X的氮化硅颗粒数量是1X颗粒数量的50倍。1X氮化物颗粒代表该氮化物颗粒的wt%是0.00048%,而50X氮化物颗粒代表该氮化物颗粒的wt%是0.024%。
结果表明DIW对于将氮化硅颗粒悬浮并保持在DIW中不是非常好。大量的氮化硅颗粒(饱和的)留在该基片表面。表II中使用的“饱和的”的描述指的是颗粒(或缺陷)数量大于75000。相反,“100”中0.2%Carbopol 940TMPAA以及“100”中0.5%PAM在将氮化硅颗粒悬浮在该清洁材料方面好得多。“100”中0.5%PAM对于将添加的氮化硅颗粒截留或悬浮在该清洁材料中的特别优良。只有较少数量的该清洁材料中的氮化硅(或Si3N4)颗粒留在该基片表面,1X氮化硅颗粒是53,50X氮化硅颗粒是104。
在该清洁材料中使用的该聚合物分子量会影响该颗粒去除效率(PRE)。图5A示出由具有“100”中1%(wt%)PAA和“100”中1%(wt%)的羟乙基纤维素(HEC)的清洁材料得到的基片上大于90nm氮化硅颗粒的PRE与这两种聚合物(PAA和HEC)的分子量的函数。图5A中的数据表明在100000g/mol至1M(或1000000)g/mol之间PRE随着HEC的分子量增加而增加。图5A中的数据还表明500000g/mol和1M g/mol之间,PRE随着PAA分子量增加而增加。然而,在1Mg/mol和1.25M g/mol的PAA之间,PRE不会改变很多。图5B示出由“100”中1%(wt%)的PAM的清洁材料得到的基片上大于90nm氮化硅颗粒的PRE与PAM的分子量的函数。图5B中的数据表明在500000g/mol至18M g/mol之间,PRE随着PAM的分子量的增加而增加。两个图表中的数据表明分子量对于PRE的影响。
如上面所提到的,该清洁材料的粘度将影响从该基片表面去除该清洁材料的冲洗时间。图5C示出将氯化铵(NH4Cl)添加到由0.2wt%-1wt%的PAM溶解在去离子(DI)水中形成的清洁材料的结果。该PAM的分子量为18M g/mol。所添加的氯化铵在该清洁溶液中离子化以向该清洁材料提供额外的离子,从而增加该清洁材料的离子强度。该增加的离子强度降低该清洁材料的粘度。例如,1.5wt%的氯化铵能够将具有1wt%PAM的清洁材料的粘度从大约100cP降低到60cp。1.5wt%的氯化铵还能够将具有0.5wt%PAM的清洁材料的粘度从大约50cP降低至大约25cP。降低粘度会降低从该基片表面冲洗该清洁材料所需的时间。在一个实施例中,该清洁材料的粘度降低到500cP以下以确保在对于实现制造目标合理的时间框架内能够实现基片清洁。
图6A示出参照本发明一个实施例,使用包含由大分子量的聚合化合物组成的聚合物的清洁材料清洁图案化的基片的工艺流程600。该清洁材料是如上面所述的。在步骤601,该图案化的基片设在清洁设备中。在步骤602,该清洁材料分布在该图案化的基片的表面上。在步骤603,冲洗液体分布在该图案化的基片的表面上以冲洗掉该清洁材料。该冲洗液体是如上所述的。在一个实施例中,在该冲洗液体施加在该基片表面上之后,该冲洗液体、该清洁材料和该基片表面上的污染物可以通过真空从该图案化的基片的表面去除。
图6B示出参照本发明一个实施例,制备清洁材料以清洁图案化的基片的工艺流程650。该清洁材料包含由大分子量的聚合化合物组成的聚合物,如上所述。在步骤651,该材料,如聚合物、溶剂和添加剂(如缓冲剂和/或表面活性剂),混合在一起以形成该清洁材料,或该清洁材料预混合物。在步骤653,提纯该清洁材料(或该预混合物)以具有小于1ppb的金属污染物。在提纯工艺之后,有可能需要添加一些添加剂、溶剂和/或缓冲剂以将该清洁材料恢复成所需的配方。在这种情况下,添加该添加剂、溶剂和/或缓冲剂以形成最终的清洁材料产品。
如上所讨论的,有许多提纯该清洁材料以使该清洁材料摆脱金属污染物的方法。替代性地,该提纯可以在该清洁材料制备工艺期间执行。图6C示出参照本发明另一实施例,制备清洁材料以清洁图案化的基片的工艺流程670。在步骤671,该聚合化合物和一些溶剂混合在一起以形成混合物。在步骤672,提纯聚合物和溶剂的混合物以具有小于1ppb的金属污染物。在步骤673,聚合物和溶剂的混合物与剩下的材料混合以形成该清洁材料。也可以有别的提纯该清洁材料的实施例。
上面讨论的清洁材料、设备和方法的优点在于清洁具有精细特征的图案化的基片而不损伤该特征。该清洁材料是流体的,或者液相,或者液/气相(泡沫),并在器件特征附近变形;所以,该清洁材料不会损伤该器件特征。液相的该清洁材料可以是液体、溶胶或凝胶的形式。包含由大分子量的聚合化合物组成的聚合物的该清洁材料捕获该基片上的污染物。另外,该清洁材料截留该污染物和不会将该污染物返回到该基片表面上。该由大分子量的聚合化合物组成的聚合物形成长聚合物链,其还可以交联以形成聚合物的网状物。该长聚合物链和/或聚合物网状物相比传统的清洁材料表现出更好的捕获和截留污染物能力。
在施加在该基片表面上以从该基片表面去除污染物或颗粒之前,该清洁材料基本上不含不可变形的颗粒(或研磨颗粒)。不可变形颗粒是硬颗粒,如泥浆或沙子中的颗粒,并且会损伤该图案化的基片上的精细的器件特征。在该基片清洁工艺期间,该清洁材料将从该基片表面收集污染物或颗粒。然而,在该清洁材料施加在该基片表面上用以基片清洁之前,不会有意将不可变形颗粒混合在该清洁材料中。
尽管上面这些实施例描述用于清洁图案化的基片的材料、方法和系统,但是该材料、方法和系统还可用来清洁非图案化的(或坯)基片。
尽管上面的讨论集中于从图案化的晶片清洁污染物,但是该清洁设备和方法还可用来从非图案化的晶片清洁污染物。另外,上面讨论的该图案化的晶片上的示范性图案是突出的线条,如多晶硅线路或金属线。然而,本发明的概念适用于具有凹入特征的基片。例如,CMP之后凹入的过孔可在该晶片上形成特征,最适合的通道设计可以用于获得最佳的污染物去除效率。
基片,如这里用作示例的,表示但不限于半导体晶片、硬盘碟片、光盘、玻璃基片和平板显示表面、液晶显示表面等,其会在制造或搬运操作过程中受到污染。根据实际的基片,表面会以不同的方式受到污染,并且可接受的污染物水平在处理该基片的具体产业中限定。
尽管这里详细描述了本发明的几个实施例,但是本领域技术人员应当理解本发明实现为许多其他的具体形式而不背离本发明的主旨或范围。所以,当前的示例和实施例应当认为是说明性的而非限制性的,并且本发明不限于这里提供的细节,而是可在所附权利要求的范围内修改和实施。

Claims (23)

1.一种施加到用于形成集成电路器件的图案化基片的表面上以从该表面去除污染物的清洁材料,包含:
溶剂;
缓冲剂,用以改变该清洁材料的酸碱度(pH)值,其中该缓冲剂和该溶剂形成清洁溶液;以及
由分子量大于10000g/mol的聚合化合物组成的聚合物,其中该聚合物变得可溶于该清洁溶液以形成该清洁材料,该可溶化的聚合物具有长聚合物链以捕获和截留来自该用于形成集成电路器件的图案化基片的表面的至少一些污染物,该清洁材料形成为液相,其中当力施加于覆盖该图案化的基片的该清洁材料时该清洁材料在该图案化的基片的表面上器件特征附近变形,该清洁材料施加于该图案化的基片的表面以从该表面去除污染物而基本上不损伤该表面上的器件特征,在该清洁材料施加于该图案化的基片的表面之前,该清洁材料基本上不含研磨颗粒。
2.根据权利要求1所述的清洁材料,其中该溶剂选自水、异丙醇(IPA)、二甲基亚砜(DMSO)、二甲基甲酰胺(DMF)或其组合。
3.根据权利要求1所述的清洁材料,其中该聚合化合物选自:丙烯酸聚合物,如聚丙烯酰胺(PAM),聚丙烯酸(PAA),如Carbopol 940TM和Carbopol 941TM,PAM和PAA的共聚物,聚-(N,N-二甲基-丙烯酰胺)(PDMAAm),聚-(N-异丙基-丙烯酰胺)(PIPAAm),聚甲基丙烯酸(PMAA),聚甲基丙烯酰胺(PMAAm),聚亚胺和氧化物,如聚乙烯亚胺(PEI),聚乙烯氧化物(PEO),聚丙烯氧化物(PPO),乙烯基聚合物,如聚乙烯基醇(PVA),聚乙烯磺酸(PESA),聚乙烯胺(PVAm),聚乙烯-吡咯烷酮(PVP),聚-4-乙烯基吡啶(P4VP),纤维素衍生物,如甲基纤维素(MC),乙基-纤维素(EC),羟基乙基纤维素(HEC),羧基甲基纤维素(CMC),多糖,如阿拉伯胶,琼脂和琼脂糖,肝磷脂,瓜尔豆胶,黄原胶和蛋白质如胚乳,胶原蛋白和谷蛋白。
4.根据权利要求1所述的清洁材料,其中该分子量在大约0.1Mg/mol至大约100M g/mol之间。
5.根据权利要求1所述的清洁材料,其中该清洁材料中的聚合物的重量百分比是在大约0.001%至大约10%之间。
6.根据权利要求1所述的清洁材料,进一步包含:
表面活性剂,帮助将该聚合物在该清洁溶液中分散或润湿。
7.根据权利要求6所述的清洁材料,其中该表面活性剂是十二烷基硫酸铵(ADS)。
8.根据权利要求1所述的清洁材料,其中该清洁材料是液体、溶胶或凝胶形式的流体。
9.根据权利要求8所述的清洁材料,其中该清洁材料是液相乳液,带有溶解在该清洁溶液的聚合物液滴。
10.根据权利要求1所述的清洁材料,进一步包含:
气体,其中该清洁材料是具有包括液相和气相的双相的泡沫。
11.根据权利要求1所述的清洁材料,其中对于前端应用,该pH值在大约7至大约12之间。
12.根据权利要求1所述的清洁材料,其中对于后端应用,该pH值在大约1至大约7之间。
13.根据权利要求1所述的清洁材料,进一步包含:
提供离子的化合物,其在该清洁溶液中离子化以向该清洁材料提供更高的离子强度,从而降低该清洁材料的粘度。
14.根据权利要求1所述的清洁材料,其中形成聚合物链的聚合物至少部分受到离子力、静电力、范德瓦尔力、疏水性相互作用、空间相互作用或化学粘合的影响以捕获和截留污染物。
15.根据权利要求1所述的清洁材料,其中该清洁材料的粘度小于500cP。
16.根据权利要求1所述的清洁材料,其中该器件特征特征尺寸的关键尺寸小于或等于大约45nm。
17.根据权利要求1所述的清洁材料,其中部分该长聚合物链交联以形成聚合网状物,其帮助捕获和截留该污染物。
18.根据权利要求1所述的清洁材料,其中该清洁材料的金属污染物小于1ppb。
19.根据权利要求1所述的清洁材料,其中对于颗粒尺寸大于和等于65nm,该清洁材料的微粒污染物小于20。
20.根据权利要求1所述的清洁材料,其中该聚合化合物是聚丙烯酰胺(PAM),PAM的分子量大于或等于500000g/mol。
21.一种施加到用于形成集成电路器件的图案化基片的表面上以从该表面去除污染物的清洁材料,包含:
溶剂;以及
聚合物,其分子量足够大以当该聚合物变成可溶于该溶剂时形成聚合物链和凝胶形态的聚合网状物,该溶剂和该可溶化的聚合物形成该清洁材料,该清洁材料在施加于该图案化的基片的表面之前具有小于十亿分之一(ppb)的金属污染物,具有该聚合物链和聚合网状物的聚合物捕获和截留来自该用于形成集成电路器件的图案化基片的表面的污染物,其中当力施加到覆盖该图案化的基片的表面的该清洁材料时,该清洁材料在该图案化的基片的表面上器件特征附近变形,该清洁材料施加到该基片表面以从该表面去除污染物而基本上不损伤该表面上的器件特征,该清洁材料在该清洁材料施加于该图案化的基片的表面之前基本上不含研磨颗粒。
22.一种施加到用于形成集成电路器件的图案化基片的表面上以从该表面去除污染物的清洁材料,包含:
溶剂;
缓冲剂,改变该清洁材料的酸碱度(pH)值,其中该缓冲剂和该溶剂形成清洁溶液;以及
由分子量大于或等于500000g/mol的聚丙烯酰胺(PAM)组成的聚合物,其中该聚合物变得可溶于该清洁溶液以形成该清洁材料,在施加于该图案化的基片的表面之前,该清洁材料具有小于1ppb的金属污染物和大约7和大约12之间的pH值,该可溶化的聚合物具有长聚合物链以捕获和截留来自该用于形成集成电路器件的图案化基片的表面的至少一些污染物,该清洁材料形成为液相,其中当力施加于覆盖该图案化的基片的该清洁材料时,该清洁材料在该图案化的基片的表面上器件特征附近变形,该清洁材料施加于该图案化的基片的表面以从该表面去除污染物而基本上不损伤该表面上的器件特征,该清洁材料在该清洁材料施加于该图案化的基片的表面之前基本上不含研磨颗粒。
23.一种施加到用于形成集成电路器件的图案化基片的表面上以从该表面去除污染物的清洁材料,包含:
溶剂;
缓冲剂,改变该清洁材料的酸碱度(pH)值,其中该缓冲剂和该溶剂形成清洁溶液;以及
由分子量大于或等于500000g/mol的Carbopol 940TM形成的聚合物,其中该聚合物变得可溶于该清洁溶液以形成该清洁材料,在施加于该图案化的基片的表面之前,该清洁材料具有小于1ppb的金属污染物,该可溶化的聚合物具有长聚合物链以捕获和截留来自该用于形成集成电路器件的图案化基片的表面的至少一些污染物,该清洁材料形成为液相,其中当力施加于覆盖该图案化的基片的该清洁材料时,该清洁材料在该图案化的基片的表面上器件特征附近变形,该清洁材料施加于该图案化的基片的表面以从该表面去除污染物而基本上不损伤该表面上的器件特征,该清洁材料在该清洁材料施加于该图案化的基片的表面之前基本上不含研磨颗粒。
CN2008801221803A 2007-12-14 2008-12-12 利用单相和双相介质去除颗粒的材料 Active CN101903985B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US1395007P 2007-12-14 2007-12-14
US61/013,950 2007-12-14
US12/131,654 2008-06-02
US12/131,654 US8211846B2 (en) 2007-12-14 2008-06-02 Materials for particle removal by single-phase and two-phase media
PCT/US2008/013714 WO2009078975A2 (en) 2007-12-14 2008-12-12 Materials for particle removal by single-phase and two-phase media

Publications (2)

Publication Number Publication Date
CN101903985A true CN101903985A (zh) 2010-12-01
CN101903985B CN101903985B (zh) 2012-05-30

Family

ID=40751622

Family Applications (4)

Application Number Title Priority Date Filing Date
CN2008801221803A Active CN101903985B (zh) 2007-12-14 2008-12-12 利用单相和双相介质去除颗粒的材料
CN2008801221875A Active CN101903987B (zh) 2007-12-14 2008-12-12 利用单相和双相介质去除颗粒的材料
CN2008801221860A Expired - Fee Related CN101903986B (zh) 2007-12-14 2008-12-12 用于通过单相和双相介质除去微粒的装置
CN200880122176.7A Active CN102396050B (zh) 2007-12-14 2008-12-14 从衬底除去污染物的方法和装置

Family Applications After (3)

Application Number Title Priority Date Filing Date
CN2008801221875A Active CN101903987B (zh) 2007-12-14 2008-12-12 利用单相和双相介质去除颗粒的材料
CN2008801221860A Expired - Fee Related CN101903986B (zh) 2007-12-14 2008-12-12 用于通过单相和双相介质除去微粒的装置
CN200880122176.7A Active CN102396050B (zh) 2007-12-14 2008-12-14 从衬底除去污染物的方法和装置

Country Status (7)

Country Link
US (6) US8211846B2 (zh)
EP (4) EP2220672A4 (zh)
JP (4) JP5398733B2 (zh)
KR (4) KR101555392B1 (zh)
CN (4) CN101903985B (zh)
TW (4) TWI390614B (zh)
WO (4) WO2009078969A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102646587A (zh) * 2011-02-18 2012-08-22 富士通株式会社 制造化合物半导体器件的方法和洗涤剂
CN112837994A (zh) * 2019-11-25 2021-05-25 中芯国际集成电路制造(北京)有限公司 一种半导体器件的清洗方法

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009076201A1 (en) 2007-12-07 2009-06-18 Fontana Technology Method and composition for cleaning wafers
US7981221B2 (en) 2008-02-21 2011-07-19 Micron Technology, Inc. Rheological fluids for particle removal
US8105997B2 (en) * 2008-11-07 2012-01-31 Lam Research Corporation Composition and application of a two-phase contaminant removal medium
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
CN101890413B (zh) * 2009-05-18 2013-11-06 鸿富锦精密工业(深圳)有限公司 一种用于清洗并风干物料的装置
US20100320081A1 (en) 2009-06-17 2010-12-23 Mayer Steven T Apparatus for wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) * 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8367594B2 (en) * 2009-06-24 2013-02-05 Lam Research Corporation Damage free, high-efficiency, particle removal cleaner comprising polyvinyl alcohol particles
JP2012533649A (ja) * 2009-07-15 2012-12-27 ラム リサーチ コーポレーション 高度な基板洗浄剤及び洗浄用システム
JP4927158B2 (ja) * 2009-12-25 2012-05-09 東京エレクトロン株式会社 基板処理方法、その基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
JP6014985B2 (ja) * 2010-10-01 2016-10-26 三菱化学株式会社 半導体デバイス用基板洗浄液及び洗浄方法
US20120260517A1 (en) * 2011-04-18 2012-10-18 Lam Research Corporation Apparatus and Method for Reducing Substrate Pattern Collapse During Drying Operations
CN102220107A (zh) * 2011-04-19 2011-10-19 北京鑫诺美迪基因检测技术有限公司 一种测序仪毛细管清洗试剂
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
MY180178A (en) 2013-02-28 2020-11-24 Seagate Technology Llc Method of cleaning magnetic head slider
KR101935645B1 (ko) * 2014-05-12 2019-01-04 도쿄엘렉트론가부시키가이샤 유연한 나노구조의 건조를 향상시키는 방법 및 시스템
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US10283344B2 (en) 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
WO2016043924A1 (en) * 2014-09-18 2016-03-24 Applied Materials, Inc. Method and apparatus for high efficiency post cmp clean using engineered viscous fluid
EP3247508A4 (en) * 2015-01-20 2018-09-19 Ikonics Corporation Apparatus and method for removing abrasive particles from within a panel
US20170082852A1 (en) * 2015-09-18 2017-03-23 California Institute Of Technology Optic Green Light Illumination System
WO2017062134A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Small thermal mass pressurized chamber
CN116206947A (zh) 2015-10-04 2023-06-02 应用材料公司 缩减空间的处理腔室
WO2017062135A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Drying process for high aspect ratio features
CN108140603B (zh) 2015-10-04 2023-02-28 应用材料公司 基板支撑件和挡板设备
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
EP3394879A2 (en) * 2015-12-22 2018-10-31 Basf Se Composition for post chemical-mechanical-polishing cleaning
RU168186U1 (ru) * 2016-07-12 2017-01-23 Акционерное общество "Научно-исследовательский институт "Полюс" им. М.Ф. Стельмаха" Центрифуга
JP7073658B2 (ja) * 2017-09-25 2022-05-24 東京エレクトロン株式会社 基板処理方法、基板処理装置、及び記憶媒体
US10468243B2 (en) * 2017-11-22 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device and method of cleaning substrate
KR102046973B1 (ko) * 2018-04-10 2019-12-02 세메스 주식회사 기판의 세정방법 및 세정장치
CN110142271A (zh) * 2019-05-31 2019-08-20 苏州五方光电材料有限公司 一种光学镜片中片的清洗方法
KR102281885B1 (ko) * 2019-11-06 2021-07-27 세메스 주식회사 기판 세정 방법 및 기판 처리 장치
CN110834005A (zh) * 2019-11-20 2020-02-25 湖南金凯循环科技有限公司 一种锂电池回收用洗渣装置
KR102358801B1 (ko) * 2019-12-27 2022-02-08 주식회사 케이씨텍 표면 처리 조성물 및 이를 이용한 표면 처리 방법
CN112547662B (zh) * 2020-11-24 2022-04-05 江苏筑磊电子科技有限公司 异丙醇在火灾后电器表面处理的方法
WO2022196071A1 (ja) * 2021-03-19 2022-09-22 株式会社Screenホールディングス 基板処理方法、基板処理装置、および、ポリマー含有液

Family Cites Families (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL251243A (zh) 1959-05-04
US3212762A (en) 1960-05-23 1965-10-19 Dow Chemical Co Foam generator
US3167095A (en) 1961-02-02 1965-01-26 Dover Corp Automatic shut-off loading valve
US3436262A (en) 1964-09-25 1969-04-01 Dow Chemical Co Cleaning by foam contact,and foam regeneration method
US3617095A (en) 1967-10-18 1971-11-02 Petrolite Corp Method of transporting bulk solids
US4002571A (en) 1972-03-08 1977-01-11 S. C. Johnson & Son, Inc. Cleaning compositions
US3733538A (en) * 1972-03-28 1973-05-15 Westinghouse Electric Corp Apparatus for limiting instantaneous inverter current
US3978176A (en) 1972-09-05 1976-08-31 Minnesota Mining And Manufacturing Company Sparger
US3994744A (en) 1973-10-01 1976-11-30 S. C. Johnson & Son, Inc. No-scrub cleaning method
GB1507472A (en) 1974-05-02 1978-04-12 Bunker Ramo Foamable coating remover composition
US4156619A (en) 1975-06-11 1979-05-29 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for cleaning semi-conductor discs
GB1519178A (en) * 1975-09-17 1978-07-26 Ciba Geigy Ag Detergent compositions
US4133773A (en) 1977-07-28 1979-01-09 The Dow Chemical Company Apparatus for making foamed cleaning solutions and method of operation
US4238244A (en) 1978-10-10 1980-12-09 Halliburton Company Method of removing deposits from surfaces with a gas agitated cleaning liquid
US4330571A (en) * 1978-12-26 1982-05-18 Lockheed Corporation Method of applying corrosion preventive coating to metallic cable
US4613379A (en) * 1981-04-20 1986-09-23 Alcon Laboratories, Inc. Cleaning agent for optical surfaces
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4533399A (en) * 1983-04-12 1985-08-06 Minnesota Mining And Manufacturing Company Contact lens cleaning method
GB8404000D0 (en) * 1984-02-15 1984-03-21 Unilever Plc Wiping surfaces
US4911761A (en) 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
DE3533531A1 (de) * 1985-09-20 1987-04-02 Henkel Kgaa Reinigungsmittel fuer harte oberflaechen
WO1988000081A1 (en) 1986-07-08 1988-01-14 Kohlensäurewerk Deutschland Gmbh Process for separating volatile substances
NL8601939A (nl) 1986-07-28 1988-02-16 Philips Nv Werkwijze voor het verwijderen van ongewenste deeltjes van een oppervlak van een substraat.
US4839082A (en) * 1986-12-24 1989-06-13 Alcon Laboratories, Inc. Contact lens cleaning compositions containing a carboxy vinyl polymer
US4962776A (en) 1987-03-26 1990-10-16 Regents Of The University Of Minnesota Process for surface and fluid cleaning
US4817652A (en) 1987-03-26 1989-04-04 Regents Of The University Of Minnesota System for surface and fluid cleaning
US4849027A (en) 1987-04-16 1989-07-18 Simmons Bobby G Method for recycling foamed solvents
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US5048549A (en) 1988-03-02 1991-09-17 General Dynamics Corp., Air Defense Systems Div. Apparatus for cleaning and/or fluxing circuit card assemblies
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
GB2228944A (en) * 1989-03-08 1990-09-12 Unilever Plc Non-aqueous liquid cleaning composition
US5000795A (en) 1989-06-16 1991-03-19 At&T Bell Laboratories Semiconductor wafer cleaning method and apparatus
US5102777A (en) 1990-02-01 1992-04-07 Ardrox Inc. Resist stripping
IE902759A1 (en) 1990-02-16 1991-08-28 Rohm & Haas Liquid cleaning compositions containing water-soluble¹polymer
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
EP0445728B1 (en) 1990-03-07 1994-06-08 Hitachi, Ltd. Apparatus and method for cleaning solid surface
CA2020207C (en) * 1990-06-29 1996-06-25 Jack A. Rattee Bonded paper pigments
EP0467472A3 (en) * 1990-07-16 1993-06-02 Colgate-Palmolive Company Hard surface liquid cleaning composition with anti-soiling polymer
JPH04162627A (ja) * 1990-10-26 1992-06-08 Matsushita Electric Ind Co Ltd 薬液処理装置
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
DE4038587A1 (de) 1990-12-04 1992-06-11 Hamatech Halbleiter Maschinenb Transportvorrichtung fuer substrate
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5261966A (en) 1991-01-28 1993-11-16 Kabushiki Kaisha Toshiba Method of cleaning semiconductor wafers using mixer containing a bundle of gas permeable hollow yarns
US5175124A (en) 1991-03-25 1992-12-29 Motorola, Inc. Process for fabricating a semiconductor device using re-ionized rinse water
US5585034A (en) * 1991-11-21 1996-12-17 Colgate-Palmolive Co. Gelled near tricritical point compositions
US5242669A (en) 1992-07-09 1993-09-07 The S. A. Day Mfg. Co., Inc. High purity potassium tetrafluoroaluminate and method of making same
US5288332A (en) 1993-02-05 1994-02-22 Honeywell Inc. A process for removing corrosive by-products from a circuit assembly
US5336371A (en) 1993-03-18 1994-08-09 At&T Bell Laboratories Semiconductor wafer cleaning and rinsing techniques using re-ionized water and tank overflow
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
US5855649A (en) * 1993-07-26 1999-01-05 Ada Technologies Solutions, Llc Liquid additives for particulate emissions control
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5950645A (en) 1993-10-20 1999-09-14 Verteq, Inc. Semiconductor wafer cleaning system
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5518542A (en) 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5938504A (en) 1993-11-16 1999-08-17 Applied Materials, Inc. Substrate polishing apparatus
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP2823813B2 (ja) 1994-05-06 1998-11-11 鹿島建設株式会社 剥離性ポリマー膜による壁面汚れの剥離方法
US5534167A (en) 1994-06-13 1996-07-09 S. C. Johnson & Son, Inc. Carpet cleaning and restoring composition
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
EP0692318B1 (en) 1994-06-28 2001-09-12 Ebara Corporation Method of and apparatus for cleaning workpiece
US6081650A (en) 1994-06-30 2000-06-27 Thomson Licensing S.A. Transport processor interface and video recorder/playback apparatus in a field structured datastream suitable for conveying television information
US5955414A (en) * 1994-10-05 1999-09-21 S. C. Johnson & Son, Inc. Cleaning foam having fluorinated stain repellent and low flammability
US5772784A (en) 1994-11-14 1998-06-30 Yieldup International Ultra-low particle semiconductor cleaner
JPH08172068A (ja) * 1994-12-19 1996-07-02 Fujitsu Ltd 半導体基板の洗浄方法及び半導体装置の製造方法
JPH08250455A (ja) * 1995-02-15 1996-09-27 Texas Instr Inc <Ti> 化学機械的に研磨される半導体ウェーハ面から汚染粒子を除去する方法および装置
US5663132A (en) * 1995-03-01 1997-09-02 Charvid Limited Liability Company Non-caustic composition comprising peroxygen compound and metasilicate and cleaning methods for using same
JP3504023B2 (ja) 1995-05-26 2004-03-08 株式会社ルネサステクノロジ 洗浄装置および洗浄方法
US5964958A (en) 1995-06-07 1999-10-12 Gary W. Ferrell Methods for drying and cleaning objects using aerosols
US5968285A (en) 1995-06-07 1999-10-19 Gary W. Ferrell Methods for drying and cleaning of objects using aerosols and inert gases
US6050283A (en) * 1995-07-07 2000-04-18 Air Liquide America Corporation System and method for on-site mixing of ultra-high-purity chemicals for semiconductor processing
US6532976B1 (en) 1995-07-10 2003-03-18 Lg Semicon Co., Ltd. Semiconductor wafer cleaning apparatus
US5750031A (en) * 1995-09-26 1998-05-12 Clariant Finance (Bvi) Limited Process for producing surfactant having a low metal ion level and developer produced therefrom
JPH09298180A (ja) * 1996-03-06 1997-11-18 Sumitomo Chem Co Ltd シリコンウエハーの洗浄方法
US6165970A (en) 1996-03-29 2000-12-26 The Procter & Gamble Company Detergent composition comprising acrylic acid-based polymer and amino tricarboxylic acid-based compound
US5770548B1 (en) * 1996-05-14 1999-06-29 Johnson & Son Inc S C Rinseable hard surface cleaner comprising silicate and hydrophobic acrylic polymer
DE19622015A1 (de) 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
WO1998013149A1 (fr) 1996-09-25 1998-04-02 Shuzurifuresher Kaihatsukyodokumiai Systeme de lavage utilisant un gaz liquefie de haute densite
TW357406B (en) 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6701941B1 (en) 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
JPH10321572A (ja) 1997-05-15 1998-12-04 Toshiba Corp 半導体ウェーハの両面洗浄装置及び半導体ウェーハのポリッシング方法
US6340013B1 (en) * 1997-07-03 2002-01-22 Richard Berkeley Britton Four-stroke internal combustion engine with recuperator in cylinder head
JPH1126423A (ja) 1997-07-09 1999-01-29 Sugai:Kk 半導体ウエハ等の処理方法並びにその処理装置
US6152805A (en) 1997-07-17 2000-11-28 Canon Kabushiki Kaisha Polishing machine
US6491764B2 (en) 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
US6398975B1 (en) 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
JPH11137504A (ja) * 1997-11-10 1999-05-25 Masanobu Kujirada 清掃装置
DE19751859A1 (de) 1997-11-22 1999-07-29 Henkel Ecolab Gmbh & Co Ohg Mittel zum Reinigen von harten Oberflächen
JP3039493B2 (ja) 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US6270584B1 (en) 1997-12-03 2001-08-07 Gary W. Ferrell Apparatus for drying and cleaning objects using controlled aerosols and gases
US5865901A (en) * 1997-12-29 1999-02-02 Siemens Aktiengesellschaft Wafer surface cleaning apparatus and method
US6042885A (en) 1998-04-17 2000-03-28 Abitec Corporation System and method for dispensing a gel
KR100265286B1 (ko) * 1998-04-20 2000-10-02 윤종용 반도체장치 제조용 케미컬 순환공급장치 및 이의 구동방법
JP2002518545A (ja) 1998-06-15 2002-06-25 ザ ルブリゾル コーポレイション 水溶性または水分散性合成ポリマーを含む水性組成物
US6462013B1 (en) 1998-06-26 2002-10-08 National Starch And Chemical Investment Holding Corporation Isotropic liquid detergent comprising ethylenically unsaturated acid monomer-C1 to C24 chain transfer agent polymerization product
US6049996A (en) 1998-07-10 2000-04-18 Ball Semiconductor, Inc. Device and fluid separator for processing spherical shaped devices
US5944581A (en) 1998-07-13 1999-08-31 Ford Motor Company CO2 cleaning system and method
JP3003684B1 (ja) 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
JP2000141215A (ja) 1998-11-05 2000-05-23 Sony Corp 平坦化研磨装置及び平坦化研磨方法
JP2000265945A (ja) 1998-11-10 2000-09-26 Uct Kk 薬液供給ポンプ、薬液供給装置、薬液供給システム、基板洗浄装置、薬液供給方法、及び基板洗浄方法
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000260739A (ja) 1999-03-11 2000-09-22 Kokusai Electric Co Ltd 基板処理装置および基板処理方法
US6290780B1 (en) 1999-03-19 2001-09-18 Lam Research Corporation Method and apparatus for processing a wafer
US6733538B1 (en) 1999-03-25 2004-05-11 The Procter & Gamble Company Laundry detergent compositions with certain cationically charged dye maintenance polymers
US6384109B1 (en) * 1999-03-25 2002-05-07 Proflow, Inc. Polymer make-down unit with flushing feature
US6272712B1 (en) 1999-04-02 2001-08-14 Lam Research Corporation Brush box containment apparatus
JP4516176B2 (ja) 1999-04-20 2010-08-04 関東化学株式会社 電子材料用基板洗浄液
JP4247587B2 (ja) 1999-06-23 2009-04-02 Jsr株式会社 半導体部品用洗浄剤、半導体部品の洗浄方法、研磨用組成物、および研磨方法
US6562726B1 (en) 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6120175A (en) * 1999-07-14 2000-09-19 The Porter Company/Mechanical Contractors Apparatus and method for controlled chemical blending
US6306012B1 (en) * 1999-07-20 2001-10-23 Micron Technology, Inc. Methods and apparatuses for planarizing microelectronic substrate assemblies
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
US6443812B1 (en) 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US6734121B2 (en) 1999-09-02 2004-05-11 Micron Technology, Inc. Methods of treating surfaces of substrates
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US7122126B1 (en) 2000-09-28 2006-10-17 Materials And Technologies Corporation Wet processing using a fluid meniscus, apparatus and method
US6858089B2 (en) 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6340663B1 (en) 1999-11-24 2002-01-22 The Clorox Company Cleaning wipes
US6576066B1 (en) 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
DE60014183D1 (de) 1999-12-29 2004-10-28 T R Oil Services Ltd Verfahren zur änderung der permeabilität von einer unterirdischen kohlenwasserstoff enthaltenden formation
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6276459B1 (en) 2000-02-01 2001-08-21 Bradford James Herrick Compressed air foam generator
US6594847B1 (en) 2000-03-28 2003-07-22 Lam Research Corporation Single wafer residue, thin film removal and clean
US6457199B1 (en) 2000-10-12 2002-10-01 Lam Research Corporation Substrate processing in an immersion, scrub and dry system
JP2004510838A (ja) 2000-05-17 2004-04-08 ヘンケル・コマンディットゲゼルシャフト・アウフ・アクチエン 洗剤または洗浄剤成形体
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US6703358B1 (en) 2000-07-13 2004-03-09 Rhodia Chimie Cleaning composition for hard surfaces
JP4590700B2 (ja) 2000-07-14 2010-12-01 ソニー株式会社 基板洗浄方法及び基板洗浄装置
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
CN1193410C (zh) 2000-09-01 2005-03-16 株式会社德山 残渣洗涤液
DE10044472A1 (de) * 2000-09-08 2002-03-21 Cognis Deutschland Gmbh Waschmittel
US6328042B1 (en) 2000-10-05 2001-12-11 Lam Research Corporation Wafer cleaning module and method for cleaning the surface of a substrate
US20020094684A1 (en) 2000-11-27 2002-07-18 Hirasaki George J. Foam cleaning process in semiconductor manufacturing
US6525009B2 (en) * 2000-12-07 2003-02-25 International Business Machines Corporation Polycarboxylates-based aqueous compositions for cleaning of screening apparatus
DE10063427A1 (de) * 2000-12-20 2002-07-11 Henkel Kgaa Antibakterielles Reinigungsmittel
JP2004516057A (ja) * 2000-12-21 2004-06-03 ザ、プロクター、エンド、ギャンブル、カンパニー 動力付き手持ち式磨き洗浄及び分配装置、並びにその使用方法
KR100416592B1 (ko) * 2001-02-10 2004-02-05 삼성전자주식회사 매엽식 웨이퍼 세정 장치 및 이를 이용한 웨이퍼 세정 방법
TWI242805B (en) 2001-02-15 2005-11-01 United Microelectronics Corp Post metal etch cleaning method
US6493902B2 (en) 2001-02-22 2002-12-17 Chung-Yi Lin Automatic wall cleansing apparatus
JP2002280343A (ja) 2001-03-15 2002-09-27 Nec Corp 洗浄処理装置、切削加工装置
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6627587B2 (en) 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
JP4176485B2 (ja) * 2001-05-18 2008-11-05 ラム リサーチ コーポレーション 表面張力を低減させるプロセスを実現する基板処理の装置および方法
JP3511514B2 (ja) 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
DE10128894A1 (de) 2001-06-15 2002-12-19 Basf Ag Verfahren zur schmutzablösungsfördernden Behandlung von Oberflächen textiler und nicht-textiler Materialien
EP1430106B1 (en) * 2001-09-24 2008-05-07 The Procter & Gamble Company Cleaning composition
US6812194B2 (en) 2001-09-28 2004-11-02 Ecolab, Inc. Alkaline metal cleaner comprising sulfonated-hydrophobically modified polyacrylate
US20030087795A1 (en) 2001-11-02 2003-05-08 Wood William A Hard surface cleaning composition
BR0214226A (pt) 2001-11-19 2004-09-21 Unilever Nv Composição de limpeza, processo para manualmente limpar substratos sujos, e, kit para realizar o processo
US20030171239A1 (en) 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US20040003828A1 (en) 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
JP2003283103A (ja) 2002-03-22 2003-10-03 Seiko Epson Corp パターン形成方法および装置並びにデバイスの製造方法およびデバイス
JP4094323B2 (ja) 2002-04-03 2008-06-04 株式会社ルネサステクノロジ 基板洗浄方法および半導体装置の製造方法
JP4570008B2 (ja) 2002-04-16 2010-10-27 東京エレクトロン株式会社 液処理装置および液処理方法
US20040159335A1 (en) 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040002430A1 (en) 2002-07-01 2004-01-01 Applied Materials, Inc. Using a time critical wafer cleaning solution by combining a chelating agent with an oxidizer at point-of-use
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
AU2003253361B2 (en) 2002-08-15 2009-02-19 Ciba Specialty Chemicals Water Treatments Limited High molecular weight cationic polymers obtained by post-polymerisation crosslinking reaction
JP2004101849A (ja) * 2002-09-09 2004-04-02 Mitsubishi Gas Chem Co Inc 洗浄剤組成物
US7520285B2 (en) * 2002-09-30 2009-04-21 Lam Research Corporation Apparatus and method for processing a substrate
JP4212861B2 (ja) * 2002-09-30 2009-01-21 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いたシリコンウエハの研磨方法、並びにリンス用組成物及びそれを用いたシリコンウエハのリンス方法
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7198055B2 (en) 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7093375B2 (en) 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7022193B2 (en) * 2002-10-29 2006-04-04 In Kwon Jeong Apparatus and method for treating surfaces of semiconductor wafers using ozone
US6733596B1 (en) 2002-12-23 2004-05-11 Lam Research Corporation Substrate cleaning brush preparation sequence, method, and system
US20040163681A1 (en) 2003-02-25 2004-08-26 Applied Materials, Inc. Dilute sulfuric peroxide at point-of-use
US6951042B1 (en) 2003-02-28 2005-10-04 Lam Research Corporation Brush scrubbing-high frequency resonating wafer processing system and methods for making and implementing the same
US7169192B2 (en) 2003-05-02 2007-01-30 Ecolab Inc. Methods of using heterogeneous cleaning compositions
US20040261823A1 (en) 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US7648584B2 (en) 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US7696141B2 (en) 2003-06-27 2010-04-13 Lam Research Corporation Cleaning compound and method and system for using the cleaning compound
US7737097B2 (en) 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US7258834B2 (en) * 2003-08-01 2007-08-21 Agilent Technologies, Inc. Methods and devices for modifying a substrate surface
KR100734669B1 (ko) * 2003-08-08 2007-07-02 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법 및 그 장치
US6946396B2 (en) 2003-10-30 2005-09-20 Nissan Chemical Indusries, Ltd. Maleic acid and ethylene urea containing formulation for removing residue from semiconductor substrate and method for cleaning wafer
US7279455B2 (en) * 2003-11-06 2007-10-09 Ecolab, Inc. Rinse aid composition and method of rising a substrate
KR20050044085A (ko) 2003-11-07 2005-05-12 삼성전자주식회사 집적회로 소자의 세정액 및 그 세정액을 이용한 세정방법
GB2408512A (en) 2003-11-26 2005-06-01 Reckitt Benckiser Inc Floor treatment compositions comprising an amphoteric hydrotrope
US7353560B2 (en) 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US7862662B2 (en) 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US7416370B2 (en) 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US7568490B2 (en) 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
JP2005194294A (ja) 2003-12-26 2005-07-21 Nec Electronics Corp 洗浄液及び半導体装置の製造方法
KR100596865B1 (ko) * 2004-01-05 2006-07-04 주식회사 하이닉스반도체 고평탄성 슬러리 조성물 및 이를 이용한 층간 절연막의cmp 방법
EP1715510B2 (en) * 2004-02-09 2016-02-24 Mitsubishi Chemical Corporation Substrate cleaning liquid for semiconductor device and cleaning method
CN1654617A (zh) 2004-02-10 2005-08-17 捷时雅株式会社 清洗用组合物和半导体基板的清洗方法及半导体装置的制造方法
US20050183740A1 (en) 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
CN1933759B (zh) 2004-03-31 2010-12-15 兰姆研究有限公司 利用相容化学品的基板刷子擦洗和接近清洗干燥程序、接近基板制备程序和实施前述程序的方法、设备和系统
JP2006005246A (ja) * 2004-06-18 2006-01-05 Fujimi Inc リンス用組成物及びそれを用いたリンス方法
US9117860B2 (en) 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US7281840B2 (en) * 2004-07-09 2007-10-16 Tres-Ark, Inc. Chemical mixing apparatus
DE602005001820T2 (de) 2004-08-25 2008-04-30 Rohm And Haas Co. Verdickungsmittel für wässrige Systeme mit hohem pH-Wert
FR2878441B1 (fr) * 2004-11-26 2008-09-19 Oreal Composition liquide de nettoyage a base de tensioactifs anioniques ; utilisations pour le nettoyage des matieres keratiniques humaines
US8136423B2 (en) 2005-01-25 2012-03-20 Schukra of North America Co. Multiple turn mechanism for manual lumbar support adjustment
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
JP4578993B2 (ja) * 2005-02-02 2010-11-10 Azエレクトロニックマテリアルズ株式会社 ポリシラザン処理溶剤およびこの溶剤を用いるポリシラザンの処理方法
US7560384B2 (en) * 2005-02-23 2009-07-14 Jsr Corporation Chemical mechanical polishing method
JP2007036152A (ja) 2005-07-29 2007-02-08 Tokyo Seimitsu Co Ltd ウェーハ洗浄乾燥方法及びウェーハ洗浄乾燥装置
KR100701762B1 (ko) * 2005-08-19 2007-03-29 부경대학교 산학협력단 초임계 이산화탄소에 적용되는 계면활성제, 이의 제조 방법및 이의 용도
JP2007081291A (ja) 2005-09-16 2007-03-29 Elpida Memory Inc ウエハ洗浄方法
DE102005044513A1 (de) * 2005-09-16 2007-03-22 Henkel Kgaa Reinigungsmittel für harte Oberflächen
US7807766B2 (en) 2005-09-21 2010-10-05 Cognis Ip Management Gmbh Polymers for use in cleaning compositions
SG154438A1 (en) * 2005-12-30 2009-08-28 Lam Res Corp Cleaning compound and method and system for using the cleaning compound
US20070256247A1 (en) 2006-05-08 2007-11-08 Marc Privitera Molten solid phase loading of nonwoven
KR100766343B1 (ko) 2006-05-24 2007-10-11 세메스 주식회사 기판 세정 건조 방법
JP4912791B2 (ja) * 2006-08-21 2012-04-11 Jsr株式会社 洗浄用組成物、洗浄方法及び半導体装置の製造方法
US8093199B2 (en) * 2006-11-17 2012-01-10 Basf Se Premoistened cleaning disposable substrate and method of incorporation of a cleaning composition into said substrate
US8388762B2 (en) 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
WO2009076201A1 (en) * 2007-12-07 2009-06-18 Fontana Technology Method and composition for cleaning wafers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102646587A (zh) * 2011-02-18 2012-08-22 富士通株式会社 制造化合物半导体器件的方法和洗涤剂
CN102646587B (zh) * 2011-02-18 2015-01-07 富士通株式会社 制造化合物半导体器件的方法和洗涤剂
US8940622B2 (en) 2011-02-18 2015-01-27 Fujitsu Limited Method for manufacturing compound semiconductor device and detergent
CN112837994A (zh) * 2019-11-25 2021-05-25 中芯国际集成电路制造(北京)有限公司 一种半导体器件的清洗方法
CN112837994B (zh) * 2019-11-25 2022-12-09 中芯国际集成电路制造(北京)有限公司 一种半导体器件的清洗方法

Also Published As

Publication number Publication date
WO2009078969A1 (en) 2009-06-25
US8084406B2 (en) 2011-12-27
US8758522B2 (en) 2014-06-24
KR101538188B1 (ko) 2015-07-20
JP5398733B2 (ja) 2014-01-29
TWI360173B (en) 2012-03-11
JP5286367B2 (ja) 2013-09-11
CN101903986B (zh) 2013-01-23
TW200941572A (en) 2009-10-01
TW200948953A (en) 2009-12-01
JP5398732B2 (ja) 2014-01-29
EP2220671A2 (en) 2010-08-25
US20090151754A1 (en) 2009-06-18
EP2220670A1 (en) 2010-08-25
JP2011507271A (ja) 2011-03-03
CN102396050B (zh) 2014-09-03
US20120132234A1 (en) 2012-05-31
US7967019B2 (en) 2011-06-28
EP2220670A4 (en) 2012-08-01
CN102396050A (zh) 2012-03-28
US8211846B2 (en) 2012-07-03
EP2220672A1 (en) 2010-08-25
EP2220671A4 (en) 2012-08-01
KR101592610B1 (ko) 2016-02-18
US20120125375A1 (en) 2012-05-24
TW200941565A (en) 2009-10-01
WO2009078975A2 (en) 2009-06-25
EP2220669A4 (en) 2012-08-01
KR20100113512A (ko) 2010-10-21
TWI390614B (zh) 2013-03-21
JP5290321B2 (ja) 2013-09-18
CN101903986A (zh) 2010-12-01
TWI464791B (zh) 2014-12-11
CN101903987B (zh) 2012-02-29
JP2011507270A (ja) 2011-03-03
EP2220672A4 (en) 2012-08-01
KR20100100969A (ko) 2010-09-15
CN101903987A (zh) 2010-12-01
WO2009078968A1 (en) 2009-06-25
TW200939329A (en) 2009-09-16
TWI414595B (zh) 2013-11-11
US20090151752A1 (en) 2009-06-18
KR20100111690A (ko) 2010-10-15
KR101555392B1 (ko) 2015-09-23
EP2220669A1 (en) 2010-08-25
US8226775B2 (en) 2012-07-24
JP2011507279A (ja) 2011-03-03
US20090156452A1 (en) 2009-06-18
WO2009079422A1 (en) 2009-06-25
US20090151757A1 (en) 2009-06-18
KR20100111692A (ko) 2010-10-15
CN101903985B (zh) 2012-05-30
WO2009078975A3 (en) 2009-08-27
JP2011507269A (ja) 2011-03-03

Similar Documents

Publication Publication Date Title
CN101903987B (zh) 利用单相和双相介质去除颗粒的材料
TWI487785B (zh) 先進之基板清理用材料及系統
KR101486625B1 (ko) 파티클 제거를 위한 세정 재료의 조성물
US8314055B2 (en) Materials and systems for advanced substrate cleaning
US8317934B2 (en) Multi-stage substrate cleaning method and apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant