TWI345265B - Methods for stable and repeatable plasma ion implantation - Google Patents

Methods for stable and repeatable plasma ion implantation Download PDF

Info

Publication number
TWI345265B
TWI345265B TW094115568A TW94115568A TWI345265B TW I345265 B TWI345265 B TW I345265B TW 094115568 A TW094115568 A TW 094115568A TW 94115568 A TW94115568 A TW 94115568A TW I345265 B TWI345265 B TW I345265B
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
processing chamber
source
pulse
Prior art date
Application number
TW094115568A
Other languages
English (en)
Other versions
TW200539327A (en
Inventor
Steven R Walther
Ziwei Fang
Justin Tocco
Iii Carleton F Ellis
Original Assignee
Varian Semiconductor Equipment
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment filed Critical Varian Semiconductor Equipment
Publication of TW200539327A publication Critical patent/TW200539327A/zh
Application granted granted Critical
Publication of TWI345265B publication Critical patent/TWI345265B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

1345265 九、發明說明: 【發明所屬之技術領域】 本發明㈣用⑨基板之電漿離子植入之系統及方法, 更特別i也’有關用於至少部分地補償所正在植入之離子 與基板間之相互作用所不企望之效應的方法。 【先前技術】 離子植入係一種用以導入改變導電性之雜質於半導體 晶圓内之標準技術。在習知之離子束植入系統中,所企望 :雜質材料游離於離子源中,該等離子加速而形成指定能 離子束且該離子束指向晶圓之表面。在離子束中之帶 能量離子穿透進人半導體材料之體内且嵌人於該半導體材 料之晶格内而形成所企望之導電性區。 在半導體產業中之熟知趨勢係朝向更小、更高速之裝 ^ C 〃半導體裝置巾之特性的橫向尺寸及深度正漸減 # :雜材料之植入凍度至少部分地藉植入於半導體晶圓 ,广子的月b里所決定。離子束佈植器典型地設計用於有 效t地操作於比較高之植人能量,且可能無法有效率地作 用方;淺接面植入所需之低能量處。 電水知雜系統已接受探討於形成淺接面於半導體晶圓 °在電轉雜系統中,半導體晶®係置放於-作用為陰 ° ;處理至中之導電性平台之上。含有所企望之摻雜 二/可游離之處理氣體導入於該室之内,且電壓脈波施 /平σ與陽極或該室之壁之間,而形成具有電漿鞘之 電漿於晶圓$ ρ 、、處。所施加之脈波使電漿中之離子越過 5 1345265 該電聚勒而植入B Jf]々& ,. 入的圓之内。植入之深度則相關於晶圓盥陽 極間所施加之電壓。可做到極低的佈植能量。電毁摻雜 :锸述於例如1994年1〇月u曰准予讥叫之美國專利 =,^381號、2_年2月i日准予仏⑽等人之美國 W “,〇20,592號、及2〇〇1年2月6曰准予— 寻人之美國專利第6,〗82,604號中。 在上述之電襞摻雜系統中,所施加之電麼脈波會產生 _及從該電榮加速正離子朝向晶圓。在熟知為電聚浸 处ί t統之其他型式的電聚系統中’連續式或脈波式RF 月b里把加於處理室,藉此產生連續式或脈波式電漿。在時 間間隔處,可同步⑨RF脈波之負的電壓脈波乃施加於平 台與陽極之間’以使電漿中之正離子加速朝向晶圓。 欲佈植之表面可能會以不企望之方式與將植入之離子 :互作用’例如離子植入可能會產生基板表面上之絕緣或 +絕緣之結構充電。在佈植之期間,在基板表面上之諸如 ^阻遮罩之膜或層可能會釋放氣體及改變組成,該光阻遮 在佈植開始時可為絕緣物,而當佈植進行時則可能會變 得更導電°該等效應可能造成不穩定及/或不可 入條件。 人^理電漿離子植人中之該等議題之先前技術的方式包 二以务、彳4或供肖法來預處理光阻以減少氣體放出。同 ^ ’该光阻可藉惰性離子物種之電聚離子植入或藉電㈣ 又予以預處理’其中基板正偏壓以便吸取電子自電衆,以 及該等經吸取之電子便預處理光阻。㈣方式在料植入 6 1345265 摻雜材料之前需要額外 卜的方法步驟且因此會降低產出量。 離子束佈植系統已使用產 車交低之初始射束電流以降低光 阻效應0此方式應用於離不击^ 、離子束布統且具有改變射束之空間 電荷分佈之缺點,因而影響到會相依於瞬時劑量速率之饰 :直均勻性及產生佈植缺陷。此離子束方式亦會導致電荷令 和的困難,因為諸如雷早 冤子尼搶之中和系統會最佳化於特定 的射束電流條件。 【發明内容】 根據本月之第一觀點,提供一種用於基板之電浆離子 之方法4方法包含提供一電漿離子植入系統,該系 中包::一處理室;-來源’用以生產-電漿於該處理室 ,平纟,用以保持一基板於該處王里室巾;以及一脈波 原用以產生植入脈波供加速來自言亥電漿之離子進入該基 7内;根據-具有劑量速率之植人過程而電漿離子植入 ^基板’·以及在該植入過程之期間變化該劑量速率。 根據本發明之第二觀點,提供一種用於基板之電漿離 /植入之方法。該方法包含提供-電衆離子植入系統,該 =統包::-處理室;-來源’用以生產—電漿於該處理 中,平台,用以保持一基板於該處理室中;一陽極, 間隔於兮巫a · — 千D,以及一脈波源,用以產生植入脈波供加速 來自該電漿之雜工^ 之碓子進入该基板之内;加速來自該電漿之離 J。玄陽極以造成二次電子發射自該陽極;加速來自玆 ^ t z 另 〜寺二。人電子到該基板;以及根據一楂入過程而 離子植入該基板。 包水 7 1345265
根據本發明之第三觀點,提供—種用於基板之電装離 子植入之方法。該方法包含提供一電聚離子植入系統…亥 系統包含:一處理室;—來源…生產一電衆於該處: 室中;-平台,用以保持-基板於該處理室中;以及—脈 波源’用以產生植入脈波供加速來自該電漿之離子進入該 基板之内;根據—才直入過矛呈巾電衆離子植入該基板;以及 在該植入過程之期間調整離子能量,而至少部分地補償所 正在植入之離子與該基板間之不企望的相互作用效應。 根據本發明之第四觀點,一種電漿離子植入系統包含: 處理至,一來源,用以生產一電漿於該處理室中;—平 台,用以保持一基板於該處理室中;一脈波源,用以產生 植入脈波供加速來自該電漿之離子進入該基板之内;以及 一植入控制态,建構用以根據一具有劑量速率之植入過程 而電漿離子植入該基板,及建構用以在該植入過程之期間 變化該劑量速率。 根據本發明之第五觀點,一種電漿離子植入系統包含: 一處理室;一來源,用以生一電漿於該處理室中;一平台, 用以保持一基板於該處理室中;一陽極,間隔於該平台; 一脈波源,用以產生植入脈波供加速來自該電漿之離子進 入該基板之内;以及一電源供應器,用以加速來自該電聚 之離子到該陽極以造成一次電子發射自該陽極,及用以加 速來自該陽極之該第二次電子到該基板。 根據本發明之第六觀點’一種電漿離子植入系統包含: 一處理室;一來源,用以生產一電漿於該處理室中;一平 8 1345265 台,用以保持一基板於該處理室中;一脈波源,用以產生 植入脈波供加速來自該電漿之離子進入該基板之内·以 -植入控制器,建構用以根據一植入過程而電聚離子:二 該基板,及建構用以在該植入過程之期間調整離子能量, 而至少部分地補償所正在植入之離子與該基板間之不:望 的相互作用效應。 【實施方式】 適用於本發明實施之電襞離子Μ入系統的實例示意地 顯示於第1圖中。本發明之實施例結合第2至7圖予q 明。在第1至7圖中之相同元件具有相同的參考數字。° 丄處理tH)界定一封閉之容積12。位於該冑1〇内之平 台14提供一用以保持諸如半導體晶圓2〇之基板的表面。 例如該晶圓20之周邊可箝於該平纟14 4平表面。在一實 施例中,該平台具有—用以支撑晶圓2〇之導電性表面。 在另一實施例中,該平台具有一用以連接於晶目2〇之 電性接腳(未圖示)。 陽極24係以間隔於該平台〗4之關係定位於該室1 〇之 内陽極24可以以箭頭20所示之方向垂直於平台14地 知動。典型地,該陽極連接於該室10之導電壁,兩者可 接地°在另—實施例中,平台14係接地以及陽極24則脈 波化於負電壓。在進一步實施例中,陽極24及平台14兩 者可相對於接地而偏壓。 晶圓20 (經由平台14)及陽極24連接於高壓脈波源 30 ’使得該晶圓20作用為陰極。典型地,該脈波源3〇提 9 1345265 供在振幅上約20至20,_伏特、在持續時間上約】至200 微秒以及在脈波重複率上約麵z至2GKHz之範圍的脈 波將瞭解的疋,遠等脈波參數值僅為舉例,其他值可使 用於本發明之範_之内。 〆至1 0之封閉的各積1 2係透過一可控制之閥3 2而搞 '合於真空栗34。處理氣體源36透過—質量流控制器”耦 -合於該室1〇。位於該室1〇内之壓力感測器48提供一指示 鲁該室壓力之信號於控制器46。該控制器46比較經該感測 之室壓力於一所企望之壓力輸入,且提供-控制信號於閥 32或貝里流控制器38。該控制信號控制閥或質量流控 制器38以便使該室壓力與所企望壓力之間的差異最小。 真空泵34、閥32、質量流控制器38 '壓力感測器48及控 制器46建構一封閉式迴路壓力控制系統。該壓力典型地 控制於大約1毫托至大約5〇〇毫托的範圍中,但並未受限 於此範圍。氣體源36供應含有用於佈植於工件内之所企 φ望摻雜物之可游離的氣體。該可游離的氣體之實例包含 ;抑3 N2、Ar、PH3、AsH3及B2H6。質量流控制器38調節 供應至5亥室10之氣體的速率。第1圊中所示之架構提供 .連續之處理氣體流於所企望之流率及恆常壓力。較佳地, s亥壓力及氣體流係調節以提供可重複之結果。在另一實施 例中,4氣體流可利用控制器46所控制之閥予以調節, 而該閥32則保持於固定位置。此一安排稱為上游壓力控 制。亦可使用其他架構以調節氣體壓力。 電漿摻雜系統可包含一中空陰極54,連接於一中空陰 10 丄扣265 源、56。在一實施例中,該中空陰極54包含一包圍 P極24和平台14之間的導電中空圓柱體…陰極可 :二:需/極低離子能量的應用[尤其,中空陰極脈波 :以供一脈波電壓,該脈_足可形成電聚於該室丨2 ’以及脈波源30建立所企望之佈植電壓。有關中空 =之使用的額外.細節提供於上述美國專利第6,182,6〇4 ,δ亥專利將結合於本文中供參考。 二-或更多個法拉第杯(Faradaycups)可定位毗鄰於平 ° 用以測置植入該晶圓2〇之内的離子 :门之實施例中’法拉第……相等間隔地圍:該 各法拉第杯包含一具有入…向電聚 電丨G®!!。各法拉第杯較佳儘可能實用地定位接 =於晶圓20’及攔截從電毁4〇朝向平台】4所加速之正離 “的樣本。在另一實施例中,環形之法拉第杯係定位圍繞 著晶圓20及平台14。 該等法第杯電連接於劑量處理器7〇或其他劑量監看電 路。透過入口 6〇進入各法拉第杯之正離子產生-代表離 子電流之m連接於法拉第杯之電路巾。該劑量處理 7〇可處理該電流以決定離子劑量。 ° 該電毁離子植入系統可包含—包圍該平台14之防護产 66。該防護環66可偏壓以改善接近該晶圓2〇邊 : 離子分佈的均勻性。今笼法#贫 °亥等法拉第杯50、52可定位於 核66之内,接近晶圓2〇及平台14的周邊。 該電焚離子植入系統可依據系統之架構而包含額外的 11 1345265 組件。使用連續式或脈波式RF能量之系統包含一耦合於 天線或感應線圈之RF源。該系統可包含磁性元件而提供 可限定電子以及控制電漿密度及空間分佈之磁場。在電激 離子植入系統中之磁性元件的使用描述於例如2003年6 月12日所公告之WO 03/049142專利中,其將結合於本文 中供參考。 在操作上,晶圓2 0係定位於平台1 4之上。該壓力控 制系統46、質量流控制器38及氣體源36產生企望之壓力 及氣體流率於室10之内。例如該室1 〇可藉BF3氣體操作 於1 〇毫托之壓力。脈波源30施加一系列高壓脈波於晶圓 20 ’而形成電漿40於晶圓20與陽極24間之電漿放電區44 之中。如相關技術中所熟知地,電漿4〇含有來自氣體源36 之可游離氣體的正離子。電漿4〇包含於電漿鞘42,典型 地該電漿鞘42在晶圓20表面之附近。在高壓脈波之期間, 存在於陽極24與平台14間之電場加速來自電漿4〇的正 離子,使之越過電漿鞘42朝向平台14。加速的離子楂入 於晶圓20之内而形成雜質材料之區域。選擇脈波電墨以 植入正離子於晶圓2"之企望的深度。選擇脈波之數目 =脈,持續時間以提供雜f材料之所企望的劑量於晶圓Μ 。母個脈波之電流為脈波電壓、氣體藶力及物種以及電 可變位置的函數。例如陰極對陽極之間隔可調整 方、不同的電愿。 根據本發明第一實施例 意方塊圖顯示於第2圖中二 /植八系統之簡略示 、 * 了過耘控制組件之外,電漿 12 1345265 *植入過程子系統100包含第1圖中所示之電E離子植入系 統之右干或所有組件。植入控制器110根據植入過裎來控 制過秸子系統100以執行電漿離子植入。 忒植入過私例如可指定諸如離子物種、離子能量、離 子d里里速率、處理室壓力、植入脈波參數及類似者 ·之參數。在若干實施例中,植入控制器110操作於預先程 ,式規劃參數之開路架構中。在植入過程之期間,該等植入 參數可為常數;或者一或更多的該等參數可具有可程式規 劃的文化。在其他實施例中,植入控制器丄i 〇可操作於閉 路&構中’其中至少""選用之感測器12G感測過程子系統 1〇〇之參數及提供感測器信號122於植入控制@ n〇。植 入控制器UG可調整過程子系統1G0之-或更多個參數來 f應該感測器信號心在進一步之實施例中,植入控制 器1 1 0可利用程式規劃控制及閉路回授控制的組合。 植入控制器110可調整諸如脈波寬度、脈波頻率、離 #子能量、電锻密度、RF功率、電場、磁場及/或陽極至陰 .極間隔之過程子系統100的參數,以便控制植入過程且尤 其控制劑量速率。離子物種及總劑量通常固定於特定之過 種。未固定之參數則可分別地或以任一組合予以調整。 劑量逮率可藉控制若干參數予以調整,包含但未受限 寬度、植入脈波頻率或兩者。離子能量可藉控 = 脈波之振幅而調整。電衆密度例如可藉控制輸入功 二 4 RF)、電場或陰極至陽極間隔、或藉控制電衆 乾圍予以調整。電漿密度之控制轉而控制劑量速率。在植 13 1345265 入過程之期間,諸如脈波寬度、脈波頻率及/或電漿密度 之麥數可快速地調整,且藉此准許劑量速率之動態控制。 劑量速率可動態地變化,以至少部分地補償所正在佈植之 離:與基板間之不企望的相互作用效應。此等效應包含但 未受限於光阻之氣體放出以及基板之充電。 植入控制器11 〇可實施為通用型電腦(諸如PC )、過 程控制器或特殊目的控制器,其可依植入過程予以程式規 劃。包含固定及變動參數之植入過程可儲存於記憶體中。 感測器120可感測處理室内之不同的狀況,諸如基板 之,面狀況、來自基板之氣體放出及/或基板之充電。例 如氣體放出可藉感測壓力變化於基板之附近處予以感測。 諸如殘留氣體分析(RGA)及發光攝譜術(OES)之技術 可用於感測處理室之參數。如上述地,可使用一或更多個 感測器。 根據本發明第二實施例之電聚離子植入系統之簡略示 意方塊圖顯示於第3圖中。在第3圖之實施例中,植入控 制器供脈波源30之開路㈣,用以控制劑量速率、 離子能量或兩者。例如植人控制胃11()可根據預先程式規 」之植入過知來控制劑量速率。該植入脈波之寬度可藉程 式規劃脈波之寬度為時間或植人劑量之函數而動態地調 整’以直接控制劑量於各脈波中。此允許各植入脈波中所 電荷量最佳化於佈植環境及基板表面狀況。脈波重 複頻率可以以相同方式調整,而允許調整時間平均之劑量 速率。在若干實施例中’可同時調整脈波寬度及脈波重複 U45265 :率二率中之所企望的變化。在特定實施例 始劑ϋ率產i基板之電製植入期間增加。低的初 里迷车產生殳控制之來自光阻的氣 p且穩定以用於隨後之更高劑量速率的佈植。且使。玄" =該劑量速率可以以階梯式或連續式予以調整。可 率的第一實例顯示於…之中。當植入進 之;:1速率會以階梯130、132、134而増加且所植入 之增加,直到抵達最終之劑量速率136為止。最終 數目:二:3:則維持固定供剩餘之植入過程用”皆梯之 劑量==之寬度及振幅可在本發明之範嘴内變化。 j里速率連續在至少部分佈植上增加之實例顯示於第4Β 。邊劑量速率在佈植之初始部分上根據從初始劑量速率 42至最終劑量速率144之劑量速率曲線Μ0連續地增加, 且劑量速率最後維持固定供剩餘之植入過程用。在第4Β 圖令’初始之劑量速率142、劑量速率曲線14〇之形狀及 =續時間、以及最終之劑量速率144可在本發明之範嘴内 :化。在各第4Α及4Β圖中,相似形狀之劑量速率輪廓將 稭繪製劑量速率為時間之函數而獲得。在植入過程期間之 諸如該等顯示於第4Α及4Β目中之劑量速率變化,可預先 &式規劃於植入控制器丨1 0之内。 大致地,企望於限制使用小於最大劑量速率期間之佈 植的分量’因為小於最大劑量速率之擴大期間將增加總佈 植時間及降低產出量。 以控制每個脈波之劑量速率及時間平均劑量速率的替 15 代id擇或附加太垒a L 方案而έ ’可藉改變佈植期間之電漿密;^而 動態地控制與n士,/ %水在度而 方法 河吩的蜊量速率。此控制技術依據電漿產生之 之功率二t含控制施加於電歌源的功率或該電聚源所吸收 控制電聚範°:F或微波功率);諸如藉控制磁性或靜電元件 & ,以及諸如藉控制中和氣體密度或電漿尺寸 如藉調整陽極至陰極之間隔來控制)而控制離子化 深度可藉改變佈植期間之植入脈波的振幅而予以 動恶地控制。此將改變 入物種之冰度輪廓,但可限制此 " ⑯諸如揮發性物種之氣體放出的效應。此外,在 一脈波式電浆之實施例中,可能企望於使電衆脈波寬度比 植入脈波寬度更寬,以湓仅+ 故見虔比 、 確保在該植入脈波之後有適當的電 水中和。此可利用諸如中空電極脈波源56(第 替電漿源來供應中和性電子而達成。 根據本發明繁二♦ # 7 , 么, 第—貝轭例之電漿離子植入系統的簡略示 思方塊圖顯示於第5A圖之φ _ 口之中 顯不一用於閉路控制劑量 速率及/或離子能量之备 月匕里之糸統。感測器12〇感測處理室1〇 之參數且提供感測器信妒认处 上 。乜琥122於植入控制器11()。回應於 該感測器信號1 22,植入批釗,t 植入控制态1 10控制脈波源3〇之一或 更多個參數,諸如植入脈浊宮 ,.^ 〇. ^ _ /皮寬度、植入脈波頻率及植入脈 波振幅。感測器120提供炎白枯 捉仏采自植入處理環境之回授,諸如 壓力控制參數、基板電壓、晶 日日圓偏壓供應器電流、臨場充 電監看器、發光攝譜術、殘留氣體分析、傅立葉轉換之紅 外光為主的氣體分析或+ % ^ 、 刀啊及电漿放電之視頻分析。將理解的 16 是’-或更多個感測器可提供感測信號至植入控制器i! 〇。 植:控制it m可執行經感測之參數的分析,以決定該植 ’之操作疋否在正常的操作範圍内。若一或更多個經 志到之參數係在正常操作範g之外時,則控制信號可提供 至脈波源3G @如上述地調整劑量速率。選擇此調整以移 動操作條件於該正常之範圍之内。 /本發明之第四實施例將參照第6及7圖描述。第6圖
係根據本發明第四實施例之建構用於藉二次電子預處理基 :。2〇之電漿離子植入系統的簡略示意方塊圖。第7圖係 :耘圖’描繪根據本發明第四實施例之用於基板之電漿離 子植入的方法。 a在預處理步驟之期間,使脈波源3G (第i圖)斷接於 陽:24及平台14或使該脈波源30失能。預處理電源供 j态200連接於陽極24及平台14,使得陽極μ相對於平 J 14為負偏壓》平纟14可接地或正偏壓。電源供應器· β二μ負偏壓一V於陽極24。電漿放電區44中之電漿 可“源供應1 200或藉分離式電漿源(未顯示於第6圖 中1予以初始化及維持。電漿4〇可含有用於隨後之佈植 的核雜材料之離子或可含情性氣體之離子。在電毁40中 :正離子係加速朝向及撞擊負偏壓之陽極。該等正離子之 揎拏a攸陽極24之表面產生二次電子發射。該第二次電 子藉負的陽極偏壓電位加速而朝向定位面向陽極Μ之基 板20表面。該基板可偏壓或接地,使得二次電子之淨能 量為發射能量加上陽極偏壓與施加於基板20之任何偏^ 17 1345265 間之差。該系統之接地參考值可由基板本身或由另一接地 表面提供。 帶能量之二次電子預先處理基板22上之光阻遮罩,且 減少在隨後之離子植入步驟之期間的氣體放出。二次電子 具有足夠的能量及劑量’使光阻遮罩之氣體放出在離子植 入之4貫貝地元成。此允δ午離子植入相對地免於光阻氣體 放出之效應,使曝射於低能量電子或帶負電的離子最小 化,以及允6午在電子曝射期間之正離子抵達基板以令和電 荷之不平衡。 適用於預處理步驟之氣體種類可包含但未受限於 BF3 AsF5 N2 Ar、PH3、AsH3、B2H6。施加於陽極 24 之電壓可範圍自一 500伏特至—20仟伏(Kv)。適合之電 子劑量可範圍自1Ε15至lE17cm·2。 極 24而造成二二欠電子發射。如上述地,正電荷之離子藉 陽極24之上的負偏壓而從電漿4〇加速至陽極24。在步^ 252中,相同的負偏壓加速來自陽極24之二次電子至=板 以用於基板20之預處理。該二次電子之爲擊將㈣ 者,直到諸如光阻氣體放出之不企望的效應實質完成為 止。然後建構該電漿離子植入系統供電毀離子植入=。例 :’如第】圖中所示及如上述地,可斷接預處理電源供應 失能’以及使脈波源30致能。然後,基板:〇 电聚離子植人將根制定之佈植方法而執行步驟⑼。 右干貫施例中,諸如劑量速率、離子能量或兩者之泰衆 18 1345265 離子植入法的參數係變化於植入過程之期間,以至少部分 地補償所正在佈植離子與基板間之不企望的相互作用= 應。所需之參數變化可由於該預處理步驟之結果而減少。 在其他實施財’該植人法之參數可在電I離子植入之 間保持怪常。 已藉此描述本發明之至少一實施例的若干觀點。將理 解的是’種種改變、修正及改善將輕易產生於該等熟習於 本:頁技術之人士。㈣改變、修正及改善係意圖為此揭示 之#分,且打异涵蓋於本發明之精神及範圍内。因此,上 述說明及圖式僅只為舉例。 【圖式簡單說明】 參考結合於本文中供參考之附圖以用於較佳地瞭解 發明,其中: 第1圖係電漿離子植入系統之簡略示意方塊圖; 第2圖係根據本發明第一實施例之電漿離子植入系統 之簡略示意方塊圖; 第3圖係根據本發明第二實施例之電漿離子植入系統 之簡略示意方塊圖; 第4Α圖係劑量之函數的劑量速率圖形,描纷基极之 电漿離子植入期間在劑量速率中之階梯式增加。 第4Β圖係劑量之函數的劑量速率圖形,描繪基板之 ^聚離子植入期間在劑量速率中之連續式增加; 第5圖係根據本發明第三實施例之電漿離子植入系統 之簡略示意方塊圖; 19 1345265 第6圖係根據本發明第四實施例之電漿離子植入系統 之簡略示意方塊圖;以及 第7圖係根據本發明第四實施例的用於基板之電漿離 子植入之方法的流程圖。 【主要元件符號說明】
10 處理室 12 封閉之容積 14 平台 20 晶圓 24 陽極 26 移動方向 30 高壓脈波源 32 閥 34 真空泵 36 處理氣體源 38 質量流控制器 40 電漿 42 電漿鞘 44 電漿放電區 46 壓力控制器 48 壓力感測器 50,52 法拉第杯 54 中空陰極 5 6 中空陰極脈波源 20 1345265
60 入口 66 防護環 70 劑量處理器 100 電漿植入處理子系統 110 植入控制器 120 感測器 122 感測器信號 130,132,134 階梯 136 最終劑量速率 140 劑量速率曲線 142 初始劑量速率 144 最終劑量速率 200 預處理電源供應器 250,252,254 步驟
21

Claims (1)

  1. 申請專利範圍·· ⑽年2月15曰修正替換頁 1 · -種用於基板之電漿離子植入之方法,包含. 提供一電漿離子植入系統,古玄 3 . 丁凡S系統包含:一處理室; —來源,用以生產一電漿於該處理 一 土 τ , '台,用以保 持—基板於該處理室中;以及一脈 ' ,,, 脈波源,用以產生植入脈 波供加速來自该電漿之離子進入該基板之内; 根據一具有劑量速率$始Λ、ϋ Y 迓丰之植入過程而電漿離子植入該基 板;以及 在該植入過程之期間以預先程式規劃的劑量速率變化 來變化該劑量速率。 2 ·如申請專利範圍第1項 .,^ 項之方法’其中變化該劑量 速率包含在該植入過程之期間增加該劑量速率。 3 ·如申請專利範圍第1頊 .,^ 年阳平i孭之方法,其中變化該劑量 速率包含在該植入過程之期間 你、J间瑨加5亥劑量速率於一或更多 個步驟中。 4如申請專利範圍帛丨項之方法,其中變化該劑量 速率包含在至少—部分該植人過程之期間連續地增加該劑 量速率。 5 ·如申請專利範圍帛丄項之方法,《中變化該劑量 速率包含變化該等植入脈波之脈波寬度。 6如申请專利範圍第1項之方法,其中變化該劑量 速率包含變化該等植入脈波之脈波頻率。 7如申請專利範圍第1項之方法,其中變化該劑量 速率包含變化該等植入脈波之脈波寬度及脈波頻率。 22 1345265 100年2月15日修正替換頁 8 .如申請專利範圍第丨項之方法,姜 速率包含調整該劑量速率如該植入過程所指 9 ·如申請專利範圍第1項之方法,^ 速率包含感測該電漿離子植入系統之參數Y 測之參數來控制該劑量速率。 1 0 .如申請專利範圍第9項之方法, 包含感測來自該基板之氣體放出。 ' 11 .如申請專利範圍第9項之方法, _ 包含感測基板充電。 1 2 .如申請專利範圍第9項之方法, 包含感測基板表面狀況。 1 3 .如申請專利範圍第9項之方法, 包含感測該處理室中之壓力。 1 4 .如申請專利範圍第9項之方法, 包含以殘留氣體分析器來感測該參數。 1 5 .如申請專利範圍第9項之方法, _ 包含藉發光攝譜儀來感測該參數。 : 1 6 .如申請專利範圍第9項之方法, , 量速率包含控制該等植入脈波之至少一參數 1 7 ·如申請專利範圍第9項之方法, 量速率包含維持該經感測之參數之設定點。 1 8 ·如申請專利範圍第1項之方法, 過程之期間變化該劑量速率包含調整該處理 之電漿密度。 中變化該劑量 定。 中變化該劑量 及依據該經感 其中感測參數 其中感測參數 其中感測參數 其中感測參數 其中感測參數 其中感測參數 其中控制該劑 〇 其中控制該劑 其中在該植入 至中之該電漿 23 丄:
    19 .如申請專利範圍…之方法,其中該來源包 :::源,以及其中在該植入過程之期間變化該劑量速率包 含調整該RF源之rf功率。 M 2 〇 .如申請專利範圍帛1項之方法,其中在該植入 過私之期間變化該劑#速率包含調整該處理室中之電場。 21 ·如申請專利_ i項之方法,其中在該植入 過程之期間變化該劑量速率包含調整該處理室中之磁場。 2 士申叫專利乾圍帛j項之方法,其中該電漿離 子植入系統進—步包含H間隔於該平台;以及其中 在該植人㈣之期間變化該劑量速率包含調整該陽極與該 平台間之間隔。 2 3 . —種用於基板之電漿離子植入之方法,包含: 提供一電漿離子植入系統’該系統包含:一處理室; -來源,肖以生產一電漿於該處理室中;一平台,用以保 持一基板於該處理室中;一陽極,間隔於該平台;以及一
    脈波源用以產生植入脈波供加速來自該電漿之離子進入 該基板之内; 加速來自该電漿之離子到該陽極以造成二次電子發射 自該陽極。 加速來自該陽極之該等二次電子到該基板;以及 根據一植入過程而電漿離子植入該基板。 2 4 .如申請專利範圍第23項之方法,其中該等二次 電子具有能量於約500eV至20KeV之範圍中。 2 5 ·如申凊專利範圍第23項之方法,其中該陽極塗 24 100年2月15日修正替換頁 覆有一電子發射材料。 2 6 .如申請專利範圍第2;3項之方法, 對於該電漿係負偏壓。 2 7 .如申請專利範圍第26項之方法, 接地。 2 8 .如申凊專利範圍第%項之方法, 正偏壓。 2 9 ·如申凊專利範圍第26項之方法, 陰極包圍該陽極與該電漿間之電漿放電區, 陰極係接地。 3 〇 . —種用於基板之電漿離子植入之 提供一電漿離子植入系統,該系統包含 一來源,用以生產一電漿於該處理室中:— -基板於該處理室以及一脈波源,用以 供加速來自該電装之離子進人該基板之内; 根據一植入過程而電漿離子植入該基板 在該植入過程之期間調整離子能量,而 铷所正在植入之離子與該基板間之不企望 應。 3 1 種電黎·離子植入系統,包含: 一處理室; 一來源,用以生產一電漿於該處理室中 平〇,用以保持一基板於該處理室中 一脈波源,用以產生植入脈波供加迷來 其中該陽極相 其中該平台係 其中該平台係 其中一中空之 且其中該中空 方法,包含: :一處理室; 平台,用以保 產生植入脈波 :以及 至少部分地補 的相互作用效 自該電漿之離 25 1345265 100年2月15日修正替換頁
    子進入該基板之内;以及 ,植入控制器,建構用以根據-具有劑量速率之植入 過輕而電漿離子植入該基板,及冑構用以在該植入過程之 期間以預先程式規劃的劑量速率變化來變化該劑量速率。 3 2 · —種電漿離子植入系統,包含: 、 〆處理室; /來源,用以生產一電漿於該處理室中; 〆平台,用以保持一基板於該處理室中; 一陽極’間隔於該平台; 一脈波源,用以產生植入脈波供加速來自該電漿之離 子進入該基板之内;以及 /電源供應器’用以加速來自該電聚之離子到該陽極 以造成二次電子發射自該陽極,&用以加速來自該陽極之 該等二次電子到該基板。 33·—種電漿離子植入系統,包含: 一處理室; • 一來源,用以生產—電漿於該處理室中; _· 一平σ ’用以保持一基板於該處理室中; , 一脈波源,用以產生植入脈波供加速來自該電漿之離 子進入該基板之内;以及 -植入控制器,建構用以根據一植入過程而電漿離子 植入該基板,及建構用以在該植入過程之期間調整離子能 量’而至少部分地補償所正在植入之離子與該基板間之不b 企望的相互作用效應。 26
TW094115568A 2004-05-24 2005-05-13 Methods for stable and repeatable plasma ion implantation TWI345265B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/852,643 US7396746B2 (en) 2004-05-24 2004-05-24 Methods for stable and repeatable ion implantation

Publications (2)

Publication Number Publication Date
TW200539327A TW200539327A (en) 2005-12-01
TWI345265B true TWI345265B (en) 2011-07-11

Family

ID=35375740

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094115568A TWI345265B (en) 2004-05-24 2005-05-13 Methods for stable and repeatable plasma ion implantation

Country Status (6)

Country Link
US (1) US7396746B2 (zh)
JP (2) JP5071976B2 (zh)
KR (1) KR101126376B1 (zh)
CN (2) CN1998062B (zh)
TW (1) TWI345265B (zh)
WO (1) WO2005115104A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805684B (zh) * 2018-01-31 2023-06-21 美商艾克塞利斯科技公司 通過腔室幫浦與清洗降低製程腔室之釋氣影響

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
US20080160170A1 (en) * 2006-12-28 2008-07-03 Varian Semiconductor Equipment Assoicates, Inc. Technique for using an improved shield ring in plasma-based ion implantation
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7615748B2 (en) 2007-09-25 2009-11-10 Varian Semiconductor Equipment Associates, Inc. Outgassing rate detection
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US7638781B2 (en) * 2007-10-22 2009-12-29 Varian Semiconductor Equipment Associates, Inc. Local pressure sensing in a plasma processing system
US20090104719A1 (en) * 2007-10-23 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System with In-Situ Chamber Condition Monitoring
WO2010008598A1 (en) * 2008-07-17 2010-01-21 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
CN102203898B (zh) * 2008-07-17 2016-11-16 真实仪器公司 在处理系统化学分析中使用的电子束激励器
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
RU2454485C1 (ru) * 2010-10-18 2012-06-27 Государственное образовательное учреждение высшего профессионального образования "Ивановский государственный энергетический университет имени В.И. Ленина" (ИГЭУ) Способ импульсно-периодической ионной обработки металлического изделия и устройство для его осуществления
JP2012178474A (ja) * 2011-02-25 2012-09-13 Ulvac Japan Ltd 不純物導入方法
KR20120106359A (ko) * 2011-03-18 2012-09-26 한국전자통신연구원 금속 산화막 형성방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8728587B2 (en) * 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
WO2015073921A1 (en) 2013-11-14 2015-05-21 Eagle Harbor Technologies, Inc. This disclosure relates generally to a high voltage nanosecond pulser.
US10978955B2 (en) * 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9773712B2 (en) 2015-08-25 2017-09-26 Toshiba Memory Corporation Ion implantation apparatus and semiconductor manufacturing method
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018148182A1 (en) 2017-02-07 2018-08-16 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
EP3665775A4 (en) 2017-08-25 2020-07-22 Eagle Harbor Technologies, Inc. ARBITRARY WAVEFORM GENERATION USING NANO-SECOND PULSES
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR101995708B1 (ko) * 2018-03-30 2019-07-04 파워소프트 주식회사 초기 부스팅된 펄스 전압을 제공하는 플라즈마 펄스 전원 장치
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN110828272B (zh) * 2018-08-09 2022-09-16 北京北方华创微电子装备有限公司 腔室内衬、下电极装置和半导体处理设备
EP3834285A4 (en) 2018-08-10 2022-07-20 Eagle Harbor Technologies, Inc. PLASMA JACKET CONTROL FOR RF PLASMA REACTORS
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020146436A1 (en) 2019-01-08 2020-07-16 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
EP4082036A4 (en) 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11830705B2 (en) * 2020-08-20 2023-11-28 PIE Scientific LLC Plasma flood gun for charged particle apparatus
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62219920A (ja) * 1986-03-20 1987-09-28 Sony Corp 半導体装置の製造方法
JPH02203522A (ja) * 1989-02-02 1990-08-13 Matsushita Electric Ind Co Ltd イオン注入方法
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5498290A (en) * 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
US5508227A (en) * 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
JP3676919B2 (ja) * 1997-10-09 2005-07-27 株式会社アルバック 反応性イオンエッチング装置
EP0942453A3 (en) * 1998-03-11 2001-02-07 Axcelis Technologies, Inc. Monitoring of plasma constituents using optical emission spectroscopy
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
KR20020019596A (ko) * 1999-08-06 2002-03-12 브라이언 알. 바흐맨 기판의 표면을 가로질러 주입량의 균일성을 제공하기 위한장치 및 방법
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6458430B1 (en) * 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6383554B1 (en) * 2000-09-05 2002-05-07 National Science Council Process for fabricating plasma with feedback control on plasma density
JP2003073814A (ja) * 2001-08-30 2003-03-12 Mitsubishi Heavy Ind Ltd 製膜装置
JP4484421B2 (ja) * 2002-06-21 2010-06-16 独立行政法人科学技術振興機構 プラズマ表面処理方法及び装置
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805684B (zh) * 2018-01-31 2023-06-21 美商艾克塞利斯科技公司 通過腔室幫浦與清洗降低製程腔室之釋氣影響

Also Published As

Publication number Publication date
JP5071976B2 (ja) 2012-11-14
US20050260837A1 (en) 2005-11-24
WO2005115104A2 (en) 2005-12-08
CN1998062B (zh) 2010-09-01
WO2005115104A3 (en) 2006-07-06
CN101892463B (zh) 2012-09-19
KR101126376B1 (ko) 2012-03-28
JP2012178571A (ja) 2012-09-13
KR20070026635A (ko) 2007-03-08
CN101892463A (zh) 2010-11-24
US7396746B2 (en) 2008-07-08
TW200539327A (en) 2005-12-01
CN1998062A (zh) 2007-07-11
JP2008500729A (ja) 2008-01-10

Similar Documents

Publication Publication Date Title
TWI345265B (en) Methods for stable and repeatable plasma ion implantation
KR100395272B1 (ko) 플라즈마도핑이온주입프로세스에서도우즈균일성을얻기위한장치
JP4666448B2 (ja) 中空カソードを含むプラズマドーピングシステム。
US7326937B2 (en) Plasma ion implantation systems and methods using solid source of dopant material
US6213050B1 (en) Enhanced plasma mode and computer system for plasma immersion ion implantation
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
US7528389B2 (en) Profile adjustment in plasma ion implanter
US7687787B2 (en) Profile adjustment in plasma ion implanter
WO2005086204A2 (en) Modulating ion beam current
JP2013537706A (ja) 誘電体基板のプラズマ浸漬イオン注入用制御装置
WO2006063035A2 (en) Plasma ion implantation system with axial electrostatic confinement
US20080315127A1 (en) Ion Implanter Operating in Pulsed Plasma Mode
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
TW201017731A (en) USJ techniques with helium-treated substrates
WO2008039652A1 (en) Non-doping implantation process utlizing a plasma ion implantation system
JP2003506890A (ja) 基板の表面間に均一な注入ドーズ量を与えるためのシステム及び方法
KR101963761B1 (ko) 플라즈마 침적 모드에서 이온주입기를 제어하는 방법
KR101096490B1 (ko) 플라즈마를 이용한 도핑 방법 및 이에 사용되는 장치
JPH04218250A (ja) イオン注入装置
JPH0864167A (ja) イオン処理装置
JPH05205646A (ja) イオン注入装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees