JP2012178571A - 安定かつ反復可能なプラズマイオン注入方法及び装置 - Google Patents

安定かつ反復可能なプラズマイオン注入方法及び装置 Download PDF

Info

Publication number
JP2012178571A
JP2012178571A JP2012079462A JP2012079462A JP2012178571A JP 2012178571 A JP2012178571 A JP 2012178571A JP 2012079462 A JP2012079462 A JP 2012079462A JP 2012079462 A JP2012079462 A JP 2012079462A JP 2012178571 A JP2012178571 A JP 2012178571A
Authority
JP
Japan
Prior art keywords
plasma
substrate
implantation
pulse
ions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012079462A
Other languages
English (en)
Inventor
Steven R Walter
ワルター、スティーブン・アール
Ziwei Fang
ファン、ツィウェイ
Justin Tocco
トッコ、ジャスティン
F Ellis Carleton Iii
エリス、カールトン、エフ、ザ・サード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of JP2012178571A publication Critical patent/JP2012178571A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】プラズマイオン注入装置において、注入されているイオンと基板との間の相互作用の不所望な効果を少なくとも部分的に補償する方法を提供する。
【解決手段】基板のプラズマイオン注入のための方法は、処理チャンバ、前記処理チャンバ内にプラズマを生成するためのソース、前記処理チャンバ内で基板を保持するためのプラテン、及びプラズマから基板へイオンを加速するための注入パルスを生成するパルスソースを含むプラズマイオン注入装置を与える工程と、注入処理に従い基板のプラズマイオン注入を実行する工程と、注入されるイオンと基板との間の不所望な相互作用の効果を少なくとも部分的に補償するよう注入処理中にイオンエネルギーを調節する工程と、を備える。
【選択図】図5

Description

本発明は基板のプラズマイオン注入用の装置及び方法に関し、特に、注入されるイオンと基板との間の不所望な相互作用効果を少なくとも部分的に補償する方法に関する。
イオン注入は半導体ウエハ内に導電率を変化させる不純物を導入するための標準的な技術である。従来のビームラインイオン注入装置では、所望の不純物材料がイオンソース内でイオン化され、そのイオンが加速されて所定のエネルギーのイオンビームを形成し、そのイオンビームがウエハの表面に向けられる。イオンビーム内の高エネルギーイオンは半導体材料のバルク内に進入し、半導体材料の結晶格子中に埋め込まれ所望の導電率領域を形成する。
半導体産業の周知のトレンドは、小型化及び高速デバイス化の方向に向かっている。特に、半導体デバイスの横方向の寸法及び深さが減少してきている。ドーパント材料の注入深さは、半導体ウエハ内に注入されるイオンのエネルギーにより少なくとも部分的に決定される。典型的に、ビームラインイオン注入装置は比較的高い注入エネルギーでの動作で有効であるように設計され、シャロージャンクション注入に要求される低エネルギーでは効果的に動作しない。
プラズマドーピング装置が半導体ウエハ内にシャロージャンクションを形成するために研究されてきた。プラズマドーピング装置において、半導体ウエハは導体プラテン上に載置され、それは陽極として機能し、処理チャンバ内に配置される。所望のドーパント材料を含むイオン性処理ガスがチャンバ内に導入され、プラテンと陽極またはチャンバ壁との間に電圧パルスが印加され、ウエハ近傍にプラズマシースを有するプラズマが形成される。印加されたパルスはプラズマ中のイオンにプラズマシースを横切らせ、ウエハ内に注入させる。注入の深さは、ウエハと陽極との間に印加される電圧に比例する。非常に低い注入エネルギーを達成することができる。プラズマドーピング装置は、例えば、特許文献1(Shengによる1994年10月11日発行の米国特許第5,354,381号)、特許文献2(Liebertらによる2000年2月1日発行の米国特許第6,020,592号)、及び特許文献3(Goecknerらによる2001年2月6日発行の米国特許第6,182,604号)に記載されている。
上記プラズマドーピング装置において、印加された電圧パルスはプラズマを生成し、プラズマからウエハ方向へ正イオンを加速する。プラズマイマージョン装置として知られる他の種類のプラズマ装置において、連続またはパルス状RFエネルギーが処理チャンバに印加され、それにより連続またはパルス状プラズマが生成される。インターバルにおいて、RFパルスを同期する負電圧パルスがプラテンと陽極との間に印加され、プラズマ中の正イオンがウエハ方向に加速される。
米国特許第5,354,381号 米国特許第6,020,592号 米国特許第6,182,604号
注入面は不所望の方向に注入されているイオンと相互作用する。例えば、イオン注入は基板の表面に絶縁または半絶縁構造の帯電を生じさせ得る。基板表面上のフォトレジストマスクのような膜または層が、注入中にガス及び電荷組成物を放出する。フォトレジストは注入の開始において絶縁体であるが、注入が進むに従い導体に成り得る。これらの効果により、不安定及び/または非反復的な注入条件が生じる。
プラズマイオン注入におけるこれらの問題に対する従来のアプローチは、紫外線によるフォトレジストの前処理またはベーキングによるガス抜きを含む。また、フォトレジストは不活性イオン種のプラズマイオン注入またはプラズマイマージョンにより前処理される。ここで、基板はプラズマから電子を取り出すために正にバイアスされ、この取り出された電子がフォトレジストを前処理する。これらのアプローチは、ドーパント材料のイオン注入前に付加的な処理工程を要求し、そのためスループットが減少する。
ビームラインイオン注入装置はより低い初期ビーム電流を使用してフォトレジスト効果を減少させた。このアプローチがビームライン装置に適用されると、ビームの空間電荷分布を変化させる欠点を有し、その結果注入均一性を壊し、瞬間的なドーズ率に依存する注入欠陥が生じる。電子フラッドガンのような中和システムは特定のビーム電流条件に対して最適化されるため、ビームラインアプローチはまた電荷中和の困難性を導く。
本発明の第1の態様に従い、基板にプラズマイオンを注入するための方法が与えられる。当該方法は、処理チャンバ、該処理チャンバ内でプラズマを生成するためのソース、該処理チャンバ内で基板を保持するためのプラテン、及びプラズマから基板へイオンを加速するための注入パルスを生成するパルスソースを含むプラズマイオン注入装置を与える工程と、ドーズ率を有する注入処理に従い基板のプラズマイオン注入を実行する工程と、注入処理中にドーズ率を変更する工程とから成る。
本発明の第2の態様に従い、基板のプラズマイオン注入のための方法が与えられる。当該方法は、処理チャンバ、該処理チャンバ内でプラズマを生成するためのソース、該処理チャンバ内で基板を保持するためのプラテン、該プラテンから離隔された陽極、及びプラズマから基板へイオンを加速するための注入パルスを生成するパルスソースを含むプラズマイオン注入装置を与える工程と、陽極から二次電子を放出させるべくプラズマから陽極へイオンを加速する工程と、陽極から基板へ二次電子を加速する工程と、注入処理に従い基板のプラズマイオン注入を実行する工程とから成る。
本発明の第3の態様に従い、基板のプラズマイオン注入のための方法が与えられる。当該方法は、処理チャンバ、該処理チャンバ内でプラズマを生成するためのソース、該処理チャンバ内で基板を保持するためのプラテン、及びプラズマから基板へイオンを加速するための注入パルスを生成するパルスソースを含むプラズマイオン注入装置を与える工程と、注入処置に従い基板のプラズマイオン注入を実行する工程と、注入されているイオンと基板との間の相互作用の不所望な効果を少なくとも部分的に補償するために注入処理中のイオンエネルギーを調節する工程とから成る。
本発明の第4の態様に従い、プラズマイオン注入装置は、処理チャンバと、該処理チャンバ内にプラズマを生成するためのソースと、処理チャンバ内で基板を保持するためのプラテンと、プラズマから基板へイオンを加速するための注入パルスを生成するパルスソースと、ドーズ率を有する注入処理に従い基板のプラズマイオン注入を実行しかつ注入処理中にドーズ率を変化させるように構成された注入制御器とから成る。
本発明の第5の態様に従い、プラズマイオン注入装置は、処理チャンバと、該処理チャンバ内にプラズマを生成するためのソースと、処理チャンバ内で基板を保持するためのプラテンと、プラズマから基板へイオンを加速するための注入パルスを生成するパルスソースと、陽極からの二次電子の放出を生じさせるべくプラズマから陽極へイオンを加速するための及び陽極から基板へ二次電子を加速するための電源とから成る。
本発明の第6の態様に従い、プラズマイオン注入装置は、処理チャンバと、該処理チャンバ内にプラズマを生成するためのソースと、処理チャンバ内で基板を保持するためのプラテンと、プラズマから基板へイオンを加速するための注入パルスを生成するパルスソースと、注入処理に従い基板のプラズマイオン注入を実行しかつ注入中のイオンと基板との間の不所望な相互作用効果を少なくとも部分的に補償するよう注入処理中のイオンエネルギーを調節するように構成された注入制御器とから成る。
図1は、プラズマイオン注入装置の略示図である。 図2は、本発明の第1の実施例に従う、プラズマイオン注入装置の略示図である。 図3は、本発明の第2の実施例に従う、プラズマイオン注入装置の略示図である。 図4(a)は、基板のプラズマイオン注入中のドーズ率の段階的増加を示すドーズ量の関数としてのドーズ率のグラフであり、図4(b)は基板のプラズマイオン注入中のドーズ率の連続的増加を示すドーズ量の関数としてのドーズ率のグラフである。 図5は、本発明の第3の実施例に従う、プラズマイオン注入装置の略示図である。 図6は、本発明の第4の実施例に従う、プラズマイオン注入装置の略示図である。 図7は、本発明の第4の実施例に従う、基板のプラズマイオン注入方法のフローチャートである。
本発明の実施に適したプラズマイオン注入装置の例が図1に示されている。本発明の実施例が図2〜7と関連して以下に説明される。図1から7において同じ構成要素は同一符号で示されている。
処理チャンバ10は密閉された体積12を画成する。チャンバ10内に配置されたプラテン14は半導体ウエハ20のような基板を保持するための面を与える。例えば、ウエハ20はプラテン14の平坦面に対してその周辺でクランプされる。ひとつの実施例において、プラテンは、ウエハ20を支持するための導体面を有する。他の実施例において、プラテンはウエハ20と係合するための導体ピン(図示せず)を含む。
陽極24がプラテン14に関して離隔してチャンバ10内に配置されている。陽極24はプラテンと垂直に矢印26方向へ移動可能である。典型的に、陽極はチャンバ10の導体壁に接続され、両者は接地される。他の実施例において、プラテン14は接地され、陽極24はパルス状の負電圧を印加される。さらに他の実施例において、陽極24及びプラテン14の両方は接地に関してバイアスをかけられる。
プラテン14を通じてウエハ20と陽極24は高電圧パルスソース30に接続され、その結果ウエハ20は陰極として機能する。典型的に、パルスソース30は、振幅が約20から20000ボルト、間隔が約1から200マイクロ秒、パルス周期が約100Hzから20kHzの範囲のパルスを与える。これらのパルスパラメータ値は単なる例示であり、発明の態様の範囲内で他の値が使用されてもよい。
チャンバ10の包囲された体積12は制御可能なバルブ32を通じて真空ポンプ34に結合されている。処理ガスソース36が質量流量制御器38を通じてチャンバ10に結合されている。チャンバ10内に配置された圧力センサー48はチャンバ圧力を示す信号を制御器46に与える。制御器46は検知したチャンバ圧力を所望の圧力入力と比較し、制御信号をバルブ32または質量流量制御器38に与える。制御信号は、チャンバ圧力と所望の圧力との間の差を最小化するように、バルブ32または質量流量制御器38を制御する。真空ポンプ34、バルブ32、質量流量制御器38、圧力センサー48及び制御器46は、閉ループ圧力制御システムを構成する。典型的に、圧力は約1ミリトルから約500ミリトルの範囲で制御されるが、この範囲に限定されるものではない。ガスソース36は被処理体への注入用の所望のドーパントを含むイオン性ガスを供給する。イオン性ガスの例として、BF3、N2、Ar、PH3、AsH3及びB2H6がある。質量流量制御器38はガスがチャンバ10に供給される流量を調整する。図1に示される構成は、所望の流量及び一定圧力の処理ガスの連続的な流れを与える。好適に、圧力及びガス流量は反復可能な結果を与えるよう調整される。他の実施例において、ガス流量は、バルブ32が固定位置に維持された状態で、制御器46により制御されるバルブを使って調整されてもよい。このような装置はアップストリーム圧力制御と呼ばれる。ガス圧力を調整するために、他の構成が使用されてもよい。
プラズマドーピング装置は、中空陰極パルスソース56へ結合された中空陰極54を含む。ひとつの実施例において、中空陰極54は陽極24とプラテン14との間隔を包囲する導体中空シリンダから成る。中空陰極は、非常に低いイオンエネルギーを要求する応用に利用される。特に、中空陰極パルスソース56はチャンバ12内にプラズマを形成するのに十分なパルス電圧を与え、パルスソース30は所望の注入電圧を確立する。中空陰極の使用に関する詳細はここに参考文献として組み込む上記した米国特許第6,182,604号に記載されている。
ひとつまたはそれ以上のファラデーカップが、ウエハ20に注入されるイオンドーズ量を測定するために、プラテン14に隣接して配置される。図1の実施例において、ファラデーカップ50、52等はウエハ20の周囲に等間隔で配置される。各ファラデーカップはプラズマ40に面した入口60を有する導体エンクロージャから成る。好適に、各ファラデーカップはウエハ20にできるだけ近接して配置され、プラズマ40からプラテン14へ加速される正イオンのサンプルを取り込む。他の実施例において、環状ファラデーカップがウエハ20及びプラテン14の周囲に配置される。
ファラデーカップはドーズプロセッサ70または他のドーズモニター回路に電気的に接続されている。入口60を通じて各ファラデーカップに入る正イオンはファラデーカップに接続された電気的回路にイオン電流を表す電流を生成する。ドーズプロセッサ70はイオンドーズ量を決定するために電流を処理する。
プラズマイオン注入装置はプラテン14を包囲するガードリング66を含む。ガードリング66はウエハ20のエッジ付近の注入イオン分布の均一性を改善するためにバイアスがかけられてもよい。ファラデーカップ50、52はウエハ20及びプラテン14の外周付近でガードリング66内に配置される。
プラズマイオン注入装置はシステムの構成に応じて付加的なコンポーネントを含むことができる。連続またはパルス状RFエネルギーを使用するシステムは、アンテナまたは誘導コイルに接続されたRFソースを含む。システムは電子を閉じ込め、かつ、プラズマ密度及び空間分布を制御する磁場を与える磁気エレメントを含んでもよい。プラズマイオン注入装置において磁気エレメントを使用することは、例えばここに参考文献として組み込む2003年6月12日発行の国際公開WO 03/049142号に開示されている。
動作中、ウエハ20はプラテン14上に載置される。圧力制御システム、質量流量制御器38及びガスソース36は、チャンバ10内に所望の圧力及びガス流量を生成する。実施例として、チャンバ10は10ミリトルの圧力でBF3ガスにより動作する。パルスソース30はウエハ20に一連の高電圧パルスを印加し、それによりウエハ20と陽極24との間のプラズマ放電領域44にプラズマ40が形成される。周知のように、プラズマ40はガスソース36からのイオン性ガスの正イオンを含む。プラズマ40はウエハ20の典型的に表面付近のプラズマシース42を含む。高電圧パルス中に陽極24とプラテン14との間に存在する電場は、プラズマシース42を横切ってプラズマ40からプラテン14へ正イオンを加速する。加速されたイオンは不純物材料の領域を形成するべくウエハ20内に注入される。パルス電圧は正イオンをウエハ20の所望の深さまで注入するよう選択される。パルスの数及びパルス間隔はウエハ20内に所望のドーズ量の不純物材料を与えるよう選択される。パルスあたりの電流は、パルス電圧、ガス圧力及びガス種、並びに電極の任意の可変位置の関数である。例えば、陰極と陽極との間隔は異なる電圧に対して調節されてもよい。
本発明の第1の実施例に従うプラズマイオン注入装置の略示ブロック図が図2に示されている。プラズマ注入処理サブシステム100は、処理制御コンポーネントを除き、図1に示されるプラズマイオン注入装置コンポーネントのいくつかまたはすべてを含む。注入制御器110は注入処理に従ってプラズマイオン注入を実行するよう処理サブシステム100を制御する。
例えば、注入処理は、イオン種、イオンエネルギー、イオンドーズ量、ドーズ率、チャンバ圧力、注入パルスパラメータ等を特定する。ある実施例において、注入制御器110は、パラメータが予めプログラムされた開ループ構成において動作する。注入パラメータは一定であるか、あるいはパラメータのひとつまたはそれ以上が注入処理中に予めプログラムされた変化を有してもよい。他の実施例において、注入制御器110は、少なくともひとつの付加的センサー120が処理サブシステム100のパラメータを検知し、注入制御器110へセンサー信号122を与えるところの閉ループ構成で動作してもよい。注入制御器110は、センサー信号122に応答して処理サブシステム100のひとつまたはそれ以上のパラメータを調節してもよい。さらに他の実施例において、注入制御器110は予めプログラムされた制御及び閉ループフィードバック制御の組み合わせを使用してもよい。
注入制御器110は、注入処理を制御し、特にドーズ率を制御するために、パルス幅、パルス周波数、イオンエネルギー、プラズマ密度、RF電力、電場、磁場及び/または陽極陰極間隔のような処理サブシステム100のパラメータを調節する。イオン種及び全ドーズ量は特定の処理に対して通常は固定される。固定されないパラメータが別々にまたは任意の組み合わせで調節されてもよい。
ドーズ率は、これに限定されないが、注入パルス幅、注入パルス周波数、または両方を含む多くのパラメータを制御することにより調節される。イオンエネルギーは注入パルス振幅を制御することにより調節される。プラズマ密度は、例えば、入力(DCまたはRF)電力、電場または陽極陰極間隔を制御することにより、あるいはプラズマ閉込めを制御することにより調節される。プラズマ密度の制御はまたドーズ率を制御する。パルス幅、パルス周波数及び/またはプラズマ密度のようなパラメータは素早く調節され、それにより注入処理中のドーズ率のダイナミックな制御が可能になる。ドーズ率は、注入されているイオンと基板との相互作用の不所望な効果を少なくとも部分的に補償するようダイナミックに変更される。この効果はこれに限定されないが、フォトレジストのガス抜け及び基板の帯電を含む。
注入制御器110は、汎用コンピュータ(例えば、PC)、プロセス制御器、または注入処理がプログラムされた専用制御器として実施される。定数及び可変パラメータを含む注入処理はメモリ内に格納される。
センサー120は、基板表面状態、基板からのガス抜け及び/または基板の帯電のような処理チャンバ内のさまざまな条件を検知する。例えば、ガス抜けは基板付近の圧力変化を検知することにより検知される。残留ガス分析(RGA)及び発光分光法(OES)のような技術が処置チャンバのパラメータを検知するために使用される。上記したように、ひとつまたはそれ以上のセンサーが使用されてもよい。
本発明の第2の実施例に従うプラズマイオン注入装置の略示ブロック図が図3に示されている。図3の実施例において、注入制御器110はドーズ率、イオンエネルギーまたは両方を制御するためにパルスソース30の開ループ制御を与える。例として、注入制御器110は予めプログラムされた注入処理に従いドーズ率を制御する。注入パルス幅は、時間または注入ドーズ量の関数としてパルス幅をプログラムすることによりパルスごとのドーズ量を直接制御するようダイナミックに調節される。これにより、各注入パルスで注入される電荷の量は、注入環境及び基板表面状態に対して最適される。パルス反復周波数が同じ方法で調節され、時間平均ドーズ率の時間平均が調節される。ある実施例において、パルス幅及びパルス反復周波数の両方がドーズ率の所望の変化を達成するよう調節される。特定の実施例において、ドーズ率は一枚の基板のプラズマ注入中に増加してもよい。低い開始ドーズ率はフォトレジストからの制御されたガス抜けを生成し、続くより高いドーズ率での注入に対してフォトレジストを安定化する。
ドーズ率は、例えば階段状または連続して調節される。可変ドーズ率の第1の例が図4(a)に示されている。ドーズ率は、注入が進行し注入されたドーズ量が増加するに従い、最終ドーズ率136に対するまで、ステップ130、132、134で増加する。最終ドーズ率136は残りの注入処理中、固定されたままである。ステップ数並びにステップの幅及び振幅は、本発明の態様の範囲内で変更可能である。ドーズ率が注入の少なくとも一部にわたって連続的に増加する例が図4(b)に示されている。ドーズ率は、注入の初期部分にわたって、初期ドーズ率142から最終ドーズ率144へドーズ率曲線140に従い連続的に増加し、ドーズ率は残りの注入処理中固定されたままである。図4(b)において、最初のドーズ率142、ドーズ率曲線140の形状及び時間間隔、並びに最終ドーズ率144は、本発明の態様内で変更してもよい。図4(a)及び(b)の各々において、類似形状のドーズ率プロファイルが、時間の関数としてドーズ率をプロットすることにより得られる。図4(a)及び(b)に示されるような注入処理中のドーズ率の変化は、注入制御器110内に予めプログラムされる。
概して、最大ドーズ率以下が使用されている間、注入のフラクションを制限することが所望される。最大ドーズ率以下の延長時間は全体の注入時間を増加し、かつスループットを減少させる。
パルス当たりのドーズ率及び平均ドーズ率を制御する代わりに、またはそれに加えて、瞬間的なドーズ率が注入中のプラズマ密度を変化させることによりダイナミックに制御可能である。この制御技術は、プラズマ生成方法に依存するが、プラズマソースにより印加されるかまたは吸収されるRFまたはマイクロ波のような電力の制御、磁気または電気部品の制御によるプラズマ閉じ込めの制御、及び陽極陰極間隔の調節による中和ガス密度またはプラズマスケール長の制御を含む。
注入深さは、注入中に注入パルスの振幅を変化させることによりダイナミックに制御可能である。これは注入イオン種の深さプロファイルを変更するが、この効果は揮発性イオン種のガス抜けのような効果を減少させながら制限される。付加的に、パルス状プラズマの実施例において、注入パルス後に適切な電荷中和を保証するようプラズマパルス幅を注入パルス幅より長くすることが所望される。これは中和電子を供給するための中空電極パルスソース56のような他のプラズマソースを使用して達成される。
本発明の第3の実施例に従うプラズマイオン注入装置の略示ブロック図が図5に示されている。ドーズ率及び/またはイオンエネルギーの閉ループ制御用装置が示されている。センサー120は処理チャンバ10のパラメータを検知し、センサー信号122を注入制御器110に与える。センサー信号122に応答して、注入制御器110は、注入パルス幅、注入パルス周波数及び注入パルス振幅のようなパルスソース30のひとつまたはそれ以上のパラメータを制御する。センサー120は、圧力制御パラメータ、基板電圧、ウエハバイアス供給電流、インサイチュ充電モニター、発光分光器、残留ガス分析、フーリエ変換赤外線ガス解析またはプラズマ放電のビデオ解析のような、注入処理環境からのフィードバックを与える。ひとつまたはそれ以上のセンサーが注入制御器110にセンサー信号を与える。注入制御器110は、注入装置の動作が通常の動作範囲内にあるかどうかを決定するために、検知したパラメータの解析を実行する。ひとつまたはそれ以上の検知したパラメータが通常の動作範囲の外にある場合、上記したドーズ率を調節するようパルスソース30に制御信号が与えられる。調節は、通常の範囲内で動作条件を動かすよう選択される。
本発明の第4の実施例を図6及び7を参照して説明する。図6は、本発明の第4の実施例に従う、二次電子による基板20の前処理用に構成されたプラズマイオン注入装置の略示図である。図7は、本発明の第4の実施例に従う基板のプラズマイオン注入方法を示したフローチャートである。
前処理工程の間、パルスソース30は陽極24及びプラテン14から切断されているか、またはディスエーブルである。前処理電源200は、陽極24がプラテン14に関して負にバイアスされるように陽極24及びプラテン14に接続される。プラテン14は接地されるか、または正にバイアスされる。電源200は陽極24へ−Vの負バイアス電圧を供給する。プラズマ放電領域44内のプラズマ40は、電源200または独立のプラズマソース(図示せず)により開始されかつ維持される。プラズマ40は続く注入用のドーパント材のイオンを含みか、または不活性ガスのイオンを含んでもよい。プラズマ40内の正イオンは負にバイアスされた陽極24へ加速され、衝突する。正イオンの衝突は陽極24の表面から二次電子放出を生み出す。二次電子は負の陽極バイアスポテンシャルにより陽極24に面して配置された基板20の表面方向に加速される。基板は付勢されるか、または接地され、その結果二次電子の正味エネルギーは、陽極バイアスと基板20に印加された任意のバイアスとの間の差及び放出エネルギーの和になる。装置に対して接地基準値が基板それ自身により供給されるか、または他の接地面により供給される。
付勢された二次電子は基板20上のフォトレジストマスクを前処理し、続くイオン注入工程中のガス抜けを減少させる。二次電子は、フォトレジストマスクによるガス抜けがイオン注入前に実質的に完了するように、十分なエネルギー及びドーズ量を有する。これにより、イオン注入はフォトレジストのガス抜け効果を比較的受けにくくなり、電荷の電気的不均衡を中和するために電子に晒している間に正イオンが基板表面に達することができる。
前処理工程用の適当なガス種は、これに限定されないが、BF3、AsF5、N2、Ar、PH3、AsH3及びB2H6を含む。陽極24に印加される電圧は、−500ボルトから−20キロボルトの範囲である。適当な電子ドーズは1E15から1E17cm-2の範囲内にある。
図7を参照して、イオンがプラズマ40から陽極24へ加速され、ステップ250で二次電子が放出される。上記したように、正電荷イオンは陽極24の負バイアスによりプラズマ40から陽極24へ加速される。同じ負バイアスは、基板20の前処理のために陽極24から基板20へ二次電子を加速する。二次電子衝突は、フォトレジストのガス抜けのような不所望な効果が実質的に完了するまで、続けられる。その後、プラズマイオン注入装置はプラズマイオン注入用に構成される。例えば、前処理電源200が切断またはディスエーブルにされ、パルスソース30が上記したようにイネーブルにされる。その後、上記した注入処理に従ってステップ254で基板20のプラズマイオン注入が実行される。ある実施例において、ドーズ率、イオンエネルギーまたはその両方のようなプラズマイオン注入処理のパラメータは、注入イオンと基板との間の不所望な相互作用の効果を少なくとも部分的に補償するべく、注入処理中に変更される。必要なパラメータの変更は前処理工程の結果、減少する。他の実施例において、注入処理のパラメータはプラズマイオン注入中に一定に保持されてもよい。
本発明の少なくともひとつの実施例のいくつかの態様を説明してきたが、当業者は容易にさまざまな修正、変更及び改良を行うことができる。このような修正、変更及び改良は本発明の開示の一部であり、本発明の思想及び態様内のものである。したがって、上記説明及び図面は例示にすぎない。
10 処理チェンバー
14 プラテン
24 陽極
30 パルスソース
40 プラズマ
44 プラズマ放電領域
66 ガードリング
110 注入制御器
120 センサー
122 センサー信号

Claims (1)

  1. 基板のプラズマイオン注入のための方法であって、
    処理チャンバ、前記処理チャンバ内にプラズマを生成するためのソース、前記処理チャンバ内で基板を保持するためのプラテン、及びプラズマから基板へイオンを加速するための注入パルスを生成するパルスソースを含むプラズマイオン注入装置を与える工程と、
    注入処理に従い基板のプラズマイオン注入を実行する工程と、
    注入されるイオンと基板との間の不所望な相互作用の効果を少なくとも部分的に補償するよう注入処理中にイオンエネルギーを調節する工程と、
    を備えたことを特徴とする方法。
JP2012079462A 2004-05-24 2012-03-30 安定かつ反復可能なプラズマイオン注入方法及び装置 Pending JP2012178571A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/852,643 US7396746B2 (en) 2004-05-24 2004-05-24 Methods for stable and repeatable ion implantation
US10/852,643 2004-05-24

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007515133A Division JP5071976B2 (ja) 2004-05-24 2005-05-09 安定かつ反復可能なプラズマイオン注入方法

Publications (1)

Publication Number Publication Date
JP2012178571A true JP2012178571A (ja) 2012-09-13

Family

ID=35375740

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007515133A Expired - Fee Related JP5071976B2 (ja) 2004-05-24 2005-05-09 安定かつ反復可能なプラズマイオン注入方法
JP2012079462A Pending JP2012178571A (ja) 2004-05-24 2012-03-30 安定かつ反復可能なプラズマイオン注入方法及び装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007515133A Expired - Fee Related JP5071976B2 (ja) 2004-05-24 2005-05-09 安定かつ反復可能なプラズマイオン注入方法

Country Status (6)

Country Link
US (1) US7396746B2 (ja)
JP (2) JP5071976B2 (ja)
KR (1) KR101126376B1 (ja)
CN (2) CN101892463B (ja)
TW (1) TWI345265B (ja)
WO (1) WO2005115104A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9773712B2 (en) 2015-08-25 2017-09-26 Toshiba Memory Corporation Ion implantation apparatus and semiconductor manufacturing method

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
US20080160170A1 (en) * 2006-12-28 2008-07-03 Varian Semiconductor Equipment Assoicates, Inc. Technique for using an improved shield ring in plasma-based ion implantation
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7615748B2 (en) 2007-09-25 2009-11-10 Varian Semiconductor Equipment Associates, Inc. Outgassing rate detection
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US7638781B2 (en) * 2007-10-22 2009-12-29 Varian Semiconductor Equipment Associates, Inc. Local pressure sensing in a plasma processing system
US20090104719A1 (en) * 2007-10-23 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System with In-Situ Chamber Condition Monitoring
WO2010008598A1 (en) * 2008-07-17 2010-01-21 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
CN102203898B (zh) * 2008-07-17 2016-11-16 真实仪器公司 在处理系统化学分析中使用的电子束激励器
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
RU2454485C1 (ru) * 2010-10-18 2012-06-27 Государственное образовательное учреждение высшего профессионального образования "Ивановский государственный энергетический университет имени В.И. Ленина" (ИГЭУ) Способ импульсно-периодической ионной обработки металлического изделия и устройство для его осуществления
JP2012178474A (ja) * 2011-02-25 2012-09-13 Ulvac Japan Ltd 不純物導入方法
KR20120106359A (ko) * 2011-03-18 2012-09-26 한국전자통신연구원 금속 산화막 형성방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8728587B2 (en) * 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11171568B2 (en) 2017-02-07 2021-11-09 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
CN109873621B (zh) 2013-11-14 2023-06-16 鹰港科技有限公司 高压纳秒脉冲发生器
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) * 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10304661B2 (en) 2017-08-25 2019-05-28 Eagle Harbor Technologies, Inc. Arbitarary waveform generation using nanosecond pulses
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10227693B1 (en) * 2018-01-31 2019-03-12 Axcelis Technologies, Inc. Outgassing impact on process chamber reduction via chamber pump and purge
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR101995708B1 (ko) * 2018-03-30 2019-07-04 파워소프트 주식회사 초기 부스팅된 펄스 전압을 제공하는 플라즈마 펄스 전원 장치
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
CN110828272B (zh) * 2018-08-09 2022-09-16 北京北方华创微电子装备有限公司 腔室内衬、下电极装置和半导体处理设备
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7038901B2 (ja) 2018-08-10 2022-03-18 イーグル ハーバー テクノロジーズ,インク. Rfプラズマリアクタ用プラズマシース制御
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210111841A (ko) 2019-01-08 2021-09-13 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄서 회로의 효율적 에너지 회수
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11830705B2 (en) * 2020-08-20 2023-11-28 PIE Scientific LLC Plasma flood gun for charged particle apparatus
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62219920A (ja) * 1986-03-20 1987-09-28 Sony Corp 半導体装置の製造方法
JPH02203522A (ja) * 1989-02-02 1990-08-13 Matsushita Electric Ind Co Ltd イオン注入方法
JPH11317190A (ja) * 1998-03-11 1999-11-16 Eaton Corp イオン注入処理の校正方法及びそのイオン注入装置
JP2001267266A (ja) * 1999-12-22 2001-09-28 Axcelis Technologies Inc プラズマイマージョンイオン注入処理の方法
JP2003073814A (ja) * 2001-08-30 2003-03-12 Mitsubishi Heavy Ind Ltd 製膜装置
JP2003513441A (ja) * 1999-10-27 2003-04-08 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 中空カソードを含むプラズマドーピングシステム。

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5498290A (en) * 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
US5508227A (en) 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
JP3676919B2 (ja) * 1997-10-09 2005-07-27 株式会社アルバック 反応性イオンエッチング装置
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
EP1204986A1 (en) * 1999-08-06 2002-05-15 Axcelis Technologies, Inc. System and method for providing implant dose uniformity across the surface of a substrate
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6383554B1 (en) 2000-09-05 2002-05-07 National Science Council Process for fabricating plasma with feedback control on plasma density
JP4484421B2 (ja) * 2002-06-21 2010-06-16 独立行政法人科学技術振興機構 プラズマ表面処理方法及び装置
US20040016402A1 (en) 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62219920A (ja) * 1986-03-20 1987-09-28 Sony Corp 半導体装置の製造方法
JPH02203522A (ja) * 1989-02-02 1990-08-13 Matsushita Electric Ind Co Ltd イオン注入方法
JPH11317190A (ja) * 1998-03-11 1999-11-16 Eaton Corp イオン注入処理の校正方法及びそのイオン注入装置
JP2003513441A (ja) * 1999-10-27 2003-04-08 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 中空カソードを含むプラズマドーピングシステム。
JP2001267266A (ja) * 1999-12-22 2001-09-28 Axcelis Technologies Inc プラズマイマージョンイオン注入処理の方法
JP2003073814A (ja) * 2001-08-30 2003-03-12 Mitsubishi Heavy Ind Ltd 製膜装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9773712B2 (en) 2015-08-25 2017-09-26 Toshiba Memory Corporation Ion implantation apparatus and semiconductor manufacturing method

Also Published As

Publication number Publication date
JP2008500729A (ja) 2008-01-10
CN1998062B (zh) 2010-09-01
CN1998062A (zh) 2007-07-11
CN101892463A (zh) 2010-11-24
TWI345265B (en) 2011-07-11
JP5071976B2 (ja) 2012-11-14
KR20070026635A (ko) 2007-03-08
CN101892463B (zh) 2012-09-19
KR101126376B1 (ko) 2012-03-28
WO2005115104A3 (en) 2006-07-06
WO2005115104A2 (en) 2005-12-08
US7396746B2 (en) 2008-07-08
US20050260837A1 (en) 2005-11-24
TW200539327A (en) 2005-12-01

Similar Documents

Publication Publication Date Title
JP5071976B2 (ja) 安定かつ反復可能なプラズマイオン注入方法
US6020592A (en) Dose monitor for plasma doping system
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
KR100395272B1 (ko) 플라즈마도핑이온주입프로세스에서도우즈균일성을얻기위한장치
US6500496B1 (en) Hollow cathode for plasma doping system
US7528389B2 (en) Profile adjustment in plasma ion implanter
US7326937B2 (en) Plasma ion implantation systems and methods using solid source of dopant material
KR20070088752A (ko) 축상 정전기적 구속을 갖는 플라스마 이온 주입 시스템
US20090084987A1 (en) Charge neutralization in a plasma processing apparatus
WO2001015200A1 (en) Implanting system and method
KR19990082593A (ko) 이온주입시스템에서 선량측정 제어를 위한 제어매카니즘
WO2003038879A2 (en) Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US20030101935A1 (en) Dose uniformity control for plasma doping systems
KR20090118978A (ko) 개선된 도즈 제어를 구비하는 다단계 플라즈마 도핑
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
JP4443925B2 (ja) 陽極パルシングによりプラズマドーピングするための方法及び装置
US20120000606A1 (en) Plasma uniformity system and method

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131028

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131031

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140728

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141222