KR20070026635A - 안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법 - Google Patents

안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법 Download PDF

Info

Publication number
KR20070026635A
KR20070026635A KR1020067027013A KR20067027013A KR20070026635A KR 20070026635 A KR20070026635 A KR 20070026635A KR 1020067027013 A KR1020067027013 A KR 1020067027013A KR 20067027013 A KR20067027013 A KR 20067027013A KR 20070026635 A KR20070026635 A KR 20070026635A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
process chamber
implantation
ion implantation
Prior art date
Application number
KR1020067027013A
Other languages
English (en)
Other versions
KR101126376B1 (ko
Inventor
스티븐 알. 웰터
지웨이 팡
저스틴 토코
카레톤 에프. 3세 엘리스
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20070026635A publication Critical patent/KR20070026635A/ko
Application granted granted Critical
Publication of KR101126376B1 publication Critical patent/KR101126376B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명에 따른 기판의 플라즈마 이온 주입을 위한 방법은, 공정 챔버, 공정 챔버 내에서 플라즈마를 생성시키는 공급원, 공정 챔버 내에 기판을 보유하는 플래튼, 플래튼으로부터 이격되는 양극 그리고 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원을 갖는 플라즈마 이온 주입 시스템을 제공하는 단계를 포함한다. 하나의 태양에서, 주입 공정의 파라미터가 주입되는 이온과 기판 사이의 상호 작용의 바람직하지 못한 효과를 적어도 부분적으로 보상하기 위해 변화된다. 예컨대, 주입량 속도, 이온 에너지 또는 양쪽 모두가 주입 공정 동안에 변화될 수 있다. 또 다른 태양에서, 사전 처리 단계는 양극으로부터의 2차 전자의 방출을 유발시키기 위해 플라즈마로부터 양극으로 이온을 가속시키는 단계 그리고 기판의 사전 처리를 위해 양극으로부터 기판으로 2차 전자를 가속시키는 단계를 포함한다.
플라즈마 이온 주입을 위한 방법, 공정 챔버, 플라즈마 생성 공급원, 플래튼, 펄스 전원, 주입 제어기

Description

안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법 {METHODS FOR STABLE AND REPEATABLE PLASMA ION IMPLANTATION}
본 발명은 기판의 플라즈마 이온 주입을 위한 시스템 및 방법에 관한 것으로, 특히 주입되는 이온과 기판 사이의 상호 작용의 바람직하지 못한 효과를 적어도 부분적으로 보상하는 방법에 관한 것이다.
이온 주입은 반도체 웨이퍼 내로 전도도-변화 불순물(conductivity-altering impurity)을 유입시키는 표준형 기술이다. 종래의 빔라인 이온 주입 시스템(beamline ion implantation system)에서, 원하는 불순물 재료가 이온 공급원 내에서 이온화되며, 이온은 규정된 에너지의 이온 빔을 형성하기 위해 가속되며, 이온 빔은 웨이퍼의 표면에서 유도된다. 빔 내의 에너지가 충만한 이온이 반도체 재료의 벌크 내로 관통하고 반도체 재료의 결정 격자 내로 꽂히고 그에 의해 원하는 전도도의 영역을 형성한다.
반도체 산업에서의 주지된 경향이 소형 고속 소자이다. 특히, 반도체 소자 내의 특징부의 측면 방향 치수 및 깊이의 양쪽 모두가 감소하고 있다. 도펀트 재료(dopant material)의 주입 깊이는 반도체 웨이퍼 내로 주입되는 이온의 에너지에 의해 적어도 부분적으로 결정된다. 빔라인 이온 주입기는 전형적으로 비교적 높은 주입 에너지에서 효율적인 동작을 위해 설계되고 얕은 정션 주입(shallow junction implantation)을 위해 요구되는 낮은 에너지에서 효율적으로 기능하지 못할 수 있다.
반도체 웨이퍼 내에 얕은 정션을 형성하는 플라즈마 도핑 시스템(plasma doping system)이 연구되었다. 플라즈마 도핑 시스템에서, 반도체 웨이퍼가 음극으로서 기능하고 공정 챔버 내에 위치되는 전도성 플래튼(conductive platen) 상에 위치된다. 원하는 도펀트 재료를 함유하는 이온화 가능한 공정 가스가 공정 챔버 내로 유입되며, 전압 펄스가 플래튼과 양극 또는 챔버 벽 사이에 인가되고, 그에 의해 웨이퍼의 부근에서 플라즈마 외피를 갖는 플라즈마의 형성을 유발시킨다. 인가된 펄스는 플라즈마 내의 이온이 플라즈마 외피를 횡단하게 하고 웨이퍼 내로 주입되게 한다. 주입의 깊이는 웨이퍼와 양극 사이에 인가된 전압과 관련된다. 매우 낮은 주입 에너지가 성취될 수 있다. 플라즈마 도핑 시스템이 예컨대 셍에게 1994년 10월 11일자로 허여된 미국 특허 제5,354,381호; 리버트 등에게 2000년 2월 1일자로 허여된 미국 특허 제6,020,592호; 및 괴크너 등에게 2001년 2월 6일자로 허여된 미국 특허 제6,182,604호에 기재되어 있다.
전술된 플라즈마 도핑 시스템에서, 인가된 전압 펄스는 플라즈마를 발생시키고 플라즈마로부터 웨이퍼를 향해 양이온을 가속시킨다. 플라즈마 잠입 시스템(plasma immersion system)으로서 알려져 있는 다른 형태의 플라즈마 시스템에서, 연속형 또는 펄스형 RF 에너지가 공정 챔버에 인가되고, 그에 의해 연속형 또는 펄스형 플라즈마를 생성시킨다. 어떤 간격으로, RF 펄스와 동기화될 수 있는 음전압 펄스가 플래튼과 양극 사이에 인가되고, 그에 의해 플라즈마 내의 양이온이 웨이퍼를 향해 가속되게 한다.
주입되는 표면이 바람직하지 못한 방식으로 주입되는 이온과 상호 작용할 수 있다. 예컨대, 이온 주입이 기판의 표면 상에 절연 또는 반-절연(semi-insulating) 구조물의 대전을 발생시킬 수 있다. 기판 표면 상의 포토리지스트 마스크(photoresist mask) 등의 피막 또는 층이 주입 동안에 가스를 해제시킬 수 있고 조성을 변화시킬 수 있다. 포토리지스트는 주입의 시작 시에 절연체일 수 있고 주입이 진행됨에 따라 더 전도성일 수 있다. 이들 효과는 불안정하고 및/또는 반복-불가능한 주입 상태를 유발시킬 수 있다.
플라즈마 이온 주입에서 이들 문제점을 처리하는 종래 기술의 접근법은 자외선으로 포토리지스트를 사전 처리하는 단계 또는 탈기를 감소시키기 위해 소성하는 단계를 포함한다. 또한, 포토리지스트는 불활성 이온 화학종의 플라즈마 이온 주입에 의해 또는 기판이 플라즈마로부터 전자를 추출하기 위해 양으로 바이어스되며 이들 추출된 전자가 포토리지스트를 사전 처리하는 플라즈마 잠입에 의해 사전 처리될 수 있다. 이들 접근법은 도펀트 재료의 이온 주입 전에 추가의 공정 단계를 요구하고 그에 의해 가공량을 감소시킨다.
빔라인 이온 주입 시스템은 포토리지스트 효과를 감소시키기 위해 낮은 초기 빔 전류를 사용하였다. 이러한 접근법은 빔라인 시스템에 적용되고, 빔의 공간 전하 분포를 변화시키고 그에 의해 주입 균일성 그리고 순간 주입량 속도(instantaneous dose rate)에 의존할 수 있는 주입 결함 발생에 영향을 주는 단 점을 갖는다. 빔라인 접근법은 또한 전자 플러드 건(electron flood gun) 등의 중화 시스템이 특정한 빔 전류 조건에 대해 최적화될 수 있으므로 전하 중화의 어려움을 일으킬 수 있다.
본 발명의 제1 태양에 따르면, 기판의 플라즈마 이온 주입을 위한 방법이 제공된다. 이 방법은, 공정 챔버, 공정 챔버 내에서 플라즈마를 생성시키는 공급원, 공정 챔버 내에 기판을 보유하는 플래튼 그리고 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원을 포함하는 플라즈마 이온 주입 시스템을 제공하는 단계와; 어떤 주입량 속도를 갖는 주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하는 단계와; 주입 공정 동안에 주입량 속도를 변화시키는 단계를 포함한다.
본 발명의 제2 태양에 따르면, 기판의 플라즈마 이온 주입을 위한 방법이 제공된다. 이 방법은, 공정 챔버, 공정 챔버 내에서 플라즈마를 발생시키는 공급원, 공정 챔버 내에 기판을 보유하는 플래튼, 플래튼으로부터 이격되는 양극 그리고 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원을 포함하는 플라즈마 이온 주입 시스템을 제공하는 단계와; 양극으로부터의 2차 전자의 방출을 유발시키기 위해 플라즈마로부터 양극으로 이온을 가속시키는 단계와; 양극으로부터 기판으로 2차 전자를 가속시키는 단계와; 주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하는 단계를 포함한다.
본 발명의 제3 태양에 따르면, 기판의 플라즈마 이온 주입을 위한 방법이 제공된다. 이 방법은, 공정 챔버, 공정 챔버 내에서 플라즈마를 생성시키는 공급원, 공정 챔버 내에 기판을 보유하는 플래튼 그리고 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원을 포함하는 플라즈마 이온 주입 시스템을 제공하는 단계와; 주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하는 단계와; 주입되는 이온과 기판 사이의 상호 작용의 바람직하지 못한 효과를 적어도 부분적으로 보상하기 위해 주입 공정 동안에 이온 에너지를 조정하는 단계를 포함한다.
본 발명의 제4 태양에 따르면, 플라즈마 이온 주입 시스템은, 공정 챔버와; 공정 챔버 내에서 플라즈마를 생성시키는 공급원과; 공정 챔버 내에 기판을 보유하는 플래튼과; 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원과; 어떤 주입량 속도를 갖는 주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하도록 그리고 주입 공정 동안에 주입량 속도를 변화시키도록 구성되는 주입 제어기를 포함한다.
본 발명의 제5 태양에 따르면, 플라즈마 이온 주입 시스템은, 공정 챔버와; 공정 챔버 내에서 플라즈마를 발생시키는 공급원과; 공정 챔버 내에 기판을 보유하는 플래튼과; 플래튼으로부터 이격되는 양극과; 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원과; 양극으로부터의 2차 전자의 방출을 유발시키기 위해 플라즈마로부터 양극으로 이온을 가속시키고 양극으로부터 기판으로 2차 전자를 가속시키는 전원을 포함한다.
본 발명의 제6 태양에 따르면, 플라즈마 이온 주입 시스템은, 공정 챔버와; 공정 챔버 내에서 플라즈마를 생성시키는 공급원과; 공정 챔버 내에 기판을 보유하는 플래튼과; 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원과; 주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하도록 그리고 주입되는 이온과 기판 사이의 상호 작용의 바람직하지 못한 효과를 적어도 부분적으로 보상하기 위해 주입 공정 동안에 이온 에너지를 조정하도록 구성되는 주입 제어기를 포함한다.
본 발명의 더 양호한 이해를 위해, 참조로 여기에 합체되는 첨부 도면이 언급된다.
도1은 플라즈마 이온 주입 시스템의 단순화된 개략 블록도이다.
도2는 본 발명의 제1 실시예에 따른 플라즈마 이온 주입 시스템의 단순화된 개략 블록도이다.
도3은 본 발명의 제2 실시예에 따른 플라즈마 이온 주입 시스템의 단순화된 개략 블록도이다.
도4A는 기판의 플라즈마 이온 주입 동안에 주입량 속도의 계단형 증가를 도시하는 주입량의 함수로서의 주입량 속도의 그래프이다.
도4B는 기판의 플라즈마 이온 주입 동안에 주입량 속도의 연속형 증가를 도시하는 주입량의 함수로서의 주입량 속도의 그래프이다.
도5는 본 발명의 제3 실시예에 따른 플라즈마 이온 주입 시스템의 단순화된 개략 블록도이다.
도6은 본 발명의 제4 실시예에 따른 플라즈마 이온 주입 시스템의 단순화된 개략 블록도이다.
도7은 본 발명의 제4 실시예에 따른 기판의 플라즈마 이온 주입을 위한 방법의 흐름도이다.
본 발명의 실시를 위해 적절한 플라즈마 이온 주입 시스템의 예가 도1에 개략적으로 도시되어 있다. 본 발명의 실시예가 도2 내지 도7과 연계하여 기술된다. 도1 내지 도7에서 동일한 요소는 동일한 도면 부호를 갖는다.
공정 챔버(10)가 포위된 체적부(12)를 한정한다. 챔버(10) 내에 위치되는 플래튼(14)이 반도체 웨이퍼(20) 등의 기판을 보유하는 표면을 제공한다. 웨이퍼(20)는 예컨대 플래튼(14)의 평탄한 표면에 그 주연에서 클램핑될 수 있다. 하나의 실시예에서, 플래튼은 웨이퍼(20)를 지지하는 전기 전도성 표면을 갖는다. 또 다른 실시예에서, 플래튼은 웨이퍼(20)로의 연결을 위한 전도성 핀(도시되지 않음)을 포함한다.
양극(24)이 플래튼(14)과 이격된 관계로 챔버(10) 내에 위치된다. 양극(24)은 플래튼(14)에 직각으로 화살표의 방향(26)으로 이동 가능할 수 있다. 양극은 전형적으로 그 양쪽 모두가 접지부에 연결될 수 있는 챔버(10)의 전기 전도성 벽에 연결된다. 또 다른 실시예에서, 플래튼(14)은 접지부에 연결되며, 양극(24)에는 음전압으로 펄스가 인가된다. 추가의 실시예에서, 양극(24) 및 플래튼(14)의 양쪽 모두가 접지부에 대해 바이어스될 수 있다.
웨이퍼(20)[플래튼(14)을 거쳐] 및 양극(24)은 고전압 펄스 전원(30)에 연결되며, 그 결과 웨이퍼(20)는 음극으로서 기능한다. 펄스 전원(30)은 전형적으로 진폭이 약 20 내지 20,000 V의 범위 내에 있고 지속 기간이 약 1 내지 200 밀리초이고 펄스 반복 속도가 약 100 ㎐ 내지 20 ㎑인 펄스를 제공한다. 이들 펄스 파라미터 수치는 예로써 주어질 뿐이며 다른 수치가 본 발명의 범주 내에서 이용될 수 있다는 것이 이해될 것이다.
챔버(10)의 포위된 체적부(12)는 제어 가능한 밸브(32)를 통해 진공 펌프(34)에 커플링된다. 공정 가스 공급원(36)이 질량 유동 제어기(38)를 통해 챔버(10)에 커플링된다. 챔버(10) 내에 위치되는 압력 센서(48)가 제어기(46)에 챔버 압력을 지시하는 신호를 제공한다. 제어기(46)는 원하는 압력 입력과 감지된 챔버 압력을 비교하고 밸브(32) 또는 질량 유동 제어기(38)에 제어 신호를 제공한다. 제어 신호는 챔버 압력과 원하는 압력 사이의 차이를 최소화하기 위해 밸브(32) 또는 질량 유동 제어기(38)를 제어한다. 진공 펌프(34), 밸브(32), 질량 유동 제어기(38), 압력 센서(48) 및 제어기(46)가 폐쇄형 루프 압력 제어 시스템을 구성한다. 압력은 전형적으로 약 1 mTorr 내지 약 500 mTorr의 범위 내에서 제어되지만, 이러한 범위에 제한되지 않는다. 가스 공급원(36)은 피가공물 내로의 주입을 위해 원하는 도펀트를 함유하는 이온화 가능한 가스를 공급한다. 이온화 가능한 가스의 예는 BF3, N2, Ar, PH3, AsH3 및 B2H6를 포함한다. 질량 유동 제어기(38)는 가스가 챔버(10)로 공급되는 속도를 조절한다. 도1에 도시된 구성은 원 하는 유동 속도 및 일정한 압력에서 공정 가스의 연속 유동을 제공한다. 압력 및 가스 유동 속도는 바람직하게는 반복 가능한 결과를 제공하기 위해 조절된다. 또 다른 실시예에서, 가스 유동은 밸브(32)가 고정 위치에서 유지된 상태에서 제어기(46)에 의해 제어되는 밸브를 사용하여 조절될 수 있다. 이러한 배열은 상류 압력 제어(upstream pressure control)로서 호칭된다. 가스 압력을 조절하는 다른 구성이 이용될 수 있다.
플라즈마 도핑 시스템은 중공 음극 펄스 전원(56)에 연결되는 중공 음극(54)을 포함할 수 있다. 하나의 실시예에서, 중공 음극(54)은 양극(24)과 플래튼(14) 사이의 공간을 둘러싸는 전도성 중공 실린더를 포함한다. 중공 음극은 매우 낮은 이온 에너지를 요구하는 분야에서 이용될 수 있다. 특히, 중공 음극 펄스 전원(56)은 챔버(12) 내에서 플라즈마를 형성할 정도로 충분한 펄스 전압을 제공하며, 펄스 전원(30)은 원하는 주입 전압을 설정한다. 중공 음극의 사용에 대한 추가의 세부 사항이 참조로 여기에 합체되는 전술된 미국 특허 제6,182,604호 내에 제공되어 있다.
1개 이상의 패러데이 컵이 웨이퍼(20) 내로 주입된 이온 주입량을 측정하기 위해 플래튼(14)에 인접하게 위치될 수 있다. 도1의 실시예에서, 패러데이 컵(50, 52) 등은 웨이퍼(20)의 주연의 주위에서 균등하게 이격된다. 각각의 패러데이 컵은 플라즈마(40)와 대면하는 입구(60)를 갖는 전도성 포위부를 포함한다. 각각의 패러데이 컵은 바람직하게는 웨이퍼(20)에 실용적일 정도로 근접하게 위치되고 플라즈마(40)로부터 플래튼(14)을 향해 가속되는 양이온의 샘플을 가로챈다. 또 다 른 실시예에서, 환형 패러데이 컵이 웨이퍼(20) 및 플래튼(14)의 주위에 위치된다.
패러데이 컵은 주입량 처리기(70) 또는 다른 주입량 감시 회로에 전기적으로 연결된다. 입구(60)를 통해 각각의 패러데이 컵 내로 진입하는 양이온이 이온 전류를 나타내는 전류를 패러데이 컵에 연결된 전기 회로 내에서 생성시킨다. 주입량 처리기(70)는 이온 주입량을 결정하기 위해 전류를 처리할 수 있다.
플라즈마 이온 주입 시스템은 플래튼(14)을 둘러싸는 보호 링(66)을 포함할 수 있다. 보호 링(66)은 웨이퍼(20)의 모서리의 근처에서의 주입된 이온 분포의 균일성을 개선시키기 위해 바이어스될 수 있다. 패러데이 컵(50, 52)은 웨이퍼(20) 및 플래튼(14)의 주연의 근처에서 보호 링(66) 내에 위치될 수 있다.
플라즈마 이온 주입 시스템은 그 구성에 따라 추가의 부품을 포함할 수 있다. 연속형 또는 펄스형 RF 에너지를 이용하는 시스템은 안테나 또는 유도 코일에 커플링되는 RF 전원을 포함한다. 이 시스템은 전자를 구속하고 플라즈마 밀도 및 공간 분포를 제어하는 자기장을 제공하는 자성 소자를 포함할 수 있다. 플라즈마 이온 주입 시스템 내에서의 자성 소자의 사용은 예컨대 참조로 여기에 합체되는 2003년 6월 12일자로 공개된 제WO 03/049142호에 기재되어 있다.
동작 시, 웨이퍼(20)는 플래튼(14) 상에 위치된다. 압력 제어 시스템, 질량 유동 제어기(38) 및 가스 공급원(36)은 챔버(10) 내에 원하는 압력 및 가스 유동 속도를 생성시킨다. 예로써, 챔버(10)는 10 mTorr의 압력에서 BF3 가스와 동작할 수 있다. 펄스 전원(30)은 웨이퍼(20)에 일련의 고전압 펄스를 인가하고, 그에 의 해 웨이퍼(20)와 양극(24) 사이의 플라즈마 방전 영역(44) 내에서 플라즈마(40)의 형성을 유발시킨다. 당업계에 공지된 바와 같이, 플라즈마(40)는 가스 공급원(36)으로부터의 이온화 가능한 가스의 양이온을 함유한다. 플라즈마(40)는 웨이퍼(20)의 부근에서 전형적으로 웨이퍼(20)의 표면에서 플라즈마 외피(42)를 포함한다. 고전압 펄스 동안에 양극(24)과 플래튼(14) 사이에 존재하는 전기장은 플라즈마(40)로부터 플라즈마 외피(42)를 횡단하여 플래튼(14)을 향해 양이온을 가속시킨다. 가속된 이온은 불순물 재료의 영역을 형성하기 위해 웨이퍼(20) 내로 주입된다. 펄스 전압은 웨이퍼(20) 내의 원하는 깊이까지 양이온을 주입하기 위해 선택된다. 펄스의 개수 및 펄스 지속 기간은 웨이퍼(20) 내에 원하는 주입량의 불순물 재료를 제공하기 위해 선택된다. 펄스당 전류는 펄스 전압, 가스 압력 및 화학종 그리고 전극의 임의의 가변 위치의 함수이다. 예컨대, 음극과 양극 사이의 간격은 상이한 전압에 대해 조정될 수 있다.
본 발명의 제1 실시예에 따른 플라즈마 이온 주입 시스템의 단순화된 개략 블록도가 도2에 도시되어 있다. 플라즈마 주입 공정 보조 시스템(100)은 공정 제어 부품을 제외하면 도1에 도시된 플라즈마 이온 주입 시스템 부품 중 일부 또는 모두를 포함한다. 주입 제어기(110)가 어떤 주입 공정에 따라 플라즈마 이온 주입을 수행하기 위해 공정 보조 시스템(100)을 제어한다.
주입 공정은 예컨대 이온 화학종, 이온 에너지, 이온 주입량, 주입량 속도, 챔버 압력, 주입 펄스 파라미터 등의 파라미터를 특정할 수 있다. 어떤 실시예에서, 주입 제어기(110)는 파라미터가 프로그래밍되는 개방형 루프 구성에서 동작한 다. 주입 파라미터는 상수일 수 있거나, 파라미터 중 1개 이상이 주입 공정 동안에 사전에 프로그래밍된 변화를 가질 수 있다. 다른 실시예에서, 주입 제어기(110)는 적어도 1개의 선택 센서(120)가 공정 보조 시스템(100)의 파라미터를 감지하고 주입 제어기(110)에 센서 신호(122)를 제공하는 폐쇄형 루프 구성에서 동작할 수 있다. 주입 제어기(110)는 센서 신호(122)에 대응하여 공정 보조 시스템(100)의 1개 이상의 파라미터를 조정할 수 있다. 추가의 실시예에서, 주입 제어기(110)는 사전에 프로그래밍된 제어 및 폐쇄형 루프 피드백 제어의 조합을 이용할 수 있다.
주입 제어기(110)는 주입 공정을 제어하기 위해 그리고 특히 주입량 속도를 제어하기 위해 펄스 폭, 펄스 주파수, 이온 에너지, 플라즈마 밀도, RF 전력, 전기장, 자기장 및/또는 양극과 음극 사이의 간격 등의 공정 보조 시스템(100)의 파라미터를 조정할 수 있다. 이온 화학종 및 총 주입량은 대개 특정한 공정에 대해 고정된다. 고정되지 않은 파라미터는 개별적으로 또는 임의의 조합으로 조정될 수 있다.
주입량 속도는 주입 펄스 폭, 주입 펄스 주파수 또는 양쪽 모두를 포함하지만 그에 제한되지 않는 다수개의 파라미터를 제어함으로써 조정될 수 있다. 이온 에너지는 주입 펄스 진폭을 제어함으로써 조정될 수 있다. 플라즈마 밀도는 예컨대 입력 전력(DC 또는 RF), 전기장 또는 음극과 양극 사이의 간격을 제어함으로써 또는 플라즈마 구속(plasma confinement)을 제어함으로써 조정될 수 있다. 플라즈마 밀도의 제어는 나중에 주입량 속도를 제어한다. 펄스 폭, 펄스 주파수 및/또는 플라즈마 밀도 등의 파라미터는 신속하게 조정될 수 있고 그에 의해 주입 공정 동안에 주입량 속도의 동적 제어를 가능케 한다. 주입량 속도는 주입되는 이온과 기판 사이의 상호 작용의 바람직하지 못한 효과를 적어도 부분적으로 보상하기 위해 동적으로 변화될 수 있다. 이러한 효과는 포토리지스트 탈기(photoresist outgassing) 및 기판 대전(substrate charging)을 포함하지만 그에 제한되지 않는다.
주입 제어기(110)는 범용 컴퓨터(PC 등), 공정 제어기 또는 주입 공정이 프로그래밍되는 전용 제어기로서 실시될 수 있다. 상수 또는 변수 파라미터를 포함하는 주입 공정은 메모리 내에 저장될 수 있다.
센서(120)는 기판의 표면 상태, 기판으로부터의 탈기 및/또는 기판 대전 등의 공정 챔버 내의 다양한 상태를 감지할 수 있다. 예컨대, 탈기는 기판의 부근에서 압력 변화를 감지함으로써 감지될 수 있다. 잔류 가스 분석(RGA: residual gas analysis) 및 광학 방출 분광 분석(OES: optical emission spectroscopy) 등의 기술이 공정 챔버 파라미터를 감지하기 위해 이용될 수 있다. 전술된 바와 같이, 1개 이상의 센서가 이용될 수 있다.
본 발명의 제2 실시예에 따른 플라즈마 이온 주입 시스템의 단순화된 개략 블록도가 도3에 도시되어 있다. 도3의 실시예에서, 주입 제어기(110)는 주입량 속도, 이온 에너지 또는 양쪽 모두를 제어하는 펄스 전원(30)의 개방형 루프 제어를 제공한다. 예로써, 주입 제어기(110)는 사전에 프로그래밍된 주입 공정에 따라 주입량 속도를 제어할 수 있다. 주입 펄스 폭은 시간 또는 주입량의 함수로서 펄스 폭의 프로그래밍에 의해 각각의 펄스에서의 주입량을 직접적으로 제어하기 위해 동적으로 조정될 수 있다. 이것은 각각의 주입 펄스에서 주입된 전하량이 주입 환경 및 기판 표면 상태를 위해 최적화되게 한다. 펄스 반복 주파수는 시간 평균 주입량 속도가 조정되게 하기 위해 동일한 방식으로 조정될 수 있다. 어떤 실시예에서, 펄스 폭 및 펄스 반복 주파수의 양쪽 모두가 주입량 속도의 원하는 변화를 성취하기 위해 조정될 수 있다. 특정한 실시예에서, 주입량 속도는 단일의 기판의 플라즈마 주입 동안에 증가될 수 있다. 낮은 초기 주입량 속도가 포토리지스트로부터 억제된 탈기를 발생시키고 더 높은 주입량 속도에서의 후속의 주입 동안에 포토리지스트를 안정화시킨다.
주입량 속도는 예컨대 단계적으로 또는 연속적으로 조정될 수 있다. 가변 주입량 속도의 제1 예가 도4A에 도시되어 있다. 주입량 속도는 주입이 진행되면서 단계 130, 단계 132 및 단계 134에서 증가하며 주입된 주입량은 최종 주입량 속도(136)에 도달될 때까지 증가한다. 최종 주입량 속도(136)는 주입 공정의 잔여부 동안 고정 상태로 남아 있다. 단계의 개수 그리고 단계의 폭 및 진폭은 본 발명의 범주 내에서 변화될 수 있다. 주입량 속도가 주입의 적어도 일부에 걸쳐 연속적으로 증가하는 예가 도4B에 도시되어 있다. 주입량 속도는 주입의 초기 부분에 걸쳐서 초기 주입량 속도(142)로부터 최종 주입량 속도(144)까지 주입량 속도 곡선(140)에 따라 연속적으로 증가하며, 주입량 속도는 주입 공정의 잔여부 동안 고정 상태로 남아 있다. 도4B에서, 초기 주입량 속도(142), 주입량 속도 곡선(140)의 형상 및 시간 지속 기간 및 최종 주입량 속도(144)는 본 발명의 범주 내에서 변 화될 수 있다. 도4A 및 도4B의 각각에서, 유사한 형상의 주입량 속도 프로파일은 시간의 함수로서 주입량 속도를 작도함으로써 얻어질 것이다. 도4A 및 도4B에 도시된 것들과 같은 주입 공정 동안의 주입량 속도 변화는 주입 제어기(110) 내로 프로그래밍될 수 있다.
일반적으로, 최대치보다 작은 주입량 속도가 이용되는 주입의 분률을 제한하는 것이 바람직하다. 최대치보다 작은 주입량 속도의 연장된 기간은 전체의 주입 시간을 증가시킬 것이고 가공량을 감소시킬 것이다.
펄스당 주입량 속도 그리고 시간 평균 주입량 속도를 제어하는 것에 대한 대체예로서 또는 그 추가예에서, 순간 주입량 속도는 주입 동안에 플라즈마 밀도를 변화시킴으로써 동적으로 제어될 수 있다. 이러한 제어 기술은 플라즈마 발생의 방법에 의존하지만 RF 또는 마이크로파 전력 등의 플라즈마 공급원에 인가되거나 그에 의해 흡수되는 전력의 제어, 예컨대 자성 또는 정전기 소자를 제어함으로써 수행되는 플라즈마 구속의 제어 그리고 예컨대 양극과 음극 사이의 간격을 조정함으로써 중성 가스 밀도(neutral gas density) 또는 플라즈마 스케일 길이(plasma scale length)를 제어함으로써 수행되는 이온화의 효율의 제어를 포함한다.
주입 깊이는 주입 동안에 주입 펄스의 진폭을 변화시킴으로써 동적으로 제어될 수 있다. 이것은 주입된 화학종의 깊이 프로파일을 변화시키지만, 이러한 효과는 휘발성 화학종의 탈기 등의 효과를 감소시키면서 제한될 수 있다. 추가로, 주입 펄스 후의 충분한 전하 중화를 보증하기 위해 펄스형 플라즈마 실시예에서 플라즈마 펄스 폭을 주입 펄스 폭보다 길게 하는 것이 바람직할 수 있다. 이것은 중화 전자를 공급하기 위해 중공 전극 펄스 전원(56)(도1) 등의 대체 플라즈마 전원을 사용하여 성취될 수 있다.
본 발명의 제3 실시예에 따른 플라즈마 이온 주입 시스템의 단순화된 개략 블록도가 도5에 도시되어 있다. 주입량 속도 및/또는 이온 에너지의 폐쇄형 루프 제어를 위한 시스템이 도시되어 있다. 센서(120)가 공정 챔버(10)의 파라미터를 감지하고 주입 제어기(100)에 센서 신호(122)를 제공한다. 센서 신호(122)에 대응하여, 주입 제어기(110)는 주입 펄스 폭, 주입 펄스 주파수 및 주입 펄스 진폭 등의 펄스 전원(30)의 1개 이상의 파라미터를 제어한다. 센서(120)는 압력 제어 파라미터, 기판 전압, 웨이퍼 바이어스 공급 전류, 현장 대전 모니터, 광학 방출 분광 분석, 잔류 가스 분석, 푸리에 변환 적외선 기반 가스 분석(Fourier transformation infrared based gas analysis) 또는 플라즈마 방전의 비디오 분석 등의 주입 가공 환경으로부터 피드백을 제공한다. 1개 이상의 센서가 주입 제어기(110)에 센서 신호를 제공할 수 있다는 것이 이해될 것이다. 주입 제어기(110)는 주입 시스템의 동작이 정상 동작 범위 내에 있는지를 결정하기 위해 감지된 파라미터의 분석을 수행할 수 있다. 감지된 파라미터 중 1개 이상이 정상 동작 범위의 외부측에 있으면, 제어 신호가 전술된 바와 같이 주입량 속도를 조정하기 위해 펄스 전원(30)에 제공될 수 있다. 조정은 정상 범위 내에서 동작 조건을 이동시키기 위해 선택된다.
본 발명의 제4 실시예가 도6 및 도7을 참조하여 기술된다. 도6은 본 발명의 제4 실시예에 따라 2차 전자로의 기판(20)의 사전 처리를 위해 구성되는 플라즈마 이온 주입 시스템의 단순화된 개략 블록도이다. 도7은 본 발명의 제4 실시예에 따른 기판의 플라즈마 이온 주입을 위한 방법을 도시하는 흐름도이다.
사전 처리 단계 동안에, 펄스 전원(30)(도1)은 양극(24) 및 플래튼(14)으로부터 단절되거나 아니면 가동 중단된다. 사전 처리 전원(200)은 양극(24)이 플래튼(14)에 대해 음으로 바이어스되도록 양극(24) 및 플래튼(14)에 연결된다. 플래튼(14)은 접지될 수 있거나 양으로 바이어스될 수 있다. 전원(200)은 양극(24)에 음의 바이어스 전압 즉 -V를 공급할 수 있다. 플라즈마 방전 영역(44) 내의 플라즈마(40)는 전원(200)에 의해 또는 별개의 플라즈마 전원(도6에 도시되지 않음)에 의해 개시 및 유지될 수 있다. 플라즈마(40)는 후속의 주입을 위해 도펀트 재료의 이온을 함유할 수 있거나 불활성 가스의 이온을 함유할 수 있다. 플라즈마(40) 내의 양이온은 음으로-바이어스된 양극(24)을 향해 가속되고 그와 충돌한다. 양이온의 충돌은 양극(24)의 표면으로부터 2차 전자 방출을 발생시킨다. 2차 전자는 양극(24)과 대면한 상태로 위치되는 기판(20)의 표면을 향해 음의 양극 바이어스 전위에 의해 가속된다. 기판은 바이어스 또는 접지될 수 있으며, 그 결과 2차 전자의 정미 에너지는 방출 에너지 + 양극 바이어스와 기판(20)에 인가된 임의의 바이어스 사이의 차이이다. 시스템을 위한 접지 기준이 기판 자체에 의해 또는 또 다른 접지된 표면에 의해 공급될 수 있다.
에너지가 충만한 2차 전자는 기판(22) 상의 포토리지스트 마스크를 사전 처리하고 후속의 이온 주입 단계 동안에 탈기를 감소시킨다. 2차 전자는 포토리지스트 마스크에 의한 탈기가 이온 주입 전에 실질적으로 끝날 정도로 충분한 에너지 및 주입량을 갖는다. 이것은 이온 주입이 포토리지스트 탈기의 효과로부터 비교적 자유롭게 하고 낮은 에너지의 전자 또는 음으로 대전된 이온에 대한 노출을 최소화하고 전기 전하 불균형을 중화하기 위해 양이온이 전자 노출 동안에 기판 표면에 도달하게 한다.
사전 처리 단계를 위한 적절한 가스 화학종은 BF3, AsF5, N2, Ar, PH3, AsH3 및 B2H6를 포함할 수 있지만 그에 제한되지 않는다. 양극(24)에 인가된 전압은 -500 V 내지 -20 ㎸의 범위 내일 수 있다. 적절한 전자 주입량은 1E15 내지 1E17 ㎝-2의 범위 내일 수 있다.
도7을 참조하면, 이온이 단계 250에서 2차 전자 방출을 유발시키기 위해 플라즈마(40)로부터 양극(24)으로 가속된다. 전술된 바와 같이, 양으로 대전된 이온이 양극(24) 상의 음의 바이어스에 의해 플라즈마(40)로부터 양극(24)으로 가속된다. 동일한 음의 바이어스가 기판(20)의 사전 처리를 위해 양극(24)으로부터 기판(20)으로 2차 전자를 가속시킨다. 2차 전자 충격은 포토리지스트 탈기 등의 바람직하지 못한 효과가 실질적으로 끝날 때까지 계속된다. 그 다음에, 플라즈마 이온 주입 시스템은 플라즈마 이온 주입을 위해 구성된다. 예컨대, 사전 처리 전원(200)은 단절 또는 가동 중단될 수 있으며, 펄스 전원(30)은 도1에 도시된 바와 같이 그리고 전술된 바와 같이 가동될 수 있다. 그 다음에, 기판(20)의 플라즈마 이온 주입이 규정된 주입 공정에 따라 단계 254에서 수행된다. 어떤 실시예에서, 주입량 속도, 이온 에너지 또는 양쪽 모두 등의 플라즈마 이온 주입 공정의 파라미 터가 주입되는 이온과 기판 사이의 상호 작용의 바람직하지 못한 효과를 적어도 부분적으로 보상하기 위해 주입 공정 동안에 변화된다. 요구된 파라미터 변화는 사전 처리 단계의 결과로서 감소될 수 있다. 다른 실시예에서, 주입 공정의 파라미터는 플라즈마 이온 주입 동안에 일정하게 유지될 수 있다.
본 발명의 적어도 1개의 실시예의 여러 개의 태양을 이와 같이 기술하였지만, 다양한 변화, 수정 및 개선이 당업자에게 용이하게 착상될 것이라는 것이 이해되어야 한다. 이러한 변경, 수정 및 개선은 본 발명의 개시 내용의 일부라고 생각되며, 본 발명의 사상 및 범주 내에 있다고 생각된다. 따라서, 위의 설명 및 도면은 예로써 주어졌을 뿐이다.

Claims (33)

  1. 기판의 플라즈마 이온 주입을 위한 방법에 있어서,
    공정 챔버, 공정 챔버 내에서 플라즈마를 생성시키는 공급원, 공정 챔버 내에 기판을 보유하는 플래튼 그리고 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원을 포함하는 플라즈마 이온 주입 시스템을 제공하는 단계와;
    어떤 주입량 속도를 갖는 주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하는 단계와;
    주입 공정 동안에 주입량 속도를 변화시키는 단계
    를 포함하는 방법.
  2. 제1항에 있어서, 주입량 속도를 변화시키는 단계는 주입 공정 동안에 주입량 속도를 증가시키는 단계를 포함하는 방법.
  3. 제1항에 있어서, 주입량 속도를 변화시키는 단계는 주입 공정 동안에 1개 이상의 단계로 주입량 속도를 증가시키는 단계를 포함하는 방법.
  4. 제1항에 있어서, 주입량 속도를 변화시키는 단계는 주입 공정의 적어도 일부 동안에 연속적으로 주입량 속도를 증가시키는 단계를 포함하는 방법.
  5. 제1항에 있어서, 주입량 속도를 변화시키는 단계는 주입 펄스의 펄스 폭을 변화시키는 단계를 포함하는 방법.
  6. 제1항에 있어서, 주입량 속도를 변화시키는 단계는 주입 펄스의 펄스 주파수를 변화시키는 단계를 포함하는 방법.
  7. 제1항에 있어서, 주입량 속도를 변화시키는 단계는 주입 펄스의 펄스 폭 및 펄스 주파수를 변화시키는 단계를 포함하는 방법.
  8. 제1항에 있어서, 주입량 속도를 변화시키는 단계는 주입 공정에 의해 특정된 바와 같이 주입량 속도를 조정하는 단계를 포함하는 방법.
  9. 제1항에 있어서, 주입량 속도를 변화시키는 단계는 플라즈마 이온 주입 시스템의 파라미터를 감지하는 단계 그리고 감지된 파라미터를 기초로 하여 주입량 속도를 제어하는 단계를 포함하는 방법.
  10. 제9항에 있어서, 파라미터를 감지하는 단계는 기판으로부터의 탈기를 감지하는 단계를 포함하는 방법.
  11. 제9항에 있어서, 파라미터를 감지하는 단계는 기판 대전을 감지하는 단계를 포함하는 방법.
  12. 제9항에 있어서, 파라미터를 감지하는 단계는 기판 표면 상태를 감지하는 단계를 포함하는 방법.
  13. 제9항에 있어서, 파라미터를 감지하는 단계는 공정 챔버 내의 압력을 감지하는 단계를 포함하는 방법.
  14. 제9항에 있어서, 파라미터를 감지하는 단계는 잔류 가스 분석기로 파라미터를 감지하는 단계를 포함하는 방법.
  15. 제9항에 있어서, 파라미터를 감지하는 단계는 광학 방출 분광 분석에 의해 파라미터를 감지하는 단계를 포함하는 방법.
  16. 제9항에 있어서, 주입량 속도를 제어하는 단계는 주입 펄스의 적어도 1개의 파라미터를 제어하는 단계를 포함하는 방법.
  17. 제9항에 있어서, 주입량 속도를 제어하는 단계는 감지된 파라미터의 설정점을 유지시키는 단계를 포함하는 방법.
  18. 제1항에 있어서, 주입 공정 동안에 주입량 속도를 변화시키는 단계는 공정 챔버 내의 플라즈마의 플라즈마 밀도를 조정하는 단계를 포함하는 방법.
  19. 제1항에 있어서, 전원은 RF 전원을 포함하며 주입 공정 동안에 주입량 속도를 변화시키는 단계는 RF 전원의 RF 전력을 조정하는 단계를 포함하는 방법.
  20. 제1항에 있어서, 주입 공정 동안에 주입량 속도를 변화시키는 단계는 공정 챔버 내의 전기장을 조정하는 단계를 포함하는 방법.
  21. 제1항에 있어서, 주입 공정 동안에 주입량 속도를 변화시키는 단계는 공정 챔버 내의 자기장을 조정하는 단계를 포함하는 방법.
  22. 제1항에 있어서, 플라즈마 이온 주입 시스템은 플래튼으로부터 이격되는 양극을 추가로 포함하며 주입 공정 동안에 주입량 속도를 변화시키는 단계는 양극과 플래튼 사이의 간격을 조정하는 단계를 포함하는 방법.
  23. 기판의 플라즈마 이온 주입을 위한 방법에 있어서,
    공정 챔버, 공정 챔버 내에서 플라즈마를 발생시키는 공급원, 공정 챔버 내에 기판을 보유하는 플래튼, 플래튼으로부터 이격되는 양극 그리고 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원을 포함하는 플라즈마 이온 주입 시스템을 제공하는 단계와;
    양극으로부터의 2차 전자의 방출을 유발시키기 위해 플라즈마로부터 양극으로 이온을 가속시키는 단계와;
    양극으로부터 기판으로 2차 전자를 가속시키는 단계와;
    주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하는 단계
    를 포함하는 방법.
  24. 제23항에 있어서, 2차 전자는 약 500 eV 내지 20 keV의 범위 내의 에너지를 갖는 방법.
  25. 제23항에 있어서, 양극에는 전자 방출성 재료가 코팅되는 방법.
  26. 제23항에 있어서, 양극은 플라즈마에 대해 음으로 바이어스되는 방법.
  27. 제26항에 있어서, 플래튼은 접지되는 방법.
  28. 제26항에 있어서, 플래튼은 양으로 바이어스되는 방법.
  29. 제26항에 있어서, 중공 음극이 양극과 플라즈마 사이의 플라즈마 방전 영역 을 둘러싸며 중공 음극은 접지되는 방법.
  30. 기판의 플라즈마 이온 주입을 위한 방법에 있어서,
    공정 챔버, 공정 챔버 내에서 플라즈마를 생성시키는 공급원, 공정 챔버 내에 기판을 보유하는 플래튼 그리고 플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원을 포함하는 플라즈마 이온 주입 시스템을 제공하는 단계와;
    주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하는 단계와;
    주입되는 이온과 기판 사이의 상호 작용의 바람직하지 못한 효과를 적어도 부분적으로 보상하기 위해 주입 공정 동안에 이온 에너지를 조정하는 단계
    를 포함하는 방법.
  31. 공정 챔버와;
    공정 챔버 내에서 플라즈마를 생성시키는 공급원과;
    공정 챔버 내에 기판을 보유하는 플래튼과;
    플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원과;
    어떤 주입량 속도를 갖는 주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하도록 그리고 주입 공정 동안에 주입량 속도를 변화시키도록 구성되는 주입 제어기
    를 포함하는 플라즈마 이온 주입 시스템.
  32. 공정 챔버와;
    공정 챔버 내에서 플라즈마를 발생시키는 공급원과;
    공정 챔버 내에 기판을 보유하는 플래튼과;
    플래튼으로부터 이격되는 양극과;
    플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원과;
    양극으로부터의 2차 전자의 방출을 유발시키기 위해 플라즈마로부터 양극으로 이온을 가속시키고 양극으로부터 기판으로 2차 전자를 가속시키는 전원
    을 포함하는 플라즈마 이온 주입 시스템.
  33. 공정 챔버와;
    공정 챔버 내에서 플라즈마를 생성시키는 공급원과;
    공정 챔버 내에 기판을 보유하는 플래튼과;
    플라즈마로부터 기판 내로 이온을 가속시키는 주입 펄스를 발생시키는 펄스 전원과;
    주입 공정에 따라 기판의 플라즈마 이온 주입을 수행하도록 그리고 주입되는 이온과 기판 사이의 상호 작용의 바람직하지 못한 효과를 적어도 부분적으로 보상하기 위해 주입 공정 동안에 이온 에너지를 조정하도록 구성되는 주입 제어기
    를 포함하는 플라즈마 이온 주입 시스템.
KR1020067027013A 2004-05-24 2005-05-09 안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법 KR101126376B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/852,643 2004-05-24
US10/852,643 US7396746B2 (en) 2004-05-24 2004-05-24 Methods for stable and repeatable ion implantation
PCT/US2005/016219 WO2005115104A2 (en) 2004-05-24 2005-05-09 Methods for stable and repeatable plasma ion implantation

Publications (2)

Publication Number Publication Date
KR20070026635A true KR20070026635A (ko) 2007-03-08
KR101126376B1 KR101126376B1 (ko) 2012-03-28

Family

ID=35375740

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067027013A KR101126376B1 (ko) 2004-05-24 2005-05-09 안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법

Country Status (6)

Country Link
US (1) US7396746B2 (ko)
JP (2) JP5071976B2 (ko)
KR (1) KR101126376B1 (ko)
CN (2) CN1998062B (ko)
TW (1) TWI345265B (ko)
WO (1) WO2005115104A2 (ko)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
US20080160170A1 (en) * 2006-12-28 2008-07-03 Varian Semiconductor Equipment Assoicates, Inc. Technique for using an improved shield ring in plasma-based ion implantation
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7615748B2 (en) 2007-09-25 2009-11-10 Varian Semiconductor Equipment Associates, Inc. Outgassing rate detection
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US7638781B2 (en) * 2007-10-22 2009-12-29 Varian Semiconductor Equipment Associates, Inc. Local pressure sensing in a plasma processing system
US20090104719A1 (en) * 2007-10-23 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System with In-Situ Chamber Condition Monitoring
WO2010008598A1 (en) * 2008-07-17 2010-01-21 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
KR20110122664A (ko) * 2008-07-17 2011-11-10 베러티 인스트루먼트, 인코퍼레이티드 처리 시스템의 화학적 분석에 사용하기 위한 전자 빔 여기 장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
RU2454485C1 (ru) * 2010-10-18 2012-06-27 Государственное образовательное учреждение высшего профессионального образования "Ивановский государственный энергетический университет имени В.И. Ленина" (ИГЭУ) Способ импульсно-периодической ионной обработки металлического изделия и устройство для его осуществления
JP2012178474A (ja) * 2011-02-25 2012-09-13 Ulvac Japan Ltd 不純物導入方法
KR20120106359A (ko) * 2011-03-18 2012-09-26 한국전자통신연구원 금속 산화막 형성방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8728587B2 (en) 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9773712B2 (en) 2015-08-25 2017-09-26 Toshiba Memory Corporation Ion implantation apparatus and semiconductor manufacturing method
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6902167B2 (ja) 2017-08-25 2021-07-14 イーグル ハーバー テクノロジーズ, インク.Eagle Harbor Technologies, Inc. ナノ秒パルスを使用する任意波形の発生
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10227693B1 (en) * 2018-01-31 2019-03-12 Axcelis Technologies, Inc. Outgassing impact on process chamber reduction via chamber pump and purge
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR101995708B1 (ko) * 2018-03-30 2019-07-04 파워소프트 주식회사 초기 부스팅된 펄스 전압을 제공하는 플라즈마 펄스 전원 장치
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
CN110828272B (zh) * 2018-08-09 2022-09-16 北京北方华创微电子装备有限公司 腔室内衬、下电极装置和半导体处理设备
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
WO2020033931A1 (en) 2018-08-10 2020-02-13 Eagle Harbor Technologies, Inc. Plasma sheath control for rf plasma reactors
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10796887B2 (en) 2019-01-08 2020-10-06 Eagle Harbor Technologies, Inc. Efficient nanosecond pulser with source and sink capability for plasma control applications
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11830705B2 (en) * 2020-08-20 2023-11-28 PIE Scientific LLC Plasma flood gun for charged particle apparatus
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62219920A (ja) * 1986-03-20 1987-09-28 Sony Corp 半導体装置の製造方法
JPH02203522A (ja) * 1989-02-02 1990-08-13 Matsushita Electric Ind Co Ltd イオン注入方法
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5498290A (en) * 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
US5508227A (en) 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
JP3676919B2 (ja) * 1997-10-09 2005-07-27 株式会社アルバック 反応性イオンエッチング装置
EP0942453A3 (en) 1998-03-11 2001-02-07 Axcelis Technologies, Inc. Monitoring of plasma constituents using optical emission spectroscopy
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
EP1204986A1 (en) * 1999-08-06 2002-05-15 Axcelis Technologies, Inc. System and method for providing implant dose uniformity across the surface of a substrate
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6458430B1 (en) 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6383554B1 (en) 2000-09-05 2002-05-07 National Science Council Process for fabricating plasma with feedback control on plasma density
JP2003073814A (ja) * 2001-08-30 2003-03-12 Mitsubishi Heavy Ind Ltd 製膜装置
JP4484421B2 (ja) * 2002-06-21 2010-06-16 独立行政法人科学技術振興機構 プラズマ表面処理方法及び装置
US20040016402A1 (en) 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems

Also Published As

Publication number Publication date
CN1998062A (zh) 2007-07-11
US7396746B2 (en) 2008-07-08
US20050260837A1 (en) 2005-11-24
TWI345265B (en) 2011-07-11
WO2005115104A2 (en) 2005-12-08
WO2005115104A3 (en) 2006-07-06
JP5071976B2 (ja) 2012-11-14
KR101126376B1 (ko) 2012-03-28
JP2008500729A (ja) 2008-01-10
TW200539327A (en) 2005-12-01
CN101892463B (zh) 2012-09-19
JP2012178571A (ja) 2012-09-13
CN1998062B (zh) 2010-09-01
CN101892463A (zh) 2010-11-24

Similar Documents

Publication Publication Date Title
KR101126376B1 (ko) 안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법
US6020592A (en) Dose monitor for plasma doping system
KR100395272B1 (ko) 플라즈마도핑이온주입프로세스에서도우즈균일성을얻기위한장치
KR101246869B1 (ko) 플라즈마 이온 주입에서 프로파일 조정
JP4666448B2 (ja) 中空カソードを含むプラズマドーピングシステム。
US7326937B2 (en) Plasma ion implantation systems and methods using solid source of dopant material
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
KR20070088752A (ko) 축상 정전기적 구속을 갖는 플라스마 이온 주입 시스템
KR19980070441A (ko) 펄스로 된 애노드를 갖는 플라즈마 담금 주입
WO2001015200A1 (en) Implanting system and method
KR19990082593A (ko) 이온주입시스템에서 선량측정 제어를 위한 제어매카니즘
US20030101935A1 (en) Dose uniformity control for plasma doping systems
US20130287963A1 (en) Plasma Potential Modulated ION Implantation Apparatus
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
WO2003036681A2 (en) Methods and apparatus for plasma doping by anode pulsing
US20120000606A1 (en) Plasma uniformity system and method
JPH04218250A (ja) イオン注入装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 6