KR19980070441A - 펄스로 된 애노드를 갖는 플라즈마 담금 주입 - Google Patents

펄스로 된 애노드를 갖는 플라즈마 담금 주입 Download PDF

Info

Publication number
KR19980070441A
KR19980070441A KR1019980000409A KR19980000409A KR19980070441A KR 19980070441 A KR19980070441 A KR 19980070441A KR 1019980000409 A KR1019980000409 A KR 1019980000409A KR 19980000409 A KR19980000409 A KR 19980000409A KR 19980070441 A KR19980070441 A KR 19980070441A
Authority
KR
South Korea
Prior art keywords
workpiece
chamber
injection
electrode
injection chamber
Prior art date
Application number
KR1019980000409A
Other languages
English (en)
Other versions
KR100407606B1 (ko
Inventor
아렉스투아트덴홀름
지큔사오
Original Assignee
레슬리제이카스퍼
이턴코오포레이숀
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레슬리제이카스퍼, 이턴코오포레이숀 filed Critical 레슬리제이카스퍼
Publication of KR19980070441A publication Critical patent/KR19980070441A/ko
Application granted granted Critical
Publication of KR100407606B1 publication Critical patent/KR100407606B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

이온으로 하여금 공작물 주입표면에 충돌하게 함으로써 공작물 주입표면을 처리하는 방법 및 장치. 주입 체임버(12)는 하나 이상의 공작물이 삽입될 수 있는 체임버 내부를 형성하고 체임버 내부에 근접해서 전도 내부벽부(22)를 포함한다. 전도 공작물 지지체(30)는 주입 체임버의 내부영역에 있다. 전도전극(32)은 공작물로 하여금 지지체 및 전도전극간의 영역(34)에서 공작물 지지체상에 위치하도록 상기 전도 공작물 지지체에 대해 상기 주입 체임버내에 배치된다. 가스 분자는 가스 분자로 하여금 하나 이상의 공작물에 가깝게 근접해서 주입 체임버의 영역을 점유하게 되도록 주입 체임버로 주입된다. 가스 분자는 공작물의 주입표면 가까이에서 이온화된다. 제어회로(100)는 전도 공작물 지지체, 하나 이상의 공작물, 및 주입 체임버의 전도벽부에 대해 전도전극을 양전위로 펄스 진동시킨다. 제어회로는 이온화된 가스 분자가 하나 이상의 공작물의 주입표면에 부딧히기전에 가속하는 전기장을 구비하는 전압원을 포함한다.

Description

펄스로 된 애노드를 갖는 플라즈마 담금 주입
본 발명의 출원은 1996년 10월 10일에 출원되고 Pulsed Plate Plasma Implantation System을 명칭으로 하는 동시 계류중인 미국 특허출원 제08/727,000호의 부분 연속 출원이다.
본 발명은 공작물을 이온 플라즈마에 담금으로써 및 공작물을 주입하기 위해 이온을 플라즈마내에서 가속시킴으로써 공작물을 이온 불순물로써 처리하는 이온 주입 시스템에 관한 것이다.
상업적으로 사용가능한 이온 주입 시스템은 하나 이상의 공작물이 소스로부터의 이온에 의해 처리되는 주입 체임버로부터 떨어진 소스 체임버를 포함하는 이온소스를 사용한다. 소스 체임버에서 출구 개구는 이온으로 하여금 소스로 나가게 하고 그러하여 그들이 정형, 분해, 및 가속되어 이온 빔을 형성한다. 이온 빔은 이온 빔이 하나 이상의 공작물, 주입 체임버내에 위치되는 통상적으로는 원형 웨이퍼에 부딧히는 이온 주입 체임버로 진공된 빔 경로를 따라 향하게 된다. 이온 빔의 에너지는 웨이퍼에 부딧히는 이온으로 하여금 주입 체임버의 그 웨이퍼에 침투하기에 충분하다. 그런 시스템의 통상적인 응용에서 웨이퍼들은 실리콘 웨이퍼들이고 이온들이 웨이퍼를 '도핑'하기 위해 사용되어 반도체 재료를 제조한다. 마스크 및 패시베이션층을 사용하는 선택적인 주입이 집적회로로 하여금 종래기술의 주입기로써 제조하게 된다. 상기 주입 기술용 장비는 커지고, 복잡하고, 값비싸고 저 에너지에서 이온을 주입하는 능력의 제한을 갖는다.
Method and Apparatus for Plasma Source Ion Implantation을 명칭으로 하며 Conrad에 의한 미국 특허 제4,764,394호는 이온 충돌에 의해 타켓(target)을 처리하는 이온 주입 시스템을 개시한다. 3차원 타켓의 표면으로의 이온 주입이 둘러싸인 체임버내의 타켓에 대해 이온화 플라즈마를 형성함으로써 이루어진다. 플라즈마가 타켓을 둘러싸는 영역에서 일단 세트업될 때, 플라즈마로부터의 이온이 필요없이 모든 측면으로부터 타켓 오브젝트(object)로 구동되어 타켓을 이온 빔을 통해 스캔한다. 상기 주입이 반복의 고전압 음펄스를 하나 이상의 타켓 공작물에 인가함으로써 수행된다. 상기 펄스는 이온으로 하여금 타켓의 노출된 표면으로 구동되게 된다. 플라즈마를 세트업하는 '394 특허에서 개시된 기술이 중립 가스를 타켓 영역으로 도입하고 그후 가스를 이온화 방사로써 이온화한다. Conrad에 의한 '394 특허에서 개시된 시스템이 공작물에 둘러싸인 영역에서 플라즈마를 세트업하고 그후 공작물을 지지하는 전극을 선택적으로 펄스로 하여 플라즈마의 대전된 이온을 공작물에 유인한다.
Lieberman에 의한 플라즈마 주입 처리의 이온적인 연구는 갑자기 음전압이 타켓 공작물에 인가될 때 나노초내에서 표면 가까이의 전자들이 공작물을 둘러싼 영역으로부터 떨어져 구동되어, 일정한 밀도의 이온 매트릭스 외장인 M.A. Lieberman, Model of Plasma Immersion Ion Implantation, J.Applied Physics, 66(1989) p.2926로 되게 한다. 결과적으로, 역이온 플라즈마 주파수의 시간 스케일상에서, 외장내의 이온들이 하나 이상의 공작물로 가속된다. 그것은 타켓 공작물로부터 외장 경계를 교대로 더 구동하여, 공작물로 구동되는 더 많은 이온을 노출시킨다. 더 큰 시간 스케일상에서, 안정상태 이온 공간 대전 상태가 통상적으로 발생한다. 약 1센티미터 두께의 외장이 마이크로초내에서 발생된다. 예를 들어, M.M. Shamim et al, Measurement of Electron Emission due to Energetic Ion Bombardment in Plasma Source Ion Implantation, J.Applied Physics, 70(1991) p.4756을 참고하시오.
플라즈마내의 전기장은 낮고 이온을 가속시키기 위해 타켓에 인가된 모든 전위는 사실상 외장에 걸쳐서 존재한다. 통상적으로, 플라즈마로부터 이온이 타켓 표면에 부딧힘에 따라 2차 전자가 발생된다. 상기 전자들은 외장 양단의 전압 강하를 통해 타켓으로부터 가속되고 둘러싸인 체임버의 벽에 끝난다. 2차 방출 계수의 일반적인 논의에서, 예를 들어, S.Qin et al, The Response of a Microwave Multipolar Bucket Plasma to a High Voltage Pulse with Finite Rise Time, IEEE Trans. Plas., Sci, 20(1992) p.569를 참고하시오.
Conrad에 의해 개시된 이온 주입 시스템이 타켓 공작물을 상대적으로 음의 전위로써 펄스되는 동안 주입 체임버를 접지 전위로 유지한다. 하나의 표면상에서 처리를 요구하는 반도체 웨이퍼 또는 평평한 패널 표시 기판 등의 거의 평평한 타켓 공작물에서, 음펄스로 된 타켓 이온 주입 시스템이 양호하지 않다. 그런 이온 주입 시스템에서, 타켓 공작물은 높은 음전위로 통상적으로 상승되야 한다. 그것은 공작물의 취급을 더 어렵게 하고 파라데이 컵(cups) 또는 열량계 등의 조절장치에 의해 콜렉터를 타켓 공작물에서 대전시키기 위해 전달된 전위를 조절하는 것을 복잡하게 하는 데, 왜냐하면 상기 장치가 높은 음전위를 받기 때문이다.
본 발명은 타켓 공작물의 이온 주입용의 새롭고 개선된 방법 및 장치를 구비한다. 본 발명에 따라, 타켓 공작물이 기준 전위에서 유지되고 공작물의 표면 가까이의 전기장이 공작물 가까이의 전도전극을 양전압 펄스로써 펄스 진동시킴으로써 발생된다.
전력 소모를 감소시키기 위해 양펄스로 된 전극에 대해 음전위를 갖는 주입 체임버내의 표면영역이 감소된다. 주입에 필요로 된 총전류는 감소되고 따라서 주입용으로 요구된 총전력량이 또한 감소된다.
본 발명의 양호한 실시예에서, 하나 이상의 공작물이 주입 체임버의 내부 영역내에서 하나 이상의 공작물을 지지하는 전도 공작물 지지체에 의해 지지된다. 주입 체임버는 체임버 내부에 근접해서 전도 내부벽부를 포함한다. 전도전극이 주입 체임버내에 또한 위치되고 전도 공작물 지지체에 대해 위치된다. 중립으로 대전된 가스 분자가 주입 체임버로 주입되고 계속해서 이온화되어 이온화된 가스 또는 이온 플라즈마가 공작물의 주입표면 가까이에 형성된다. 본 발명에 따라, 주입 체임버의 전도 공작물 지지부, 공작물 및 전도벽부가 기준 전위에서 모두 유지된다. 전도전극은 상기 기준 전위에 관련해서 양으로 되는 펄스로써 펄스 진동되어 가스 분자로부터 형성된 이온이 공작물의 주입표면을 부딧히기전에 가속하는 전기장을 구비한다.
이온 주입에 요구된 플라즈마 상태의 발생이 공작물의 표면가까이 또는 그 표면에서 전기장에 의해 결정되기 때문에, 효과는 상대적으로 양펄스로 되나 공작물에 직접적으로 대향하지 않는 표면을 실제로 차폐함으로써 개선될 수 있다. 양호한 실시예에서, 전극이 유전체로써 차폐되어 전극과 관련된 전기장선은 펄스가 발생함에 따라 전극내에서 집중되고 유전체에 가깝게 접근해서 체임버 내부내에서 집중되지 않는다. 대안적으로, 유전체는 기준 전위로 유지되는 케이싱에서 커버될 수 있다.
효율이 기준 전위로 유지되나 타켓 공작물의 표면에서 전기장을 발생시키도록 요구되지 않는 표면을 차폐함으로써 또한 개선될 수 있다. 본 발명의 양호한 실시예에서, 주입 체임버의 전도벽부 및 전도 공작물 지지부는 유전체로써 차폐되어, 전극에 대해 음전위를 갖는 주입 체임버내에서 노출된 표면영역량을 감소시킨다.
공작물이 접지 전위로 있기 때문에, 개시된 발명이 공작물 지지체 및 공작물의 취급, 기계적인 스캐닝 또는 다른 이동을 용이하게 하여 이온 주입량의 균일성을 개선시킨다. 본 발명은 양을 측정하기 위해 사용된 파라데이 컵(cups) 또는 열량계 등의 장치가 기준 전위로 유지되기 때문에 타켓 냉각 및 주입량 조절을 또한 단순하게 한다.
본 발명의 상기 및 다른 목적, 장점 및 특성이 첨부된 도면과 결부지어 검토될 때 본 발명의 양호한 실시예의 첨부된 상세한 설명으로부터 양호하게 이해된다.
도 1은 본 발명의 양호한 실시예에 따라 구성된 이온 주입 시스템의 개략도.
도 1a는 가스 분자를 주입 체임버내에서 이온화시키는 데 도움을 주는 자외선 소스를 포함하는 도 1의 이온 주입 시스템의 개략도.
도 2는 본 발명의 다른 실시예에 따라 구성된 이온 주입 시스템의 개략도.
도 3은 본 발명의 다른 실시예에 따라 구성된 이온 주입 시스템의 개략도.
도 4는 본 발명의 다른 실시예에 따라 구성된 이온 주입 시스템의 개략도.
도 1은 일반적으로 평면 공작물이 그 공작물의 이온 처리용으로 삽입되는 프로세스 체임버(12)를 포함하는 이온 주입 시스템(10)의 개략도이다. 개시된 시스템의 하나의 용도는 집적 회로의 제조동안 실리콘 웨이퍼의 이온 주입용이다. 도 1에 도시된 시스템이 웨이퍼를 개별적으로 한 번에 하나씩 프로세스 체임버(12)로 삽입하는 로드록(loadlock)(20)을 포함한다. 로드록은 공작물이 프로세스 체임버로 삽입 및 그 체임버로부터 회수됨에 따라 개방 및 폐쇄되는 밸브(21)를 통해 체임버(12)로 위치 및 그 체임버(12)로부터 회수될 때 프로세스 체임버(12)로 하여금 감소된 압력(대기 압력에 대해)으로 유지하는 데 사용한다.
도 1에 도시된 프로세스 체임버(12)는 공작물(14)이 삽입되는 체임버 내부(24)를 형성하는 내부 벽(22)을 갖는다. 공작물(14)이 일반적으로 평면 전도 플래튼(platen) 또는 지지부(30)상으로 위치된다. 플래튼은 예를 들어 지지되는 공작물의 크기에 따라 알맞은 크기를 갖는다.
이온화 가스를 매니폴드(manifold) 및 공작물(14)간의 영역으로 주입하는 가스 전달 매니폴드(32)가 공작물 플래튼위에 일정한 간격을 유지하게 된다. 이온 플라즈마는 주입 시스템(10)의 동작동안 상기 영역(34)에서 발생된다.
체임버(12)외측의 가스 공급기(36)는 체임버 벽을 통해 매니폴드(32)로 통과하는 도관(37)을 통해 이온화 가스를 전달한다. 매니폴드(32)는 도관(37)으로부터 공작물(14)에 직면하는 개구의 어래이(도시안된)로 이르는 다수의 분기 통로를 형성한다. 양호한 처리 균일성이 매니폴드 및 공작물(14)간의 영역(34)에서 가스 밀도를 가능한한 균일하게 유지함으로써 얻어진다. 양호한 매니폴드(32)는 평면에서 일반적으로 원형이고 가스 농도를 매니폴드(32)의 외부 주변에서 가능한한 일정하게 유지하기 위해 간격을 둔 개구의 어래이를 포함한다. 상기 관계에서, 공작물(14)이 플라즈마의 전위적으로 불균일한 영역을 피하기 위해 일반적으로 원형 플래튼(30)의 에찌로부터 내부 방향으로 간격을 둔 플래튼(30)상으로 위치된다. 균일한 주입이 상기 부재의 에찌에서 전기장 강도를 제어하기 위해 매니폴드(32) 및 플래튼(30)의 에찌 형태의 알맞은 형태에 의해 또한 증진된다.
가스가 플라즈마 영역(34)으로 일단 들어갈 때 가스 분자는 이온화되고(하나 이상의 전자로 스트립(strip)된) 양으로 대전된 원자는 전도 플래튼이 접지 전위로 유지되는 동안 매니폴드(32)에 인가되는 양전하 펄스의 시퀀스에 의해 공작물(14)을 향해 가속된다. 개시된 실시예에서 단일 공작물(14)이 플래튼(30)상에서 지지되는 것으로 도시하나 다수의 공작물(14)은 본 발명을 사용해서 동시에 또한 주입될수 있었다.
도 1은 공작물 처리 재료의 농도를 공작물(14)위의 영역(34)에서 발생시키는 데 사용된 상대적인 바이어싱장치를 도시한다. 전도 지지 플래튼(30), 공작물(14), 및 체임버 벽(22)이 모두 접지된다. 양전압 펄스는 이온으로 하여금 영역(34)에서 상대적으로 높은 전기장에 의해 발생되게 하고 플래튼(30)을 향해 가속되게 하는 매니폴드(32)에 인가된다.
체임버(12) 외측에 위치된 변조기회로(100)는 전압 펄스를 매니폴드(32)에 인가한다. 따라서 매니폴드(32)는 하나의 전극으로서 동작하고 플래튼(30)은 다른 전극으로서 동작한다. 다수의 상업적으로 수락가능한 전압원중 일부가 알맞은 전압 펄스를 공급하는 데 알맞다. 하나의 예로서, 전압원은 스위치 폐쇄시 전압 펄스를 가동시키고 스위치 개방시 전압 펄스를 정지시키는 고전력 IGFET 어셈블리를 사용해서 구성될 수 있다. 펄스(112)는 본 발명의 양호한 실시예에서 전도재료로부터 구성되는 도관(37)에 전기적으로 결합된 도선에 의해 인가된다. 대안적으로, 펄스는 체임버 벽을 통해 통과하는 절연된 도선을 통해 지향되고 매니폴드(32)에 직접적으로 결합된다.
양호한 변조기회로(100)는 체임버로 주입된 가스 분자를 이온화하고 하나 이상의 공작물(14)의 주입표면을 향해 이온화 가스 분자를 가속시키는 펄스(112)의 시퀀스를 구비하는 전압원을 포함한다. 펄스(112)의 반복속도 및 펄스 지속 기간은 글로우 방전이 발생되고 플라즈마가 형성되고 매니폴드(32)에 인가된 펄스에 의해 영역(34)에서 유지되는 것을 확정하기 위해 선택된다. 플라즈마 밀도는 이온화 펄스가 제거된 후 재결합 및 확산으로 인해 밀리초 정도의 시간에서 감소된다. 플라즈마를 계속해서 유지하기 위해, 초당 수천 이상의 펄스속도가 필요하다. 그러나, 저 펄스속도에서, 이온화 및 주입이 각 펄스동안 여전히 발생하나 낮은 주입속도를 구비한다.
오염을 감소시키는 데 도움을 주기위해, 하나의 실시예에서 플래튼(30) 및 매니폴드(32)는 실리콘에 의해 코팅된 알루미늄으로 형성된다. 체임버 벽(22)의 적어도 일부 및 플래튼(30)의 비-작동 영역을 예를 들어 석영 등의 알맞은 절연재료로써 라이닝(lining)은 전력 소모뿐만 아니라 오염을 감소시키는 데 도움을 준다.
공작물(14)을 체임버로 삽입하는 로드록(20)은 체임버(12)의 내부로 하여금 주입관간에 진공으로 되도록 하기 위해 사용된다. 진공펌프(140)는 체임버(12) 및 펌프(140)간에 위치된 밸브(142)에 의해 조절되는 체임버 내부를 감소된 압력으로 유지한다.
양펄스로 된 매니폴드(32)와 연관된 전기장 라인이 매니폴드(32)에 대해 음전위를 갖는 주입 체임버(12)내에서 공작물(14)의 그것을 포함하는 표면영역에 일반적으로 지향된다. 효율이 매니폴드 및 전달 도관을 기준 전위로 유지된 절연 유전체(40) 및 전도 케이싱(42)으로써 차폐시킴으로써 개선된다. 상기 차폐는 매니폴드(32)에 직면하지 않는 양펄스로 된 매니폴드(32)의 그 일부를 커버하고 그러므로 공작물(14)의 표면가까이 또는 표면에서 전기장을 발생시키는 것이 불필요하다. 매니폴드(32)를 차폐하는 것은 전기장 라인을 매니폴드(32) 및 공작물(14)간의 영역(34)내에서 집중시키고 실제로 전기장 강도를 체임버(12)의 내부영역(24)내의 다른 곳에서 감소시킨다.
유전체(40)는 변조기회로(100)에 의해 구동된 로드를 실제로 감소시키는 데 사용함으로써, 변조기회로(100)에 의해 소모된 전력을 감소시킨다. 케이싱(42)을 갖는 경우에, 도관(37)과 연관된 전기장이 유전체(40)내에 포함되고 그러므로 가속 이온 및 전자와 연관된 로드를 발생시키지 않는다. 케이싱(42)이 사용되지 않는 다면, 차폐는 여전히 얻어지나 덜 효율적이다. 케이싱(42)이 없는 경우에, 유전체(40)의 외부표면이 전자 흐름 형태에 의해 플라즈마를 접지 전위로 대략 유지되게 된다.
도 1a는 이온 발생을 체임버(12)로써 증대시키는 자외선 광원(102)을 포함하는 주입 시스템(10)을 예시한다. 체임버(12)는 광원(102)으로부터 자외선 광의 통과에 전달되는 윈도우(104)를 포함한다. 자외선 광이 매니폴드(32) 및 플래튼(30)간의 영역에 대해 체임버(12)로 통과하고 공작물(14)에 가까운 이온화 영역에서 매니폴드(32)를 통해 체임버로 들어가는 가스 원자의 이온화를 증진하는 데 도움을 준다.
효율이 양펄스로 된 매니폴드(32)에 대해 음전위로 유지되고 공작물(14)의 표면 가까이 또는 그 표면에서 전기장을 발생시키기에 불필요한 체임버(12)의 표면영역을 차폐시킴으로써 또한 개선될 수 있다. 도 2에 도시했듯이, 유전체(50)는 체임버(12)의 내부벽(22)의 일부를 차폐하기 위해 사용된다. 유전체(50)의 내부표면(51)은 양이온 부딧힘에 의해 매니폴드(32)와 같은 전압으로 양으로 대전된다. 유전체(50)는 유전체 표면(51)가까이 또는 유전체 표면(51)에서 전기장으로 하여금 이온 플라즈마로 실제로 통과되지 못하게 하고, 결과적으로 가스 분자를 이온화되게 하거나 가속되게 하는 영역이 실제로 감소되고 변조기(100)상에 끌어당겨진 대응하는 로드는 또한 감소된다.
도 3은 본 발명에 따라 구성되는 다른 이온 주입 시스템을 도시한다. 본 시스템이 양펄스로된 매니폴드(32)에 대해 음전위를 갖는 주입 체임버(12)내에서 표면영역량을 감소시킨다. 본 실시예에서, 이온 주입 시스템(10)의 일부가 양펄스로된다. 도 3에 도시했듯이, 이온소스(60) 및 그 이온소스(60)에 전달된 이온화 재료의 소스(61)는 변조기(100)에 의해 고전위로 양펄스된다. 소스(60)는 유도 결합된 플라즈마(inductively coupled plasma;ICP), 헬리콘(helicon), ECR 또는 마이크로파 소스 등의 다수의 상업적으로 사용가능한 소스중 하나를 포함할 수 있다. 절연체 판(63) 및 절연체 링(64)은 상대적으로 음전위를 갖는 공작물(14)의 표면영역으로부터 주입 시스템(10)의 양펄스로 된 부분을 격리시킨다.
변조기(100)상의 로드는 매니폴드(32)에 대해 음전위로 되는 선택된 표면영역을 차폐하기 위해 바이어스된 그리드를 사용함으로써 또한 감소될수 있다. 본 실시예에서, 도 4에 도시했듯이, 금속 그리드(70)는 체임버(12)의 내부 벽(22)의 표면에 평행하게 그리고 그 표면으로부터 대략 1cm 떨어져 위치된다. 절연체 스페이서(spacers) 또는 스탠드오프(standoffs)(71)는 체임버 벽(22)으로부터 그리드(70)를 격리시킨다. 서로 전기적으로 연결되는 그리드(70)는 내부 벽(22)에 대해 음의 114로 바이어스되어 이온 충돌에 의해 벽(22)의 표면에서 발생된 2차 전자가 바이어싱 그리드(70)에 의해 실제로 억제된다. 본 발명에 따라, 고전압이 인가될 때, 그리드(70)의 표면가까이의 전기장 강도는 내부 벽(22)이 그리드(70)없을 때보다 몇배 더 높으나, 플라즈마 외장(sheath)이 그리드(70)로부터 떨어져 확장함에 따라, 외장 경계에서 전기장 강도는 정상 레벨 및 외장 확장 정상 속도로 가정한다. 그리드(70)가 없는 경우에, 매니폴드(32)에 대해 음전하를 갖는 양이온 부딧힘 표면이 2차 전자를 이온에 대해 5배로 높은 전자 계수로써 발생한다. 그리드(70)가 있는 경우에, 저 바이어스 전압이 관련된 2차 전자 로드 전류를 감소시키기에 충분하다. 효과적으로 그리드는 전자 방출용 표면영역을 감소시킨다. 2차 전자 로드를 감소시키기 위해 요구된 바이어스 전압이 선택된 기하학적 구성에 따라 약 50 내지 150 볼트의 범위에서 아주 적을 수 있었다.
본 발명의 대안적인 실시예가 상세한 정도로 설명되는 동안, 본 발명이 첨부된 청구항의 정신 또는 범위내에 있는 개시된 설계로부터 모든 변경 및 변화를 포함한다.
전력 소모를 감소시키기 위해 양펄스로 된 전극에 대해 음전위를 갖는 주입 체임버내의 표면영역이 감소된다. 주입에 필요로 된 총전류는 감소되고 따라서 주입용으로 요구된 총전력량이 또한 감소된다.

Claims (25)

  1. 이온으로 하여금 공작물 표면에 충돌하게 함으로써 공작물 표면을 처리하는 방법에 있어서,
    a) 체임버 내부(24)를 갖는 주입 체임버(12)를 구비하고, 하나 이상의 공작물(14)을 체임버내에서 지지하는 전도 공작물 지지체(30)를 위치시키고, 공작물로 하여금 지지체 및 전도전극간의 영역의 공작물 지지체상에 위치하도록 하기 위해 공작물 지지체에 대해 전도전극을 더 위치시키는 단계와;
    b) 하나 이상의 공작물의 주입표면이 상기 전도전극에 직면하도록 하나 이상의 공작물을 주입 체임버(12)로 삽입하고, 상기 하나 이상의 공작물을 전도 공작물 지지체(30)상으로 위치시키는 단계와;
    c) 주입 체임버(12)의 전도 공작물 지지체(30), 하나 이상의 공작물, 및 전도벽부를 기준 전위로 유지하는 단계와;
    d) 이온화 주입 재료를 전도 공작물 지지체(30)에 의해 지지된 하나 이상의 공작물에 근접해서 주입 체임버내에서 구비하는 단계와;
    e) 이온 플라즈마를 상기 하나 이상의 공작물(14)의 주입표면 가까이에 형성하기 위해 이온화 주입 재료를 이온화하는 단계와;
    f) 플라즈마내의 이온을 전기장을 통해 하나 이상의 공작물(14)의 주입표면에 부딧히기위해 가속시키는 단계를 구비하는 것을 특징으로 하는 공작물 표면의 처리방법.
  2. 제1항에 있어서, 상기 가속 단계가 이온을 가속시키는 전기장을 구비하기 위해 기준 전위에 관련해서 양으로 되는 펄스(112)로써 전극(32)을 전기적으로 펄스 진동시킴으로써 수행되는 것을 특징으로 하는 공작물 표면의 처리방법.
  3. 제2항에 있어서, 상기 전극(32)을 전기적으로 펄스 진동시키는 단계는 가스 분자를 이온화하고 그 이온화된 가스 분자를 가속시키는 것을 특징으로 하는 공작물 표면의 처리방법.
  4. 제1항에 있어서, 상기 이온화 재료는 중립 가스 분자로 형성되고 상기 이온화 단계는 필드(field)를 전자소스(100)로부터 인가함으로써 수행되는 것을 특징으로 하는 공작물 표면의 처리방법.
  5. 제1항에 있어서, 상기 이온화 단계는 ICP, 헬리콘(helicon), ECR, 마이크로파 소스를 포함하는 그룹으로부터의 이온소스(60)로써 가스 분자를 이온화하는 것을 구비하는 것을 특징으로 하는 공작물 표면의 처리방법.
  6. 제1항에 있어서, 상기 전극 지지체(30)와 연관된 전기장선이 유전체내에서 집중되고 유전체에 가깝게 근접해서 체임버 내부(24)내에 집중되지 않도록 상기 전극용 지지체 구조를 유전체(50)로써 차폐하는 단계를 더 구비하는 것을 특징으로 하는 공작물 표면의 처리방법.
  7. 제6항에 있어서, 케이싱에서 상기 유전체(50)의 외부를 커버하고 상기 케이싱을 상기 기준 전위로 유지하는 부가적인 단계를 구비하는 것을 특징으로 하는 공작물 표면의 처리방법.
  8. 제1항에 있어서, 주입 체임버의 전도 공작물 지지체(30)를 유전체로써 차폐하고, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자가 주입되는 상기 주입 체임버내에서 표면영역량을 감소시키는 단계를 더 구비하는 것을 특징으로 하는 공작물 표면의 처리방법.
  9. 제1항에 있어서, 주입 체임버의 전도벽부를 유전체(50)로써 차폐하고, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자가 주입되는 상기 주입 체임버(12)내에서 표면영역량을 감소시키는 단계를 더 구비하는 것을 특징으로 하는 공작물 표면의 처리방법.
  10. 제1항에 있어서, 이온화된 가스 분자 부딧힘 및 영역 표면에 남는 2차 전자가 유전체로 하여금 상기 전극(32)의 그것과 같은 양전위에 대해 얻어지도록 상기 전도벽부의 내부 영역을 유전체(50)에 의해 차폐하고, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자가 주입되는 상기 주입 체임버내에서 표면영역량을 감소시키는 부가적인 단계를 더 구비하는 것을 특징으로 하는 공작물 표면의 처리방법.
  11. 제1항에 있어서, 주입 체임버(12)는 이온소스(60)를 상기 주입 체임버(12)의 전도벽부로부터 분리하기 위해 절연체(63, 64)를 포함하고 이온소스가 상기 전극의 그것과 같은 전위에 대해 상대적으로 양전위로 전기적으로 펄스 진동되어, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자가 주입되는 상기 주입 체임버내에서 표면영역량을 감소시키는 것을 특징으로 하는 공작물 표면의 처리방법.
  12. 제1항에 있어서, 전도벽부에서 발생된 2차 전자가 실제로 억제되도록 주입 체임버(12)의 전도벽부를 차폐하고 전도벽부(22)에 대해 그리드 표면을 음으로 바이어스하는 단계를 더 구비하여, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자가 주입되는 상기 주입 체임버내에서 표면영역량을 감소시키는 것을 특징으로 하는 공작물 표면의 처리방법.
  13. 제1항에 있어서, 상기 이온화 단계는 자외선 방사로 하여금 자외선 소스(102)로부터 이온화 체임버의 영역을 통해 통과하도록 하는 보조 단계를 구비하는 것을 특징으로 하는 공작물 표면의 처리방법.
  14. 이온으로 하여금 공작물 주입표면에 충돌하게 함으로써 공작물 주입표면을 처리하는 장치에 있어서,
    a) 하나 이상의 공작물을 삽입할 수 있는 체임버 내부(24)를 형성하고 그 체임버 내부에 근접해서 전도 내부벽부(22)를 포함하는 주입 체임버(12)와;
    b) 주입 체임버(12)의 내부 영역으로 있는 전도 공작물 지지체(30)와;
    c) 공작물을 지지체(30) 및 전도수단간의 영역(34)에서 공작물 지지체상에 위치하도록 하기 위해 상기 전도 공작물 지지체에 대해 상기 주입 체임버내에 배치된 전도수단과;
    d) 이온의 이온화된 플라즈마가 상기 하나 이상의 공작물의 주입표면 가까이에 형성되도록 이온화 재료를 주입 체임버로 주입하고 그 이온화 재료를 이온화하는 수단(36, 61, 60)과;
    e) 전도 공작물 지지체, 하나 이상의 공작물, 및 주입 체임버의 전도벽부에 대해 전도수단을 양전위로써 전기적으로 펄스 진동시키고, 이온이 하나 이상의 공작물의 주입표면에 부딧히기전에 가속하는 전기장을 세트업하는 전압원을 포함하는 제어회로(100)를 구비하는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  15. 제14항에 있어서, 전압원이 스위치를 닫았을 때 전압 펄스를 시동하고 스위치를 열였을 때 전압 펄스를 정지하는 고전력 IGFET 어셈블리 등의 고체 상태 스위치를 포함하는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  16. 제14항에 있어서, 상기 전극과 관련된 전기장선이 유전체를 통해 통과하고 상기 전극에 근접해서 상기 이온화된 가스로 통과하지 않도록 상기 전극을 실제로 차폐하기 위해 유전체(40)를 더 구비하는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  17. 제16항에 있어서, 상기 유전체의 외부를 실제로 차폐하기 위해 케이싱(42)을 더 구비하는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  18. 제16항에 있어서, 상기 케이싱(42)을 상기 기준 전위로 유지하는 수단을 더 구비하는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  19. 제14항에 있어서, 주입 체임버의 전도 공작물 지지체(30)의 표면을 차폐하기 위해 유전체를 더 구비함으로써, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자를 주입하게 되는 상기 주입 체임버내에서 표면영역량을 감소시키는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  20. 제14항에 있어서, 주입 체임버(12)의 전도 내부벽부의 표면을 차폐하기 위해 유전체(50)를 더 구비함으로써, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자를 주입하게 되는 상기 주입 체임버내에서 표면영역량을 감소시키는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  21. 제14항에 있어서, 이온화된 가스 분자 부딧힘 및 영역 표면을 떠나는 2차 전자가 유전체로 하여금 상기 전극의 전위와 같은 양전위로 얻어지게 되도록 상기 전도 내부벽부의 내부 영역을 차폐하기 위해 유전체(50)를 더 구비함으로써, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자를 주입하게 되는 상기 주입 체임버내에서 표면영역량을 감소시키는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  22. 제14항에 있어서, 주입 체임버는 이온소스(60)를 상기 주입 체임버의 전도 내부벽부로부터 분리하기 위해 절연체(63, 64)를 더 포함하고 이온소스가 상대적인 양전위로 전기적으로 펄스진동됨으로써, 이온화된 가스 분자를 주입하게 되는 음전위를 갖는 상기 주입 체임버내에서 표면영역량을 감소시키는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  23. 제14항에 있어서, 전도 내부벽부를 차폐하기 위해 주입 체임버의 전도 내부벽부로부터 이격된 음바이어스된 금속 그리드(70)를 더 구비함으로써, 상기 전극에 대해 음전위를 갖고 이온화된 가스 분자를 주입하게 되는 상기 주입 체임버내에서 표면영역량을 감소시키는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  24. 제23항에 있어서, 전도 내부벽부에서 발생된 2차 전자가 실제로 억제되도록 상기 금속 그리드의 표면을 상대적으로 바이어스하는 수단(114)을 더 구비함으로써, 상기 전도수단에 대해 음전위를 갖고 이온화된 가스 분자를 주입하게 되는 상기 주입 체임버내에서 표면영역량을 감소시키는 것을 특징으로 하는 공작물 주입표면의 처리장치.
  25. 제14항에 있어서, 자외선 에너지로 하여금 하나 이상의 공작물 가까이의 주입 체임버의 영역(34)을 통해 통과하도록 하는 자외선 소스(102)를 더 구비하는 것을 특징으로 하는 공작물 주입표면의 처리장치.
KR10-1998-0000409A 1997-01-09 1998-01-09 펄스로된애노드를갖는플라즈마담금주입 KR100407606B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/780,808 1997-01-09
US08/780,808 US5911832A (en) 1996-10-10 1997-01-09 Plasma immersion implantation with pulsed anode
US08/780,808 1997-01-09

Publications (2)

Publication Number Publication Date
KR19980070441A true KR19980070441A (ko) 1998-10-26
KR100407606B1 KR100407606B1 (ko) 2004-03-20

Family

ID=25120764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0000409A KR100407606B1 (ko) 1997-01-09 1998-01-09 펄스로된애노드를갖는플라즈마담금주입

Country Status (6)

Country Link
US (1) US5911832A (ko)
EP (1) EP0860854A1 (ko)
JP (1) JP4099804B2 (ko)
KR (1) KR100407606B1 (ko)
CN (1) CN1198072A (ko)
TW (1) TW388922B (ko)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
DE19702294A1 (de) * 1997-01-23 1998-07-30 Rossendorf Forschzent Modulator für die Plasmaimmersions-Ionenimplantation
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6335536B1 (en) 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
DE10008617A1 (de) 2000-02-24 2001-09-06 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Schicht
US6305316B1 (en) * 2000-07-20 2001-10-23 Axcelis Technologies, Inc. Integrated power oscillator RF source of plasma immersion ion implantation system
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7309997B1 (en) 2000-09-15 2007-12-18 Varian Semiconductor Equipment Associates, Inc. Monitor system and method for semiconductor processes
EP1229068B1 (en) * 2001-02-06 2005-09-14 Shibuya Kogyo Co., Ltd. Method and apparatus for modifying the inner surface of containers made of polymeric compound
US6716727B2 (en) 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
US20030116089A1 (en) * 2001-12-04 2003-06-26 Walther Steven R. Plasma implantation system and method with target movement
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7396746B2 (en) 2004-05-24 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US7878145B2 (en) * 2004-06-02 2011-02-01 Varian Semiconductor Equipment Associates, Inc. Monitoring plasma ion implantation systems for fault detection and process control
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US20060043531A1 (en) * 2004-08-27 2006-03-02 Varian Semiconductor Equipment Associates, Inc. Reduction of source and drain parasitic capacitance in CMOS devices
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
CN1315150C (zh) * 2004-11-12 2007-05-09 哈尔滨工业大学 等离子体脉冲注入的装置
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US8642135B2 (en) 2005-09-01 2014-02-04 Micron Technology, Inc. Systems and methods for plasma doping microfeature workpieces
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
CN101922046B (zh) * 2010-09-01 2012-07-04 中国科学院微电子研究所 一种等离子体浸没注入装置
CN102333409B (zh) * 2011-06-17 2013-01-02 深圳市华星光电技术有限公司 大气压等离子装置及其制造方法
US8461558B2 (en) * 2011-07-01 2013-06-11 Varian Semiconductor Equipment Associates, Inc. System and method for ion implantation with dual purpose mask
CN102413627B (zh) * 2011-07-22 2013-03-20 中国科学院空间科学与应用研究中心 一种改变等离子体参数的方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
EP3503159B1 (fr) * 2017-12-20 2021-05-05 The Swatch Group Research and Development Ltd Procédé d'implantation d'ions sur une surface d'un objet à traiter
US11348784B2 (en) * 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
CN114071849B (zh) * 2021-11-15 2023-11-14 上海无线电设备研究所 一种超高声速目标烧蚀扩散物等离子体发生器

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3118785A1 (de) * 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
JPH01185918A (ja) * 1988-01-21 1989-07-25 Fuji Electric Co Ltd 半導体基体への不純物導入装置
JPH0639709B2 (ja) * 1988-09-05 1994-05-25 日立電子エンジニアリング株式会社 プラズマcvd装置
JPH03102755A (ja) * 1989-09-18 1991-04-30 Nissin Electric Co Ltd イオン注入方法
US5580384A (en) * 1989-09-22 1996-12-03 Balzers Aktiengesellschaft Method and apparatus for chemical coating on opposite surfaces of workpieces
WO1993018201A1 (en) * 1992-03-02 1993-09-16 Varian Associates, Inc. Plasma implantation process and equipment
US5346600A (en) * 1992-08-14 1994-09-13 Hughes Aircraft Company Plasma-enhanced magnetron-sputtered deposition of materials
US5289010A (en) * 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH0786603A (ja) * 1993-09-16 1995-03-31 Sharp Corp 半導体膜の製造方法
JP3254861B2 (ja) * 1993-10-29 2002-02-12 日新電機株式会社 イオン注入装置
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JP2592217B2 (ja) * 1993-11-11 1997-03-19 株式会社フロンテック 高周波マグネトロンプラズマ装置
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5449920A (en) * 1994-04-20 1995-09-12 Northeastern University Large area ion implantation process and apparatus
DE4432156A1 (de) * 1994-09-09 1996-03-14 Smc Spezialmaterialien Zur Flu Verfahren und Vorrichtung zum Aufbringen und/oder Implantieren metallischer Atome und/oder Ionen auf bzw. in ein Substrat
JP3512550B2 (ja) * 1995-01-30 2004-03-29 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5682400A (en) * 1995-09-27 1997-10-28 Krasnov; Alexander V. Supersonic and subsonic laser with high frequency discharge excitation

Also Published As

Publication number Publication date
EP0860854A1 (en) 1998-08-26
JP4099804B2 (ja) 2008-06-11
KR100407606B1 (ko) 2004-03-20
JPH10226882A (ja) 1998-08-25
TW388922B (en) 2000-05-01
CN1198072A (zh) 1998-11-04
US5911832A (en) 1999-06-15

Similar Documents

Publication Publication Date Title
KR100407606B1 (ko) 펄스로된애노드를갖는플라즈마담금주입
JP4178330B2 (ja) プラズマ注入システム
US6237527B1 (en) System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
KR100876049B1 (ko) 통합 처리 시스템 내에서의 플라즈마 도핑 및 이온 주입을위한 방법 및 장치
KR101126376B1 (ko) 안정되고 반복 가능한 플라즈마 이온 주입을 위한 방법
JP4374487B2 (ja) イオン源装置およびそのクリーニング最適化方法
KR100559197B1 (ko) 플라즈마 침지 이온 주입을 위한 전처리 공정
KR20070088752A (ko) 축상 정전기적 구속을 갖는 플라스마 이온 주입 시스템
WO2000008670A9 (en) Dose monitor for plasma-monitor ion implantation doping system
KR100388594B1 (ko) 이온빔처리장치
KR100835355B1 (ko) 플라즈마를 이용한 이온주입장치
WO2005117059A1 (ja) 電荷中和装置
JP3758520B2 (ja) イオンビーム照射装置および関連の方法
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
KR101034422B1 (ko) 애노드 펄스에 의한 플라즈마 도핑을 위한 방법 및 장치
CA2249157C (en) Uniform distribution monoenergetic ion implantation
JPS63157868A (ja) プラズマ処理装置
McKenna Faraday cup designs for ion implantation
KR19980032745A (ko) 펄스 플레이트 플라즈마 이온주입 시스템
JP3473219B2 (ja) イオンビーム発生装置
JPH05190134A (ja) イオン注入装置およびその注入方法
JPH06151326A (ja) プラズマ処理装置
JPH07282770A (ja) イオン注入装置

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
N231 Notification of change of applicant
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20081007

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee