CN1198072A - 脉冲阳极等离子体浸没注入 - Google Patents

脉冲阳极等离子体浸没注入 Download PDF

Info

Publication number
CN1198072A
CN1198072A CN98104114A CN98104114A CN1198072A CN 1198072 A CN1198072 A CN 1198072A CN 98104114 A CN98104114 A CN 98104114A CN 98104114 A CN98104114 A CN 98104114A CN 1198072 A CN1198072 A CN 1198072A
Authority
CN
China
Prior art keywords
workpiece
flood chamber
chamber
electrode
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN98104114A
Other languages
English (en)
Inventor
A·S·德诺姆
J·沙奥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Eaton Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eaton Corp filed Critical Eaton Corp
Publication of CN1198072A publication Critical patent/CN1198072A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation

Abstract

用离子碰撞工件注入表面来处理工件注入表面的方法和装置。注入室(12)确定了能插入一个或多个工件的室内部,并包含靠近室内部的导电内壁部分(22)。导电工件支架(30)伸入注入室内部区域。导电电极(32)相对所述导电工件支架被配置在所述注入室内,允许工件被放置在支架和导电电极之间区域(34)的工件支架上。在注入室中射入气体分子使气体分子占据注入室中紧靠一个或多个工件的区域。

Description

脉冲阳极等离子体浸没注入
本专利申请是Shao等人于1996年10月10日提交的待审美国专利申请号08/727.000的继续,其题目为“脉冲平板等离子体注入系统”。
本发明涉及离子注入系统,通过把工件浸入离子等离子体中并加速等离子体中的注入工件的离子,用离子杂质来处理工件。
商用离子注入系统所用离子源包括源室,源室与注入室彼此隔开,在注入室中,从源中来的离子将处理一个或多个工作件。在源室中有一个出口,它使离子能离开源以便被成形、分析和加速为离子束。离子束沿撤离束轨迹直接进入离子注入室,在注入室里离子束碰撞一个或多个工作件,一般是些已放入注入室里的园晶片。离子束的能量足以使碰撞晶片的离子穿入注入室中的那些晶片。在该种系统的一个典型应用中,晶片是硅晶片,离子用来“掺杂”晶片形成半导体材料。利用这种现有技术的注入机,用掩模和钝化层进行选择注入就可加工集成电路。这种注入技术所用设备庞大、复杂、费用高,并且在低能离子注入时其性能受限。
Conrad申请的题为“等离子体源离子注入方法和设备”的美国专利US4,764,394公开了用离子轰击方法处理靶的离子注入系统。在密封室中,通过在靶的周围形成电离的等离子体以完成三维靶表面的离子注入。一旦在围绕靶的一个区域建立起等离子体,等离子体中离子从各个方向打入靶目标而不需要用离子束扫描靶。这种注入的完成是通过向一个或多个靶工件提供重复高压负脉冲。这些脉冲导致离子打入靶的被暴露出的表面。关于建立等离子体的’394专利中讨论的一个技术是在靶的周围引入中性气体,然后用电离辐射电离该气体。Conrad的’394专利公开的系统在围绕工件的区域建立等离子体,然后选择性地脉冲调节支持工件的电极以吸引等离子体中带电离子趋向工件。
Lieberman的关于等离子体实现过程的理论研究表明当一个突然负电压加到靶工件上时,在纳秒时间范围内,表面附近电子被从围绕工件的区域赶走,留下一个均匀密度的离子阵鞘套。M.A.Lieberman,“等离子体浸没离子注入模型”,应用物理,66卷(1989),2926页。接下来,在反相离子等离子体频率时刻,鞘套中的离子被加速进入一个或多个工件。依次,这将使鞘套边界越来越远离靶工件,暴露出更多的打入工件的离子。在较大时间范围内,一般形成一个稳态离子空间电荷条件。在毫秒时间范围内,形成厚约1厘米的鞘套。例如参看,M.M.Shamim等人的“等离子体源离子注入中高能离子轰击产生的电子发射的测量”,应用物理,70(1991)4756页。
等离子体中的电场很低,实质上,提供于靶上用来加速离子的全部电压存在于鞘套两端。一般,当从等离子体中出来的离子碰撞到靶面上时将产生二次电子。这些电子通过鞘套间压降被加速离开靶,终至于密封室的壁上。有关二次发射系数的一般讨论,例如可参看s.Qin等人的“微波多极桶式等离子体对具有有限上升时间的高压脉冲的响应”,IEEE,Trans.plas.,sci,20(1992)569页。
一些离子注入系统,例如Conrad公开的,维持注入室于地电位而加于靶工件上相对负的电位脉冲。对于要求在一个面上处理的实际平面靶工件,例如半导体晶片或平板显示基底,给靶上加负脉冲的注入系统可能就不适宜。在这种离子注入系统中,靶工件一般不得不被抬高到高的负电位上。这会使装架工件更困难、用一些监视仪器如法拉第杯或量热计来监视电位复杂化,电位是被送到靶工件上电荷收集极上的,这是因为这些监视仪器也得经受高的负电位。
本发明提供了一种用于靶工件离子注入的新的和改进的方法和设备。根据本发明,靶工件维持在一个参考电位上,工件表面附近的电场是由用正电压脉冲加到工件附近的导电电极上产生的。
为了减少能量消耗,减少了注入室中相对正脉冲电极为负电位的表面面积。注入所需总电流被减小,因此注入所需总能量也被减小。
在本发明的优先实施例中,一个或多个工件由导电工件支架支撑,该支架在注入室内部区域支撑一个或多个工件。注入室包括邻近室内部的一个导电内壁部分。在注入室中还置有一个导电电极,其被安置在与导电工件支架相对应的地方。中性荷电气体分子被注入到注入室中,接下来被电离以便在工件的注入表面附近形成被电离的气体或离子等离子体。根据本发明的特征,导电工件支架,工件和注入室的导电壁部分都维持在一个参考电位上。然后在导电电极上加上相对该参考电位为正的脉冲以提供一个电场,通过该电场气体分子中形成的离子在碰撞工件注入面之前被加速。
因为离子注入所要求的等离子体状态的产生取决于工件表面或其附近的电场,很好地屏蔽那些被加上相对正脉冲但又不直接与工件相对的表面会提高效率。在优选实施例中,电极用电介质来屏蔽以便当产生脉冲时与电极关联的电力线集中在电极内而不是在室内紧邻电介质的地方。另一种方法是用维持于参考电位上的外壳掩盖电介质。
也可以通过屏蔽那些维持于参考电位上但又不要求其在靶工件表面上产生电场的表面来提高效率。在本发明的优选实施例中,注入室的导电壁部分和导电工件支架用一个电介质来屏蔽,因此,有效地减少了注入室内暴露出的,相对电极为负电位的表面面积。
因为工件处于地电位,所以公开的本发明便于装架、机械扫描或其它方式移动工件支架和工件以提高离子注入剂量的均匀性。因为用来测量剂量的仪器,如法拉第杯或量热计都保持于参考电位上,所以本发明也简化了靶的冷却和监视注入剂量。
从结合附图说明本发明优选实施的详细描述中可以更好地理解本发明的这样和那样的目的、优点和特征。
对附图的简单描述:
图1是按照本发明的一个优选实施例的离子注入系统简图;
图1A是图1离子注入系统的一个简图,其包括一个用来帮助电离注入室中气体分子的紫外光源;
图2是按照本发明另一个实施例的离子注入系统简图;
图3是按照本发明又一个实施例的离子注入系统简图;并且,
图4是按照本发明再一个实施例的离子注入系统简图。
图1是离子注入系统10的概图,其包括工艺室12,在工艺室12中一般平面工件被放入以进行工件的离子处理。本公开系统的一个应用是在制作集成电路时进行硅晶片的离子注入。图1描述的系统包括一个用于向工艺室12中一次放入一个单独晶片的负载联锁20,当工件经过阀21被放入或退出室12时,利用负载联锁可使工艺室12维持在低气压中(相对大气压),阀21是在工件被放入和退出工艺室时打开和关闭的。
图1描述的工艺室12有内壁22,它规定了工件14被放入的室内部24的界限。工件14被放在一般平面导电台板或支架30之上。台板可能有依赖于例如被支撑工件大小的合适的尺寸。
工件台板正上方设置的是气体集流腔32,它喷射电离气体到集流腔与工件14之间的区域14。注入系统10运转期间,在该区域34产生离子等离子体。
室12外的气源36通过导管37输送电离气体,气体经过室壁进入集流腔32。集流腔32从导管37到面对工件14的孔阵(未画出)具有多重发散通道。良子处理的均匀性可通过尽可能地将集流腔与工件14之间的区域34里的气体密度保持均匀而得到。优选的集流腔32通常为平面园形,并含有多孔孔阵使得集流腔32外周的气体浓度尽可能保持均匀。关于这一点,工件14从一般园形台板30的边沿向里间隔放置在台板30上以避免潜在的等离子体非均匀区。还可以通过适当设计台板30和集流腔32的边沿形状以控制这些边缘区的电场强度来改进均匀注入。
一旦气体进入等离子区34,气体分子电离(失去一个或多个电子),荷正电的原子在导电台板30接地电势时通过加在集流腔32的序列正电压脉冲加速到工件14。在公开的实施例中仅示出台板30上有单个工件14,利用本发明,也能同时注入多工件。
图1为相对偏置装置,用于在工件14上方区域34产生一个工件处理材料的浓度。导电支撑台板30,工件14以及室壁22都接地。正电压脉冲加在集流腔32上,使得在区域34的相对强电场产生离子并将其加速至台板30。
位于室12外部的调制器电路100将电压脉冲加在集流腔32上。因此集流腔32作为一个电极,台板30作为另一个电板。任何市售的电压源都适于提供合适的电压脉冲。作为一个例子,电压源可使用高功率IGFET组件构成,用开关的闭合来起动电压脉冲,用开关的断开来关断电压脉冲。脉冲112通过导线113电耦合到导管37,在本发明的优选例中,导管37是由导电材料制成。另一方面,脉冲能够直接穿过被绝缘的导线,流过室壁并直接耦合到集流腔32。
优选的调制器电路100包括一个电压源,其提供序列脉冲112,电离射入室内的气体分子并把被电离的气体分子加速到一个或多个工件14的注入表面。选择脉冲112的重复频率和脉宽,确保由加在集流腔32上的脉冲能产生辉光放电并在区域34形成和维持等离子体。由于电离脉冲消失后的复合和扩散,等离子体密度能在毫秒级的时间内衰减。为连续地维持等离子体,每秒钟几千Hz的脉冲重复频率或更大些是需要的。然而,在较低脉冲重复频率下,各脉冲持续的过程中仍发生电离和注入,但注入速率较低。
为帮助减小污染,在一个实施例中的台板30和集流腔32是由涂有硅的铝制成。至少在室壁32的部分壁上以及/或者至少在有适当的绝缘材料(如石英)的台板30的非工作面上涂上涂层还能帮助降低污染和功耗。
使用负载联锁20把工件14放入室里使室12的内部在注入期间保持抽空状态。真空泵140将室内部维持在由装在室12和泵140之间的阀门142调控的较低压下。
与正脉冲集流腔32相联系的电力线通常指向相对集流腔32为负电势的注入室12内的表面面积,其包括工件14的表面面积。通过用绝缘电介质40屏蔽集流腔和传输导管并把导电壳按基准电位可以提高效率。该种屏蔽盖住了正脉冲集流腔32中不朝着集流腔32的部分,因此不必在工件14的表面或其附近产生电场。屏蔽集流腔32将电力线集中在集流腔32和工件14之间的区域34内,这样极大地减小了室12内部区域24里的电场强度。
使用电介质40极大地减小了由调制器电路100驱动的负载,借此降低了调制器电路100的功率消耗。由于壳42,与导管37相联系的电场夹在电介质40中,因而不会产生与加速离子和电子有关的负载。如果不使用壳42,仍可获得屏蔽但效率较低。如果没有壳42,运动的电子形成的等离子体把电介质40的外表面近似保持在地电势。
图1A表示的注入系统10包括提高室12离子产生的紫外光源102。室12有窗口104,其透射光源102的紫外光。紫外光透射入室12的集流腔32和台板30间的区域,帮助提高由集流腔32进入室内在工件14附近电离区域里的气体原子的电离。
效率还能通过屏蔽室12的表面面积得到提高。室12相对正脉冲集流腔32保持在负电势,其在工件14的表面或其附近不必产生电场。如图2所示,电介质50可用于屏蔽室12的一部分内壁22。通过正离子碰撞以及二次电子脱离电介质表面51,电介质50的内表面51被正充电到与集流腔32同电压。电介50阻止电场穿入电介质表面51或其附近的离子等离子体,结果,气体分子电离或加速的区域极大地减小,相应调制器100的负载也降低了。
图3是根据本发明建立的另一个离子注入系统。该系统缩小了相对正脉冲集流腔32为负电位的注入室12内的表面面积。本实施例中,离子注入系统10的若干部分加正脉冲。如图3所示,通过调制器100加正脉冲把离子源60和将电离材料送入源60的源61变成高电势。源60能为其中任何一种市售源,例如感应耦合等离子体(ICP),螺旋波,ECR或者微波源。绝缘板63和绝缘环64把注入系统10的正脉冲部分与具有相对负电势的工件14表面面积隔离绝缘。
通过使用偏置栅极将相对集流腔32必须为负电势的被选择的表面面积进行屏蔽还能减小调制器100上的负载。该实施例中,如图4所示金属栅极70处于与室12内壁22的表面平行,间距近似1cm。绝缘垫或者固定器71把栅极70与室壁22绝缘隔开。相互电连接的栅极70相对内壁22负偏置114,结果偏置栅极70抑制了离子轰击壁22表面产生的二次电子。根据本发明,施加高压时,栅极70表面附近的电场强度高于内壁22没有栅极70时的电场强度几倍,但是,随着等离子鞘套离开栅极70膨胀,鞘套边界处的电场强度呈正常能级,鞘套法向速度膨胀。没有栅极70时,正离子撞击相对集流腔32具有负电势的表面将产生电子/离子产额高于5的二次电子。由于栅极70,低偏压足以降低相负的二次电子的负载电流。栅极有效地降低了表面的电子发射。减小二次电子负载所需的偏置电压可以很低,约在50到150V的范围,依赖于所选择的几何结构。
作为特例,描述了本发明的实施例,应当理解,本发明包括在权利要求所述精神或范围内,对所公开的设计而进行的所有改进和变化。

Claims (25)

1.一种使用离子碰撞工件表面而处理工件表面的方法,包括步骤:
a)提供有室内部(24)的注入室(12),把支撑一个或多个工件(14)的导电工件支架(30)置于室内部,还把导电电极相对工件支架放置,以使工件放置在支架和导电电极之间区域里的工件支架上;
b)把一个或多个工件插入注入室(12),将所述一个或多个工件放在导电工件支架(30)上,以使一个或多个工件的注入表面面对所述导电电极;
c)将导电工件支架(30),一个或多个工件,以及注入室(12)的导电壁部分保持在基准电位;
d)给靠近由导电工件支架(30)支撑的一个或多个工件的注入室内提供电离注入材料;
e)电离电离注入材料,在所述一个或多个工件(14)注入表面的附近形成离子等离子体;和
f)通过电场加速等离子体中的离子,撞击一个或多个工件(14)的注入表面。
2.权利要求1的方法,其中加速步骤的实现为:用相对基准电势为正的冲(112)施加电脉冲在电极(32)上,以提供加速离子的电场。
3.权利要求2的方法,其中在所述电极(32)施加电脉冲步骤既电离气体分子又加速电离的气体分子。
4.权利要求1的方法,其中电离材料由中性气体分子构成,电离步骤由通过电子源(100)施加电场来实现的。
5.权利要求1的方法,电离步骤包括用离子源(60)电离气体分子,离子源为ICP,螺旋波,ECR,微波源。
6.权利要求1的方法,还包括用电介质(50)屏蔽所述电极的支架结构的步骤,使得与所述电极支架(30)相联系的电力线集中在电介质内,而不在紧邻电介质的室内部(24)的步骤。
7.权利要求6的方法,包括用壳盖住所述电介质(50)的外部,并将壳保持在所述基准电位上的步骤。
8.权利要求1的方法,还包括用电介质屏蔽注入室导电工件支架(30)的步骤,由此减少相对所述电极为负电位的所述注入室(12)内的被电离气体分子注入的表面面积。
9.权利要求1的方法,还包括用电介质(50)屏蔽注入室导电壁部分,由此减少相对所述电极为负电位的所述注入室(12)内的被电离气体分子注入的表面面积的步骤。
10.权利要求1的方法,包括用电介质(50)屏蔽所述导电壁部分的内部区域,结果由于被电离气体分子碰撞以及二次电子离开该区域表面而使电介质达到与所述电极(32)相同的正电位,由此减少相对所述电极为负电位的所述注入室内的被电离气体分子注入的表面面积的附加步骤。
11.权利要求1的方法,其中注入室(12)还包括把离子源(60)和所述注入室(12)的导电壁部分分开的绝缘体(63,64),其中离子源被电脉冲加到与所述电极相同的相对正电位上,由此减少相对所述电极为负电势的所述注入室内的电离气体分子注入的表面面积。
12.权利要求1的方法,还包括用金属栅极(70)屏蔽注入室(12)导电壁部分,以及给栅面加相对导电壁部分(22)为负的偏压的步骤,结果抑制了导电壁部分产生的二次电子,由此减少了相对所述电极为负电位的所述注入室内能发射二次电子的表面面积。
13.权利要求1的方法,其中电离步骤包括使来自紫外光源(102)的紫外辐射穿过电离室区域的辅助步骤。
14.用离子碰撞工件注入表面来处理工件注入表面的装置,包括:a)确定室内部(24)的注入室(12),其间能够插入一个或多个工件,靠近室内部为导电内壁部分(22);b)伸入注入室(12)内部区域的导电工件支架(30);c)相对所述导电工件支架配置在所述注入室内的导电装置,允许工件被放置在支架(30)和导电装置之间的区域(34)里的工件支架上。d)把电离材料射入注入室以及电离电离材料的装置(36,61,60),使得在所述一个或多个工件的注入表面附近形成电离的离子等离子体;以及e)用相对导电工件支架,一个或多个工件,以及注入室导电壁部分为正电势给导电装置施加电脉冲的控制电路(100);所述控制电路包括电压源,其建立的电场加速还未撞碰一个或多个工件注入表面的离子。
15.权利要求14的装置,其中电压源包括诸如高功率IGFET组件的固态开关,开关闭合时起动电压脉冲,断开时关断电压脉冲。
16.权利要求14的装置,还包括完全屏蔽所述电极的电介质(40),使得与所述电极相联系的电力线穿过介质,但不进入靠近所述电极的所述被电离气体。
17.权利要求16的装置,还包括完全屏蔽所述电介质外部的壳(42)。
18.权利要求16的装置,还包括把所述壳(42)保持在所述基准电位上的装置。
19.权利要求14的装置,还包括屏蔽注入室导电工件支架(30)表面的电介质,由此减少相对所述电极为负电位的所述注入室内的被电离气体分子注入的表面面积。
20.权利要求14的装置,还包括屏蔽注入室(12)导电内壁部分表面的电介质(50),由此减少相对所述电极为负电位的所述注入室内的被电离气体分子注入的表面面积。
21.权利要求14的装置,还包括屏蔽所述导电内壁部分内部区域的电介质(50),使得由于被电离气体分子碰撞和二次电子离开该区域表面引起电介质达到与所述电极电位相同的正电位,由此减少相对所述电极为负电位的所述注入室内的被电离气体分子注入的表面面积。
22.权利要求14的装置,其中注入室还包括把离子源(60)和所述注入室导电内壁部分分开的绝缘体(63,64),其中离子源被用电脉冲加到相对正电位,由此减少为负电位的所述注入室内的被电离气体分子注入的表面面积。
23.权利要求14的装置,还包括与注入室导电内壁部分隔开用于屏蔽导电内壁部分的负偏压金属栅极(70),由此减少相对所述电极为负电位的所述注入室内的被电离气体分子注入的表面面积。
24.权利要求23的装置,还包括给所述金属栅极表面加相对偏压的装置(114),使得完全抑制导电内壁部分产生的二次电子,由此减少相对所述导电装置为负电位的所述注入室内的被电离气体分子注入的表面面积。权利要求14的装置,还包括紫外光源(102),其使紫外能量穿过注入室中一个或多个工件附近的区域(34)。
25.权利要求14的装置,还包括紫外光源(102),其使紫外能量穿过注入室中一个或多个工件附近的区域(34)。
CN98104114A 1997-01-09 1998-01-09 脉冲阳极等离子体浸没注入 Pending CN1198072A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/780,808 US5911832A (en) 1996-10-10 1997-01-09 Plasma immersion implantation with pulsed anode
US780,808 1997-01-09

Publications (1)

Publication Number Publication Date
CN1198072A true CN1198072A (zh) 1998-11-04

Family

ID=25120764

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98104114A Pending CN1198072A (zh) 1997-01-09 1998-01-09 脉冲阳极等离子体浸没注入

Country Status (6)

Country Link
US (1) US5911832A (zh)
EP (1) EP0860854A1 (zh)
JP (1) JP4099804B2 (zh)
KR (1) KR100407606B1 (zh)
CN (1) CN1198072A (zh)
TW (1) TW388922B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100431087C (zh) * 2002-07-18 2008-11-05 瓦里安半导体设备联合公司 移动靶等离子注入系统和方法
CN101922046A (zh) * 2010-09-01 2010-12-22 中国科学院微电子研究所 一种等离子体浸没注入装置
CN102339718A (zh) * 2004-06-02 2012-02-01 瓦里安半导体设备联合公司 用于差错检测和工艺控制的等离子体离子注入监视系统
CN114071849A (zh) * 2021-11-15 2022-02-18 上海无线电设备研究所 一种超高声速目标烧蚀扩散物等离子体发生器

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
DE19702294A1 (de) * 1997-01-23 1998-07-30 Rossendorf Forschzent Modulator für die Plasmaimmersions-Ionenimplantation
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6335536B1 (en) 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
DE10008617A1 (de) 2000-02-24 2001-09-06 Infineon Technologies Ag Verfahren zur Herstellung einer ferroelektrischen Schicht
US6305316B1 (en) * 2000-07-20 2001-10-23 Axcelis Technologies, Inc. Integrated power oscillator RF source of plasma immersion ion implantation system
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7309997B1 (en) 2000-09-15 2007-12-18 Varian Semiconductor Equipment Associates, Inc. Monitor system and method for semiconductor processes
DE60206084T2 (de) * 2001-02-06 2006-01-12 Shibuya Kogyo Co., Ltd., Kanazawa Verfahren und Vorrichtung zum Modifizieren der inneren Oberfläche von Behältern aus Kunststoff
US6716727B2 (en) 2001-10-26 2004-04-06 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma doping and ion implantation in an integrated processing system
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7396746B2 (en) 2004-05-24 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US20050287307A1 (en) * 2004-06-23 2005-12-29 Varian Semiconductor Equipment Associates, Inc. Etch and deposition control for plasma implantation
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US20060043531A1 (en) * 2004-08-27 2006-03-02 Varian Semiconductor Equipment Associates, Inc. Reduction of source and drain parasitic capacitance in CMOS devices
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
CN1315150C (zh) * 2004-11-12 2007-05-09 哈尔滨工业大学 等离子体脉冲注入的装置
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
US20060236931A1 (en) * 2005-04-25 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Tilted Plasma Doping
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US8642135B2 (en) * 2005-09-01 2014-02-04 Micron Technology, Inc. Systems and methods for plasma doping microfeature workpieces
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US20080132046A1 (en) * 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
CN102333409B (zh) * 2011-06-17 2013-01-02 深圳市华星光电技术有限公司 大气压等离子装置及其制造方法
US8461558B2 (en) * 2011-07-01 2013-06-11 Varian Semiconductor Equipment Associates, Inc. System and method for ion implantation with dual purpose mask
CN102413627B (zh) * 2011-07-22 2013-03-20 中国科学院空间科学与应用研究中心 一种改变等离子体参数的方法
US8916056B2 (en) 2012-10-11 2014-12-23 Varian Semiconductor Equipment Associates, Inc. Biasing system for a plasma processing apparatus
EP3843123A1 (fr) * 2017-12-20 2021-06-30 The Swatch Group Research and Development Ltd Installation pour la mise en oeuvre d'un procédé d'implantation d'ions sur une surface d'un objet à traiter
US11348784B2 (en) * 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3118785A1 (de) * 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
JPH01185918A (ja) * 1988-01-21 1989-07-25 Fuji Electric Co Ltd 半導体基体への不純物導入装置
JPH0639709B2 (ja) * 1988-09-05 1994-05-25 日立電子エンジニアリング株式会社 プラズマcvd装置
JPH03102755A (ja) * 1989-09-18 1991-04-30 Nissin Electric Co Ltd イオン注入方法
US5580384A (en) * 1989-09-22 1996-12-03 Balzers Aktiengesellschaft Method and apparatus for chemical coating on opposite surfaces of workpieces
WO1993018201A1 (en) * 1992-03-02 1993-09-16 Varian Associates, Inc. Plasma implantation process and equipment
US5346600A (en) * 1992-08-14 1994-09-13 Hughes Aircraft Company Plasma-enhanced magnetron-sputtered deposition of materials
US5289010A (en) * 1992-12-08 1994-02-22 Wisconsin Alumni Research Foundation Ion purification for plasma ion implantation
US5572038A (en) * 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH0786603A (ja) * 1993-09-16 1995-03-31 Sharp Corp 半導体膜の製造方法
JP3254861B2 (ja) * 1993-10-29 2002-02-12 日新電機株式会社 イオン注入装置
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JP2592217B2 (ja) * 1993-11-11 1997-03-19 株式会社フロンテック 高周波マグネトロンプラズマ装置
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5558718A (en) * 1994-04-08 1996-09-24 The Regents, University Of California Pulsed source ion implantation apparatus and method
US5449920A (en) * 1994-04-20 1995-09-12 Northeastern University Large area ion implantation process and apparatus
DE4432156A1 (de) * 1994-09-09 1996-03-14 Smc Spezialmaterialien Zur Flu Verfahren und Vorrichtung zum Aufbringen und/oder Implantieren metallischer Atome und/oder Ionen auf bzw. in ein Substrat
JP3512550B2 (ja) * 1995-01-30 2004-03-29 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5682400A (en) * 1995-09-27 1997-10-28 Krasnov; Alexander V. Supersonic and subsonic laser with high frequency discharge excitation

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100431087C (zh) * 2002-07-18 2008-11-05 瓦里安半导体设备联合公司 移动靶等离子注入系统和方法
CN102339718A (zh) * 2004-06-02 2012-02-01 瓦里安半导体设备联合公司 用于差错检测和工艺控制的等离子体离子注入监视系统
CN101922046A (zh) * 2010-09-01 2010-12-22 中国科学院微电子研究所 一种等离子体浸没注入装置
CN114071849A (zh) * 2021-11-15 2022-02-18 上海无线电设备研究所 一种超高声速目标烧蚀扩散物等离子体发生器
CN114071849B (zh) * 2021-11-15 2023-11-14 上海无线电设备研究所 一种超高声速目标烧蚀扩散物等离子体发生器

Also Published As

Publication number Publication date
JPH10226882A (ja) 1998-08-25
KR100407606B1 (ko) 2004-03-20
KR19980070441A (ko) 1998-10-26
EP0860854A1 (en) 1998-08-26
US5911832A (en) 1999-06-15
TW388922B (en) 2000-05-01
JP4099804B2 (ja) 2008-06-11

Similar Documents

Publication Publication Date Title
CN1198072A (zh) 脉冲阳极等离子体浸没注入
EP0838840B1 (en) Pulsed plate plasma implantation method and apparatus
KR100876049B1 (ko) 통합 처리 시스템 내에서의 플라즈마 도핑 및 이온 주입을위한 방법 및 장치
US5102523A (en) Arrangement for the production of a plasma
US20080132046A1 (en) Plasma Doping With Electronically Controllable Implant Angle
US20010046566A1 (en) Apparatus and method for direct current plasma immersion ion implantation
KR20020027526A (ko) 이온 주입 설비 및 방법
US4851668A (en) Ion source application device
KR100388594B1 (ko) 이온빔처리장치
US20130287963A1 (en) Plasma Potential Modulated ION Implantation Apparatus
US7557364B2 (en) Charge neutralizing device
US6504159B1 (en) SOI plasma source ion implantation
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
KR101034422B1 (ko) 애노드 펄스에 의한 플라즈마 도핑을 위한 방법 및 장치
KR100325404B1 (ko) 플라스마 처리 장치
US20120000606A1 (en) Plasma uniformity system and method
JPH0479141A (ja) イオン注入装置
KR19980083315A (ko) 이온주입설비의 분석기
JP2002175771A (ja) イオン注入装置
JPH07211279A (ja) イオン注入装置
JP2654769B2 (ja) イオン注入装置
CN114373675A (zh) 一种离子注入方法、离子注入设备以及形成轻掺杂源漏区的方法
JP3451777B2 (ja) イオンシャワードーピング方法
JPH04368763A (ja) イオン照射処理装置
JPH05190134A (ja) イオン注入装置およびその注入方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: EATON CORP. TO: AXCELIS TECH INC.

CP03 Change of name, title or address

Address after: Massachusetts, USA

Applicant after: Esselis Technologies Co.

Address before: ohio

Applicant before: Eaton Corp.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication