CN1998062B - 稳定的和可重复的等离子体离子注入的方法 - Google Patents

稳定的和可重复的等离子体离子注入的方法 Download PDF

Info

Publication number
CN1998062B
CN1998062B CN2005800209020A CN200580020902A CN1998062B CN 1998062 B CN1998062 B CN 1998062B CN 2005800209020 A CN2005800209020 A CN 2005800209020A CN 200580020902 A CN200580020902 A CN 200580020902A CN 1998062 B CN1998062 B CN 1998062B
Authority
CN
China
Prior art keywords
dose rate
plasma
substrate
process chamber
injection technology
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005800209020A
Other languages
English (en)
Other versions
CN1998062A (zh
Inventor
史蒂文·R·沃尔特
方子伟
贾斯廷·托科
卡勒顿·F·埃利斯三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN1998062A publication Critical patent/CN1998062A/zh
Application granted granted Critical
Publication of CN1998062B publication Critical patent/CN1998062B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

一种用于衬底的等离子体离子注入的方法包括提供等离子体离子注入系统,所述等离子体离子注入系统具有工艺室(10)、用于在所述工艺室中产生等离子体(40)的源、用于在所述工艺室中承托衬底(20)的台板(14)、与所述台板隔开的阳极(24)、和用于产生将离子从所述等离子体向着所述衬底加速的注入脉冲的脉冲源(30)。在一方面,改变注入工艺的参数以至少部分地补偿被注入的离子和所述衬底之间的相互作用的非期望效应。例如,在所述注入工艺期间,可以改变剂量率、离子能量或两者。在另一方面,预处理步骤包括:将离子从所述等离子体向所述阳极加速以引起源于所述阳极的二次电子的发射,和将所述二次电子从所述阳极向衬底加速以便预处理所述衬底。

Description

稳定的和可重复的等离子体离子注入的方法
技术领域
本发明涉及衬底的等离子体离子注入的系统和方法,更特别地,涉及至少部分地补偿被注入的离子和衬底之间的相互作用的非期望效应的方法。
背景技术
离子注入是将电导率变化的杂质引入半导体晶片的标准技术。在传统的射束线(beamline)离子注入系统中,所需的杂质材料在离子源中被离子化,离子被加速以形成规定能量的离子束,并且离子束被指引到晶片的表面。离子束中的高能离子透入半导体材料的本体,并嵌入半导体材料的晶格中以形成所需电导率的区。
半导体工业中众所周知的趋势是更小,更高速的器件。特别而言,半导体器件的横向尺度和特征深度都正在降低。掺杂剂材料的注入深度至少部分地由注入半导体晶片的离子的能量来确定。射束线离子注入器被典型地设计用于在相对高的注入能量下高效地工作,而在浅结注入所需的低能量下可能不高效地运转。
已研究了用于在半导体晶片中形成浅结的等离子体掺杂系统。在等离子体掺杂系统中,半导体晶片置于导电台板上,其起到阴极的作用并且位于工艺室中。含有所需掺杂剂材料的可离子化的工艺气体被引入工艺室,且在台板和阳极或室壁之间施加电压脉冲,使得形成等离子体鞘在晶片附近的等离子体。所施加的脉冲使得等离子体中的离子穿过等离子体鞘并被注入晶片。注入的深度与在晶片和阳极之间施加的电压有关。可以实现非常低的注入能量。等离子体掺杂系统在例如1994年10月11日授予Sheng的美国专利No.5,354,381、2000年2月1日授予Liebert等人的美国专利No.6,020,592和2001年2月6日授予Goeckner等人的美国专利No.6,182,604中有描述。
如上所述的等离子体掺杂系统中,所施加的电压脉冲产生等离子体,并将正离子从等离子体向着晶片加速。在称为等离子体浸入系统的其他类型的等离子体系统中,持续的或脉冲的RF能量被施加到工艺室,由此产生持续的或脉冲的等离子体。每隔一段时间,在台板和阳极之间施加可与RF脉冲同步的负电压脉冲,使得等离子体中的正离子向着晶片加速。
待被注入的表面可能以非期望的方式与被注入的离子相互作用。例如,离子注入可造成衬底表面上的绝缘或半绝缘结构的充电。衬底表面上的诸如光致抗蚀剂掩模的膜或层可在注入期间释放气体和改变成分。开始注入时光致抗蚀剂可为绝缘体,而随着注入的进行其可变得更导电。这些效应可导致不稳定的和/或不可重复的注入状况。
处理这些等离子体离子注入中的问题的现有技术方法包括用紫外光预处理光致抗蚀剂或烘焙以减少放气。光致抗蚀剂还可以通过惰性离子种类的等离子体离子注入或通过等离子体浸入来预处理,其中衬底被正偏置以从等离子体中提取电子,这些提取的电子预处理光致抗蚀剂。这些方法在掺杂剂材料的离子注入之前需要额外的工艺步骤,因此降低了处理量。
射束线离子注入系统使用了较低的初始射束流以降低光致抗蚀剂效应。此方法应用于射束线系统且具有如下缺点:改变射束的空间电荷分布并因此影响可取决于瞬时剂量率的注入缺陷产生和注入均一性。射束线方法还可导致电荷中和困难,因为诸如电子流枪的中和系统可能是针对特定射束流条件而优化的。
发明内容
根据本发明的第一方面,提供了衬底的等离子体离子注入的方法。所述方法包括:提供等离子体离子注入系统,所述等离子体离子注入系统包括工艺室、用于在工艺室中产生等离子体的源、用于在工艺室中承托衬底的台板和用于产生将离子从等离子体向着衬底中加速的注入脉冲的脉冲源;根据具有一剂量率的注入工艺的衬底的等离子体离子注入;以及在注入工艺期间改变所述剂量率以改变时间平均剂量率。
根据本发明的第二方面,提供了衬底的等离子体离子注入的方法。所述方法包括:提供等离子体离子注入系统,所述等离子体离子注入系统包括工艺室、用于在工艺室中产生等离子体的源、用于在工艺室中承托衬底的台板、与台板隔开的阳极和用于产生将离子从等离子体向着衬底中加速的注入脉冲的脉冲源;将离子从等离子体向着阳极加速以引起二次电子从阳极发射;将二次电子从阳极向着衬底加速;以及根据注入工艺的衬底的等离子体离子注入。
根据本发明的第三方面,提供了衬底的等离子体离子注入的方法。所述方法包括:提供等离子体离子注入系统,所述等离子体离子注入系统包括工艺室、用于在工艺室中产生等离子体的源、用于在工艺室中承托衬底的台板和用于产生将离子从等离子体向着衬底中加速的注入脉冲的脉冲源;根据注入工艺的衬底的等离子体离子注入;以及在注入工艺期间调整离子能量,以至少部分地补偿被注入的离子和衬底之间的相互作用的非期望效应。
根据本发明的第四方面,等离子体离子注入系统包括:工艺室;用于在工艺室中产生等离子体的源;用于在工艺室中承托衬底的台板;用于产生将离子从等离子体向着衬底加速的注入脉冲的脉冲源;及注入控制器,被配置用于根据具有一剂量率的注入工艺的衬底的等离子体离子注入并被配置用于在注入工艺期间改变剂量率以改变时间平均剂量率。
根据本发明的第五方面,等离子体离子注入系统包括:工艺室;用于在工艺室中产生等离子体的源;用于在工艺室中承托衬底的台板;与台板隔开的阳极;用于产生将离子从等离子体向着衬底中加速的注入脉冲的脉冲源;和电源,用于将离子从等离子体向着阳极加速以引起二次电子从阳极发射,并且用于将二次电子从阳极向着衬底加速。
根据本发明的第六方面,等离子体离子注入系统包括:工艺室;用于在工艺室中产生等离子体的源;用于在工艺室中承托衬底的台板;用于产生将离子从等离子体向着衬底中加速的注入脉冲的脉冲源;和注入控制器,其被配置用于根据注入工艺的衬底的等离子体离子注入并且被配置用于在注入工艺期间调整离子能量以至少部分地补偿被注入的离子和衬底之间的相互作用的非期望效应。
附图说明
为更好地理解本发明,参考通过引用结合于此的附图,其中:
图1是等离子体离子注入系统的简化的示意框图;
图2是根据本发明第一实施方式的等离子体离子注入系统的简化的示意框图;
图3是根据本发明第二实施方式的等离子体离子注入系统的简化的示意框图;
图4A是作为剂量的函数的剂量率的曲线图,图示了在衬底的等离子体离子注入期间剂量率的台阶式增加;
图4B是作为剂量的函数的剂量率的曲线图,图示了在衬底的等离子体离子注入期间剂量率的持续增加;
图5是根据本发明第三实施方式的等离子体离子注入系统的简化的示意框图;
图6是根据本发明第四实施方式的等离子体离子注入系统的简化的示意框图;和
图7是根据本发明第四实施方式的衬底的等离子体离子注入方法的流程图。
具体实施方式
适用于实施本发明的等离子体离子注入系统的一个例子示意性地显示于图1中。结合图2-7描述本发明的实施方式。图1-7中同样的元件具有相同的参考标号。
工艺室10限定封闭空间12。位于室10内的台板14提供用于承托诸如半导体晶片20的衬底的表面。晶片20可例如在其周边被夹持到台板14的平坦表面。在一种实施方式中,台板具有用于支撑晶片20的导电的表面。在另一种实施方式中,台板包括用于连接到晶片20的导电的钉(没有显示)。
阳极24位于室10内,与台板14隔开。阳极24可以在由箭头26表示的垂直于台板14的方向上移动。阳极典型地连接到室10的导电的壁,两者都可以接地。在另一种实施方式中,台板14接地,且阳极24被提供负电压的脉冲。在其他的实施方式中,阳极24和台板14都可以相对于地偏置。
晶片20(通过台板14)和阳极24连接到高压脉冲源30,使得晶片20起到阴极的作用。脉冲源30典型地提供幅度为约20~20,000伏、持续时间为约1~200微秒且脉冲重复率为约100Hz~20kHz的脉冲。将理解,这些脉冲参数值仅为示例性的,在本发明的范围内可使用其它的值。
室10的封闭空间12通过可控制的阀32耦合到真空泵34。工艺气体源36通过质量流量控制器38耦合到室10。位于室10内的压力传感器48向控制器46提供指示室压力的信号。控制器46将所感测的室压力与所需的压力输入相比较,并向阀32或质量流量控制器38提供控制信号。控制信号控制阀32或质量流量控制器38,以便使室压力与所需的压力之间的差最小化。真空泵34、阀32、质量流量控制器38、压力传感器48和控制器46组成闭环压力控制系统。压力典型地控制在约1毫托~约500毫托的范围内,但是不局限于此范围。气源36供给含有用于注入工件的所需掺杂剂的可离子化气体。可离子化气体的例子包括BF3、N2、Ar、PH3、AsH3和B2H6。质量流量控制器38调节向室10供给气体的速率。图1所示的配置以所需的流速和恒定的压力提供持续的工艺气体流。优选地调节压力和气体流速以提供可重复的结果。在另一种实施方式中,可以使用由控制器46控制的阀调节气流,而阀32保持在固定位置。这种设置称为上游压力控制。还可以使用其他的调节气体压力的配置。
等离子体掺杂系统可包括连接到空心阴极脉冲源56的空心阴极54。在一种实施方式中,空心阴极54包括导电的空心柱体,所述空心柱体围绕阳极24和台板14之间的空间。可以在需要非常低离子能量的应用中使用空心阴极。特别而言,空心阴极脉冲源56提供足以在室12内形成等离子体的脉冲电压,且脉冲源30建立所需的注入电压。关于使用空心阴极的其它细节在上述美国专利No.6,182,604中提供,该专利通过引用结合于此。
一个或多个法拉第杯可与台板14邻近地放置,以便测量注入晶片20的离子剂量。在图1的实施方式中,法拉第杯50、52等以相等的间距围绕在晶片20的外围。每个法拉第杯包括具有面对等离子体40的入口60的导电外壳。每个法拉第杯优选地尽可能接近晶片20地放置,并截取从等离子体40向台板14加速的正离子的样本。在另一种实施方式中,环形的法拉第杯置于晶片20和台板14的周围。
法拉第杯电连接到剂量处理器70或其他的剂量监视电路。通过入口60进入每个法拉第杯的正离子在连接到法拉第杯的电路中产生表示离子流的电流。剂量处理器70可处理该电流以确定离子剂量。
等离子体离子注入系统可包括围绕台板14的护圈66。护圈66可以被偏置以改善晶片20边缘附近的注入离子分布的均一性。法拉第杯50、52可以置于靠近晶片20和台板14的外围的护圈66内。
取决于系统的配置,等离子体离子注入系统可包括另外的部件。利用持续的或脉冲的RF能量的系统包括耦合到天线或感应线圈的RF源。所述系统可包括磁性元件,其提供限制电子和控制等离子体密度和空间分布的磁场。在等离子体离子注入系统中使用磁性元件在例如2003年6月12日公布的WO 03/049142中有描述,其通过引用结合于此。
工作中,晶片20置于台板14上。压力控制系统、质量流量控制器38和气源36在室10内产生所需的压力和气体流速。举例来说,室10可用10毫托压力的BF3气体来工作。脉冲源30向晶片20施加一系列高压脉冲,导致在晶片20和阳极24之间的等离子体放电区44中形成等离子体40。如本领域中公知的,等离子体40含有源于气源36的可离子化气体的正离子。等离子体40包括在晶片20附近、典型地在晶片20表面的等离子体鞘42。在高压脉冲期间存在于阳极24和台板14之间的电场将正离子从等离子体40穿过等离子体鞘42向着台板14加速。加速的离子注入晶片20以形成杂质材料区。选择脉冲电压以将正离子注入到晶片20的所需深度。选择脉冲的数目和脉冲持续时间,以提供晶片20中的所需的杂质材料剂量。每脉冲的流为脉冲电压、气体压力和种类以及电极的任何可变位置的函数。例如,可以针对不同电压调节阴极与阳极间的间距。
根据本发明第一实施方式的等离子体离子注入系统的简化示意框图显示于图2中。等离子体注入工艺子系统100包括显示于图1的一些或所有的等离子体离子注入系统部件,除了工艺控制部件之外。注入控制器110控制工艺子系统100,以根据注入工艺进行等离子体离子注入。
注入工艺可规定诸如离子种类、离子能量、离子剂量、剂量率、室压力、注入脉冲参数等的参数。在一些实施方式中,注入控制器110以其中参数被预编程的开环配置工作。在注入工艺期间,注入参数可为常数,或参数中的一个或多个可具有预编程的变化。在其他的实施方式中,注入控制器110可以以闭环配置工作,在该闭环配置中,至少一个任选的传感器120感测工艺子系统100的参数,并将传感器信号122提供给注入控制器110。注入控制器110可响应于传感器信号122而调节工艺子系统100的一个或多个参数。在另外的实施方式中,注入控制器110可使用预编程的控制和闭环反馈控制的组合。
注入控制器110可调节工艺子系统100的参数,如脉冲宽度、脉冲频率、离子能量、等离子体密度、RF功率、电场、磁场和/或阳极到阴极的间距,以便控制注入工艺,特别是控制剂量率。对于特定的工艺,离子种类和总剂量通常是固定的。不固定的参数可以单独地调节或以任何组合调节。
可以通过控制若干参数来调节剂量率,所述参数包括但不限于注入脉冲宽度、注入脉冲频率或两者。可通过控制注入脉冲幅度来调节离子能量。可例如通过控制输入功率(DC或RF)、电场或阴极与阳极间的间距,或通过控制等离子体约束来调节等离子体密度。等离子体密度的控制又控制剂量率。诸如脉冲宽度、脉冲频率和/或等离子体密度的参数可以迅速地调节,并从而允许动态控制注入工艺期间的剂量率。剂量率可以动态地改变,以至少部分地补偿被注入的离子和衬底之间的相互作用的非期望效应。这样的效应包括但不局限于光致抗蚀剂放气和衬底充电。
注入控制器110可以以通用计算机(如PC)、工艺控制器或被编程有注入工艺的专用控制器来实施。包括常数和可变参数的注入工艺可以存储在存储器中。
传感器120可感测工艺室内的各种状况,如衬底的表面状况,源于衬底的放气和/或衬底充电。例如,可以通过感测衬底附近的压力变化来感测放气。可以利用诸如残余气体分析(RGA)和光发射光谱(OES)的技术来感测工艺室参数。如上面所提到的那样,可以使用一个或多个传感器。
根据本发明第二实施方式的等离子体离子注入系统的简化示意框图显示于图3中。在图3的实施方式中,注入控制器110提供脉冲源30的开环控制以便控制剂量率、离子能量或两者。举例来说,注入控制器110可根据预编程的注入工艺来控制剂量率。通过将脉冲宽度编程为时间或注入剂量的函数,可以动态地调节注入脉冲宽度以直接地控制每个脉冲中的剂量。这允许在每个注入脉冲中被注入的电荷的量针对注入环境和衬底表面状况而优化。可以以同样的方式调节脉冲重复频率,以允许调节时间平均剂量率。在一些实施方式中,脉冲宽度和脉冲重复频率都可以被调节,以实现所需的剂量率变化。在特定的实施方式中,剂量率可在单个衬底的等离子体注入期间增大。低的初始剂量率导致源于光致抗蚀剂的放气得到控制,并使光致抗蚀剂稳定以便随后以较大剂量率进行注入。
例如,可以以台阶调节或持续地调节剂量率。可变的剂量率的第一个例子显示在图4A中。随着注入的进行和注入剂量的增大,剂量率以台阶130、132、134增大,直至达到最终剂量率136。在注入工艺的剩余部分,最终剂量率136保持固定。在本发明的范围内,台阶数目和台阶的宽度和幅度可以变化。在注入的至少一部分内剂量率持续增大的例子显示于图4B。在注入的初始部分内,剂量率根据剂量率曲线140从初始剂量率142持续增大到最终剂量率144,且在注入工艺的剩余部分,剂量率保持固定。在图4B中,在本发明的范围内,初始剂量率142、剂量率曲线140的形状和持续时间以及最终剂量率144可以变化。在图4A和4B中的每一个中,通过将剂量率描绘为时间的函数来得到类似形状的剂量率轮廓。诸如图4A和4B中所示的注入工艺期间的剂量率变化可以被预编程到注入控制器110中。
一般而言,理想的是,限制在其期间使用小于最大剂量率的注入的部分。小于最大剂量率的时段的延长会增加总的注入时间并降低处理量。
作为控制每脉冲的剂量率和时间平均剂量率的替选方案或附加方案,可以通过在注入期间改变等离子体密度来动态地控制瞬时剂量率。此控制技术依赖于等离子体产生方法,但包括:控制施加到等离子体源或被等离子体源吸收的功率如RF功率或微波功率、如通过控制磁性的或静电的元件来控制等离子体约束、以及如通过例如通过调节阳极到阴极的间距控制中性气体密度或等离子体标度长度(scale length)来控制离子化的效率。
可以通过改变注入期间的注入脉冲的幅度来动态地控制注入深度。这改变了被注入种类的深度轮廓,此效应尽管减小了诸如挥发性种类的放气的效应,但可能是有限的。另外,在脉冲等离子体实施方式中,可能理想的是,使得等离子体脉冲宽度比注入脉冲宽度长以确保注入脉冲之后的足够的电荷中和。这可以通过使用替选等离子体源如空心电极脉冲源56(图1)供给中和电子来完成。
根据本发明第三实施方式的等离子体离子注入系统的简化示意框图显示于图5中。显示了对剂量率和/或离子能量进行闭环控制的系统。传感器120感测工艺室10的参数,并提供传感器信号122给注入控制器110。响应于传感器信号122,注入控制器110控制脉冲源30的一个或多个参数,所述参数诸如注入脉冲宽度、注入脉冲频率和注入脉冲幅度。传感器120提供来自注入处理环境的反馈,如压力控制参数、衬底电压、晶片偏置电源电流、原位充电监视器、光发射光谱、残余气体分析、基于傅里叶变换红外线的气体分析、或等离子体放电的视频分析。应理解,一个或多个传感器可提供传感器信号给注入控制器110。注入控制器110可进行感测参数的分析,以确定注入系统的工作是否在正常工作范围内。如果一个或多个感测参数在正常工作范围之外,那么可以将控制信号提供给脉冲源30,以如上所述地调节剂量率。调节被选择为在正常范围内移动工作条件。
参考图6和7说明本发明的第四实施方式。图6为根据本发明第四实施方式的被配置用于用二次电子预处理衬底20的等离子体离子注入系统的简化的示意框图。图7是图示了根据本发明第四实施方式的衬底的等离子体离子注入的方法的流程图。
在预处理步骤期间,脉冲源30(图1)与阳极24和台板14断开,或被禁用。预处理电源200连接到阳极24和台板14,使得阳极24相对于台板14负偏置。台板14可以接地或可以正偏置。电源200可向阳极24供给负偏置电压-V。可通过电源200或通过单独的等离子体源(未显示在图6中)来发起并维持等离子体放电区44中的等离子体40。等离子体40可含有用于随后注入的掺杂剂材料的离子,或可含有惰性气体的离子。等离子体40中的正离子向负偏置的阳极24加速,并撞击负偏置的阳极24。正离子的撞击致使从阳极24表面的二次电子发射。负阳极偏置电势将二次电子向着面对阳极24的衬底20的表面加速。衬底可被偏置或接地,使得二次电子的净能量为发射能量加上阳极偏置和施加到衬底20的任何偏置之间的差。可通过衬底本身或通过另一接地表面来供给用于系统的地基准。
高能的二次电子预处理衬底22上的光致抗蚀剂掩模,并减小随后的离子注入步骤期间的放气。二次电子具有足够的能量和剂量,使得在离子注入之前,光致抗蚀剂掩模的放气基本上完成。这使得离子注入能够相对地免于光致抗蚀剂放气的效应,使对低能电子或带负电的离子的暴露最小化,并使得正离子能够在电子暴露期间到达衬底表面以中和电荷的不平衡。
用于预处理步骤的适当的气体种类可包括但不限于BF3,、AsF5、N2、Ar、PH3、AsH3和B2H6。施加到阳极24的电压可为-500伏~-20KV。适当的电子剂量可为1E15~1E17cm-2
参照图7,在步骤250中,离子从等离子体40向阳极24加速以引起二次电子发射。如上所述,阳极24上的负偏置将带正电的离子从等离子体40向阳极24加速。同一负偏置将二次电子从阳极24向衬底20加速以便预处理衬底20。持续二次电子轰击,直到非期望效应如光致抗蚀剂放气基本上完成为止。然后,针对等离子体离子注入来配置等离子体离子注入系统。例如,可以将预处理电源200断开或禁用,且可以使能脉冲源30,如图1所示和如上所述。然后,在步骤254中,根据预定的注入工艺来进行衬底20的等离子体离子注入。在一些实施方式中,在注入工艺期间变化等离子体离子注入工艺的参数,如剂量率、离子能量或两者,以至少部分地补偿被注入的离子和衬底之间的相互作用的非期望效应。由于预处理步骤,所需的参数变化可减小。在其他的实施方式中,在等离子体离子注入期间,注入工艺的参数可以保持恒定。
由此描述了本发明的至少一种实施方式的几个方面,应理解,本领域技术人员将很容易想到各种改变、修改和改进。这些改变、修改和改进旨在为本公开的一部分,并且旨在落入本发明的精神和范围之内。
因此,上述的描述和附图仅为举例而言的。

Claims (19)

1.一种衬底的等离子体离子注入的方法,包括:
提供等离子体离子注入系统,所述等离子体离子注入系统包括:工艺室、用于在所述工艺室中产生等离子体的源、用于在所述工艺室中承托衬底的台板和用于产生将离子从所述等离子体向着所述衬底中加速的注入脉冲的脉冲源;
根据具有一剂量率的注入工艺的所述衬底的等离子体离子注入;以及
在所述注入工艺期间改变所述剂量率以改变时间平均剂量率,
其中改变所述剂量率的步骤包括感测所述等离子体离子注入系统的参数并基于感测到的参数控制所述剂量率;
其中感测参数的步骤包括感测来自所述衬底的放气、感测衬底充电、或感测衬底表面状况。
2.如权利要求1所述的方法,其中改变所述剂量率的步骤包括在所述注入工艺期间增大所述剂量率。
3.如权利要求1所述的方法,其中改变所述剂量率的步骤包括在所述注入工艺期间以一个或多个台阶增大所述剂量率。
4.如权利要求1所述的方法,其中改变所述剂量率的步骤包括在所述注入工艺的至少一部分期间持续地增大所述剂量率。
5.如权利要求1所述的方法,其中改变所述剂量率的步骤包括改变所述注入脉冲的脉冲宽度。
6.如权利要求1所述的方法,其中改变所述剂量率的步骤包括改变所述注入脉冲的脉冲频率。
7.如权利要求1所述的方法,其中改变所述剂量率的步骤包括改变所述注入脉冲的脉冲宽度和脉冲频率。
8.如权利要求1所述的方法,其中改变所述剂量率的步骤包括如由所述注入工艺所规定地调节所述剂量率。
9.如权利要求1所述的方法,其中感测参数的步骤包括感测所述工艺室中的压力。
10.如权利要求1所述的方法,其中感测参数的步骤包括用残余气体分析仪感测参数。
11.如权利要求1所述的方法,其中感测参数的步骤包括通过光发射光谱感测参数。
12.如权利要求1所述的方法,其中控制所述剂量率的步骤包括控制所述注入脉冲的至少一种参数。
13.如权利要求1所述的方法,其中控制所述剂量率的步骤包括维持所感测的参数的设定点。
14.如权利要求1所述的方法,其中在所述注入工艺期间改变所述剂量率的步骤包括调节所述工艺室中的等离子体的等离子体密度。
15.如权利要求1所述的方法,其中所述源包括RF源,且其中在所述注入工艺期间改变所述剂量率的步骤包括调节所述RF源的RF功率。
16.如权利要求1所述的方法,其中在所述注入工艺期间改变所述剂量率的步骤包括调节所述工艺室中的电场。
17.如权利要求1所述的方法,其中在所述注入工艺期间改变所述剂量率的步骤包括调节所述工艺室中的磁场。
18.如权利要求1所述的方法,其中所述等离子体离子注入系统进一步包括与所述台板隔开的阳极,且其中在所述注入工艺期间改变所述剂量率的步骤包括调节所述阳极和所述台板之间的间距。
19.一种等离子体离子注入系统,包括:
工艺室;
用于在所述工艺室中产生等离子体的源;
用于在所述工艺室中承托衬底的台板;
用于产生将离子从所述等离子体向着所述衬底中加速的注入脉冲的脉冲源;和
注入控制器,其被配置用于根据具有一剂量率的注入工艺的所述衬底的等离子体离子注入,并且被配置用于在所述注入工艺期间改变所述剂量率以改变时间平均剂量率,
其中改变所述剂量率包括感测所述等离子体离子注入系统的参数并基于感测到的参数控制所述剂量率;
其中感测参数包括感测来自所述衬底的放气、感测衬底充电、或感测衬底表面状况。
CN2005800209020A 2004-05-24 2005-05-09 稳定的和可重复的等离子体离子注入的方法 Active CN1998062B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/852,643 2004-05-24
US10/852,643 US7396746B2 (en) 2004-05-24 2004-05-24 Methods for stable and repeatable ion implantation
PCT/US2005/016219 WO2005115104A2 (en) 2004-05-24 2005-05-09 Methods for stable and repeatable plasma ion implantation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2010102217611A Division CN101892463B (zh) 2004-05-24 2005-05-09 稳定的和可重复的等离子体离子注入的方法

Publications (2)

Publication Number Publication Date
CN1998062A CN1998062A (zh) 2007-07-11
CN1998062B true CN1998062B (zh) 2010-09-01

Family

ID=35375740

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2010102217611A Expired - Fee Related CN101892463B (zh) 2004-05-24 2005-05-09 稳定的和可重复的等离子体离子注入的方法
CN2005800209020A Active CN1998062B (zh) 2004-05-24 2005-05-09 稳定的和可重复的等离子体离子注入的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2010102217611A Expired - Fee Related CN101892463B (zh) 2004-05-24 2005-05-09 稳定的和可重复的等离子体离子注入的方法

Country Status (6)

Country Link
US (1) US7396746B2 (zh)
JP (2) JP5071976B2 (zh)
KR (1) KR101126376B1 (zh)
CN (2) CN101892463B (zh)
TW (1) TWI345265B (zh)
WO (1) WO2005115104A2 (zh)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8334209B2 (en) * 2006-09-21 2012-12-18 Micron Technology, Inc. Method of reducing electron beam damage on post W-CMP wafers
US20080160170A1 (en) * 2006-12-28 2008-07-03 Varian Semiconductor Equipment Assoicates, Inc. Technique for using an improved shield ring in plasma-based ion implantation
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US7615748B2 (en) 2007-09-25 2009-11-10 Varian Semiconductor Equipment Associates, Inc. Outgassing rate detection
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US7638781B2 (en) * 2007-10-22 2009-12-29 Varian Semiconductor Equipment Associates, Inc. Local pressure sensing in a plasma processing system
US20090104719A1 (en) * 2007-10-23 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System with In-Situ Chamber Condition Monitoring
CN102203898B (zh) * 2008-07-17 2016-11-16 真实仪器公司 在处理系统化学分析中使用的电子束激励器
WO2010008598A1 (en) * 2008-07-17 2010-01-21 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120000421A1 (en) * 2010-07-02 2012-01-05 Varian Semicondutor Equipment Associates, Inc. Control apparatus for plasma immersion ion implantation of a dielectric substrate
RU2454485C1 (ru) * 2010-10-18 2012-06-27 Государственное образовательное учреждение высшего профессионального образования "Ивановский государственный энергетический университет имени В.И. Ленина" (ИГЭУ) Способ импульсно-периодической ионной обработки металлического изделия и устройство для его осуществления
JP2012178474A (ja) * 2011-02-25 2012-09-13 Ulvac Japan Ltd 不純物導入方法
KR20120106359A (ko) * 2011-03-18 2012-09-26 한국전자통신연구원 금속 산화막 형성방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8728587B2 (en) * 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US10978955B2 (en) * 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9773712B2 (en) 2015-08-25 2017-09-26 Toshiba Memory Corporation Ion implantation apparatus and semiconductor manufacturing method
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102208429B1 (ko) 2017-08-25 2021-01-29 이글 하버 테크놀로지스, 인코포레이티드 나노초 펄스를 이용한 임의의 파형 발생
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10227693B1 (en) * 2018-01-31 2019-03-12 Axcelis Technologies, Inc. Outgassing impact on process chamber reduction via chamber pump and purge
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR101995708B1 (ko) * 2018-03-30 2019-07-04 파워소프트 주식회사 초기 부스팅된 펄스 전압을 제공하는 플라즈마 펄스 전원 장치
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
CN110828272B (zh) * 2018-08-09 2022-09-16 北京北方华创微电子装备有限公司 腔室内衬、下电极装置和半导体处理设备
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202308306A (zh) 2019-01-08 2023-02-16 美商鷹港科技股份有限公司 產生高壓脈波之方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
WO2021134000A1 (en) 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11830705B2 (en) * 2020-08-20 2023-11-28 PIE Scientific LLC Plasma flood gun for charged particle apparatus
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1233668A (zh) * 1998-03-11 1999-11-03 易通公司 用发射光谱法对等离子体成分的监控
US6383554B1 (en) * 2000-09-05 2002-05-07 National Science Council Process for fabricating plasma with feedback control on plasma density

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62219920A (ja) * 1986-03-20 1987-09-28 Sony Corp 半導体装置の製造方法
JPH02203522A (ja) * 1989-02-02 1990-08-13 Matsushita Electric Ind Co Ltd イオン注入方法
US5354381A (en) * 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5498290A (en) * 1993-08-27 1996-03-12 Hughes Aircraft Company Confinement of secondary electrons in plasma ion processing
US5508227A (en) 1994-06-08 1996-04-16 Northeastern University Plasma ion implantation hydrogenation process utilizing voltage pulse applied to substrate
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
JP3676919B2 (ja) * 1997-10-09 2005-07-27 株式会社アルバック 反応性イオンエッチング装置
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
KR20020019596A (ko) * 1999-08-06 2002-03-12 브라이언 알. 바흐맨 기판의 표면을 가로질러 주입량의 균일성을 제공하기 위한장치 및 방법
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6458430B1 (en) * 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
JP2003073814A (ja) * 2001-08-30 2003-03-12 Mitsubishi Heavy Ind Ltd 製膜装置
JP4484421B2 (ja) * 2002-06-21 2010-06-16 独立行政法人科学技術振興機構 プラズマ表面処理方法及び装置
US20040016402A1 (en) 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1233668A (zh) * 1998-03-11 1999-11-03 易通公司 用发射光谱法对等离子体成分的监控
US6383554B1 (en) * 2000-09-05 2002-05-07 National Science Council Process for fabricating plasma with feedback control on plasma density

Also Published As

Publication number Publication date
WO2005115104A2 (en) 2005-12-08
TW200539327A (en) 2005-12-01
CN101892463B (zh) 2012-09-19
KR101126376B1 (ko) 2012-03-28
US7396746B2 (en) 2008-07-08
TWI345265B (en) 2011-07-11
CN1998062A (zh) 2007-07-11
JP5071976B2 (ja) 2012-11-14
US20050260837A1 (en) 2005-11-24
CN101892463A (zh) 2010-11-24
WO2005115104A3 (en) 2006-07-06
JP2012178571A (ja) 2012-09-13
JP2008500729A (ja) 2008-01-10
KR20070026635A (ko) 2007-03-08

Similar Documents

Publication Publication Date Title
CN1998062B (zh) 稳定的和可重复的等离子体离子注入的方法
US6237527B1 (en) System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
KR100395272B1 (ko) 플라즈마도핑이온주입프로세스에서도우즈균일성을얻기위한장치
US6020592A (en) Dose monitor for plasma doping system
KR101811364B1 (ko) 기판 플라즈마 프로세싱 기술들
US7326937B2 (en) Plasma ion implantation systems and methods using solid source of dopant material
KR20070088752A (ko) 축상 정전기적 구속을 갖는 플라스마 이온 주입 시스템
US20060099830A1 (en) Plasma implantation using halogenated dopant species to limit deposition of surface layers
JP5135206B2 (ja) プラズマ注入方法およびプラズマドーピング装置
KR20130026489A (ko) 유전체 기판의 플라즈마 침지 이온 주입을 위한 제어 장치
US20070069157A1 (en) Methods and apparatus for plasma implantation with improved dopant profile
KR100835355B1 (ko) 플라즈마를 이용한 이온주입장치
KR20040058362A (ko) 플라즈마 도핑 시스템용 균일성 제어
KR20020019596A (ko) 기판의 표면을 가로질러 주입량의 균일성을 제공하기 위한장치 및 방법
US20120000606A1 (en) Plasma uniformity system and method
US6281512B1 (en) Ion implantation system having direct and alternating current sources
KR20100121982A (ko) 플라즈마를 이용한 도핑 방법 및 도핑 장치
KR101096490B1 (ko) 플라즈마를 이용한 도핑 방법 및 이에 사용되는 장치
KR20070012894A (ko) 펄스 형태의 유도결합 플라즈마를 이용한 반도체의 도핑방법 및 그 시스템
KR20100121981A (ko) 주파수 변조를 이용한 플라즈마 도핑 방법
KR20030057178A (ko) 마이크로웨이브를 이용한 이온중성화 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant