TW201508088A - 電漿處理裝置及噴淋板 - Google Patents

電漿處理裝置及噴淋板 Download PDF

Info

Publication number
TW201508088A
TW201508088A TW103109054A TW103109054A TW201508088A TW 201508088 A TW201508088 A TW 201508088A TW 103109054 A TW103109054 A TW 103109054A TW 103109054 A TW103109054 A TW 103109054A TW 201508088 A TW201508088 A TW 201508088A
Authority
TW
Taiwan
Prior art keywords
gas
plasma
shower plate
processing apparatus
plasma processing
Prior art date
Application number
TW103109054A
Other languages
English (en)
Other versions
TWI619841B (zh
Inventor
Shigeru Kasai
Taro Ikeda
Yutaka Fujino
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201508088A publication Critical patent/TW201508088A/zh
Application granted granted Critical
Publication of TWI619841B publication Critical patent/TWI619841B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32293Microwave generated discharge using particular waveforms, e.g. polarised waves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在電漿處理裝置中抑制前驅物沈積於噴淋板的氣孔,該電漿處理裝置係具有將氣體導入至處理容器內的噴淋板,且藉由微波使表面波電漿產生。 在一種具有具備進行電漿處理之處理容器(10)與將第1氣體與第2氣體供給至處理容器(10)內之噴淋板(100)的電漿產生用天線(20),且藉由以微波的供給而形成於噴淋板(100)表面的表面波,形成電漿來處理基板的電漿處理裝置,其特徵係,在噴淋板(100)係形成有:複數個氣孔(133),將第1氣體供給至處理容器(10)內;及複數個供給噴嘴(160),在不同於該複數個氣孔(133)的位置上,從噴淋板(100)的下面向垂直下方突出,並將第2氣體供給至處理容器(10)內。

Description

電漿處理裝置及噴淋板
本發明,係關於電漿處理裝置及在電漿處理裝置所使用的噴淋板。特別是關於噴淋板的構造及使用該噴淋板之電漿處理裝置。
電漿處理,係在半導體裝置製造中不可欠缺的技術。近年來,基於LSI之高積體化以及高速化之需求,需要對構成LSI之半導體元件進一步進行微細加工。
但是,在電容耦合型電漿處理裝置或感應耦合型電漿處理裝置所生成之電漿的電子溫度高,且電漿密度高之區域受限。因此,難以實現可因應半導體元件之進一步微細加工需求的電漿處理。
因此,為了實現像這樣的微細加工,必須生成低電子溫度且高電漿密度的電漿。為了因應此需求,而提出一種藉由微波在處理容器內生成表面波電漿,藉此對半導體晶圓進行電漿處理的裝置(例如,參閱專利文獻1)。
在專利文獻1中,提出了一種電漿處理裝 置,其係使微波傳送至同軸管而放射至處理容器內,利用微波之表面波所擁有的電場能量來激發氣體,藉此,以低電子溫度使高電漿密度的表面波電漿產生。
但是,在專利文獻1的電漿處理裝置中,係為了使微波從同軸管放射至處理容器內,其頂部係形成為以石英等介電質板夾持表面波電漿與天線之間的構造,處理氣體係形成為從處理容器之側壁供給於處理容器內的構造。如此一來,由於從頂部以外供給氣體,因此,無法控制氣體的流動,難以進行良好的電漿控制。
於是,在引用文獻2中,係提出一種在天線的下方設置由具有多數個氣體放出孔之介電質所構成的噴淋板,且經由該噴淋板將處理氣體向垂直下方導入至處理容器內的技術。藉此,在處理容器內形成垂直方向的氣流並均勻地供給處理氣體,而形成均勻的電漿。
[先前技術文獻] [專利文獻]
[專利文獻1]日本特開2003-188103號公報
[專利文獻2]日本特開2005-196994號公報
然而,根據本發明者們,已確認例如在具有如引用文獻2般的天線及噴淋板之電漿處理裝置中,係有 造成在噴淋板之孔的內部進行成膜之情形。且,當進行成膜時,有堵塞噴淋板的孔之虞。
這是因為藉由表面波電漿,使噴淋板附近之區域中的電子溫度高於遠離噴淋板表面的位置乃為原因,例如單矽烷(SiH4)氣體等的原料氣體會在噴淋板附近被過度分解,其結果,會成膜沈積或氣相反應於噴淋板的孔部分,而形成灰塵。
於是,本發明者們,發現了只要能夠使從噴淋板所供給的原料氣體不通過該噴淋板附近的區域中之電子溫度高的區域而到達處理容器內,就能夠抑制噴淋板附近中的成膜、氣相成長。
本發明,係鑑於該觀點所進行研究者,而以在電漿處理裝置中抑制成膜於噴淋板之氣孔的情形為目的,該電漿處理裝置係具有將氣體導入至處理容器內的噴淋板,且藉由微波使表面波電漿產生。
為了達成上述目的,本發明,係一種電漿處理裝置,其係具有具備進行電漿處理之處理容器與將第1氣體與第2氣體供給至處理容器內之噴淋板的電漿產生用天線,且藉由以微波的供給而形成於前述噴淋板表面的表面波,形成電漿來處理基板,其特徵係,在前述噴淋板形成有:複數個氣孔,將第1氣體供給至處理容器內;及複數個供給噴嘴,在不同於該複數個氣孔的位置上,從前述 噴淋板的下面向垂直下方突出,並將第2氣體供給至前述處理容器內。
根據本發明,因為藉由從噴淋板的下面突出至垂直下方的供給噴嘴,將第2氣體供給至處理容器內,因此,該第2氣體不會通過噴淋板附近的區域中之電子溫度較高的區域。因此,即使使用原料氣體作為例如第2氣體,亦能夠避免該原料氣體被表面波電漿過度分解。其結果,能夠抑制反應生成與氣相反應所致的沈積物朝向噴淋板的氣孔。
前述第2氣體,係亦可為較前述第1氣體更容易被電漿分解的氣體。該情況下,前述第2氣體係原料氣體,前述第1氣體係亦可為電漿產生用氣體。
在前述噴淋板的下面形成有向下方向突出的下垂部,在前述下垂部係形成有以預定圖案凹窪於上方的凹窪部,前述供給噴嘴係亦可設置於前述凹窪部。
前述氣孔與前述供給噴嘴,係亦可於俯視下以等間隔予以配置。
從前述噴淋板之下端面至前述供給噴嘴之下端的距離,係亦可為所供給之微波的波長之1/16~3/16的長度。
前述供給噴嘴,係亦可被設置於除了前述噴淋板之中央部以外的區域。
從前述氣孔所供給之前述第1氣體的流速或從前述供給噴嘴所供給之第2氣體的流速,係亦可根據每 一前述各氣孔或前述各供給噴嘴而不同。
又,其他觀點之本發明,係一種將第1氣體與第2氣體供給至進行電漿處理之電漿處理裝置的處理容器內之噴淋板,其特徵係,形成有:複數個氣孔,將第1氣體供給至處理容器內;及複數個供給噴嘴,在不同於該複數個氣孔的位置,從前述噴淋板的下面向垂直下方突出,且將第2氣體供給至前述處理容器內。
根據本發明,係能夠在電漿處理裝置中抑制反應生成與氣相反應所致的沈積物朝向噴淋板的氣孔,該電漿處理裝置係具有將氣體導入至處理容器內的噴淋板,且藉由微波使表面波電漿產生。
1‧‧‧電漿處理裝置
10‧‧‧處理容器
11‧‧‧基座
12‧‧‧支撐構件
13‧‧‧匹配器
14‧‧‧高頻電源
30‧‧‧微波傳送機構
40‧‧‧微波輸出部
50‧‧‧天線模組
100‧‧‧噴淋板
110‧‧‧上部板體
120‧‧‧上部板體
130‧‧‧氣體流路
140‧‧‧氣體流路
160‧‧‧供給噴嘴
220‧‧‧縫槽
500‧‧‧控制裝置
U‧‧‧電漿空間
W‧‧‧晶圓
[圖1]表示本實施形態之電漿處理裝置之構成之概略的縱剖面圖。
[圖2]表示微波之輸出側之機構的圖。
[圖3]模式地表示微波傳送機構之構成的平面圖。
[圖4]表示微波導入機構附近之構成之概略的放大縱剖面圖。
[圖5]噴淋板的下面圖。
[圖6]其他實施形態之噴淋板的下面圖。
[圖7]表示具有下垂部之下部板體之構成之一例的立體圖。
[圖8]表示其他實施形態之下部板體之構成之一例的立體圖。
[圖9]表示其他實施形態之下部板體之構成之一例的立體圖。
以下,參閱圖面詳細說明本發明的實施形態。另外,在本說明書及圖面中,針對實質上具有相同之功能構成的構成要素,係藉由賦予相同符號來省略重複說明。
首先,參閱圖1說明本實施形態之電漿處理裝置的全體構成。圖1,係概略表示電漿處理裝置1的縱剖面圖。
在本實施形態中,係以對半導體晶圓W(以下稱為晶圓W)施予作為電漿處理之成膜處理的CVD裝置為例,說明電漿處理裝置1。電漿處理裝置1,係在被保持氣密的內部中具有對晶圓W進行電漿處理的處理容器10。處理容器10,係上面呈開口之大致圓筒狀且由例如鋁等的金屬所形成,並接地。
在處理容器10的底部,設有載置晶圓W的基座11。基座11係由鋁等的金屬所形成,且經由絕緣體12a被支撐構件12予以支撐,並被設置於處理容器10的 底部。藉此,基座11,係形成為電性浮起的狀態。作為基座11及支撐構件12的材料,係舉出對表面進行耐酸鋁處理(陽極氧化處理)的鋁等。
在基座11,係經由匹配器13連接有偏壓用的高頻電源14。高頻電源14,係對基座11施加偏壓用的高頻電力,藉此,電漿中的離子會被引入至晶圓W側。另外,雖未圖示,但在基座11亦可設置有用於靜電吸附晶圓W的靜電夾盤、溫度控制機構、用於對晶圓W背面供給熱傳達用氣體的氣體流路、搬送晶圓W時進行升降的升降銷等。
在處理容器10的底部設有排氣口15,在排氣口15連接有包含真空泵的排氣裝置16。使排氣裝置16動作時,處理容器10的內部會被排氣且處理容器10內會被減壓至所期望的真空度。又,在處理容器10的側壁形成有搬入搬出口17,藉由可開關搬入搬出口17之閘閥18的關關,使晶圓W被予以搬入搬出。
在基座11之上方裝設有將氣體供給至處理容器10內,並同時可進行微波之供給的電漿產生用天線20(以下,稱為天線20)。
天線20,係設成為堵塞處理容器10之上部的開口。藉此,在基座11與天線20之間形成有電漿空間U。在天線20的上部,係形成為連結有傳送微波的微波傳送機構30,且可將從微波輸出部40所輸出的微波傳遞至天線20。
在電漿處理裝置1中,係如圖1所示設有控制部500。控制部500係例如為電腦,具有程式儲存部(未圖示)。在程式儲存部,係儲存有控制電漿處理裝置1之晶圓W之處理的程式。另外,前述程式係被記錄於例如電腦可讀取之硬碟(HD)、軟碟片(FD)、光碟(CD)、磁光碟(MO)、記憶卡等之電腦可讀取的記憶媒體者,亦可為由該記憶媒體安裝於控制部500者。
接下來,參閱圖2,說明微波輸出部40及微波傳送機構30的構成。
微波輸出部40,係具有微波用電源41、微波振盪器42、放大器43及將增幅後之微波分配為複數的分配器44。微波用電源41,係對微波振盪器42供給電力。微波振盪器42,係使例如860MHz之預定頻率的微波進行PLL振盪。放大器43,係使振盪後的微波增幅。分配器44,係以儘可能不產生微波損失的方式,取得輸入側與輸出側的阻抗匹配的同時,加以分配由放大器43所增幅後的微波。
微波傳送機構30,係具有將由分配器44所分配之微波引導到處理容器內的複數個天線模組50與微波導入機構51。另外,圖2雖模式地描繪微波傳送機構30具備2個天線模組50與2個微波導入機構51的狀態,但在本實施形態中,例如如圖3所示,微波傳送機構30係具有例如7個天線模組50,6個天線模組50係被配置於同一圓周狀,於其中心1個天線模組50係被配置於天線 20的上部。
天線模組50,係具有相位器52、可變增益放大器53、主放大器54及隔離器55,且將從微波輸出部40所輸出的微波傳送至微波導入機構51。
相位器52,係構成為使微波的相位改變,藉由調整此而能夠調變微波的放射特性。藉此,能夠控制指向性來使電漿分布改變。另外,不需要調變像這樣的放射特性時,則不必設置相位器52。
可變增益放大器53,係加以調整輸入到主放大器54之微波的電功率級數,進行電漿強度的調整。主放大器54,係構成固態增幅器。固態增幅器,係可構成為具有未圖示的輸入匹配電路、半導體放大元件、輸出匹配電路及高Q諧振電路。
隔離器55,係將由天線20所反射而返回至主放大器54之微波的反射波加以分離者,具有循環器與虛擬負載(同軸終端器)。循環器,係將由天線20所反射的微波引導到虛擬負載,虛擬負載係將循環器所引導之微波的反射波轉換成熱。
接下來,參閱圖4說明微波導入機構51及電漿產生用天線20的構成。圖4,係放大表示本實施形態之微波導入機構51及天線20之例如左半部之構成之概略的縱剖面圖。
微波導入機構51,係具有同軸管60及慢波板70。同軸管60,係具有由筒狀之外部導體60a及設於其 中心之棒狀的內部導體60b所構成之同軸狀的導波管。在同軸管60的下端,係經由慢波板70設有天線20。同軸管60,係內部導體60b形成為供電側,外部導體60a形成為接地側。在同軸管60,係設有調諧器80。調諧器80,係具有例如2個芯塊80a,構成芯塊調諧器。芯塊80a,係構成為介電質構件的板狀體,且圓環狀地被設於同軸管60的內部導體60b與外部導體60a之間。調諧器80,係根據來自後述控制部500的指令,藉由以未圖示的驅動機構使芯塊80a上下動作,來調整同軸管60的阻抗。
慢波板70,係鄰接於同軸管60的下面而設。慢波板70,係由圓板狀的介電體構件所形成。慢波板70,係透過所傳送同軸管60的微波,引導到天線20。
天線20,係具有噴淋板100。噴淋板100,係鄰接於慢波板70的下面而設。噴淋板100,係直徑大於慢波板70之大致為圓盤形狀,由鋁或銅等之電導率高的導電體所形成。噴淋板100,係露出於處理容器10的電漿空間U側,並使表面波傳輸於所露出的下面。在此,噴淋板100的金屬面係露出於電漿空間U側。如此一來,以下將所傳輸至所露出之下面的表面波稱為金屬表面波。
噴淋板100,係形成為在上下重疊有略為圓盤形狀之上部板體110與同樣略為圓盤形狀之下部板體120的構成。在上部板體110,係形成有貫通其上面且使氣體流通至該上部板體110之徑方向的氣體流路130。在氣體 流路130,係經由供給管132而連接有供給第2氣體的第2氣體供給源131。作為第2氣體,係使用作為原料氣體之例如單矽烷(SiH4)氣體等。在上部板體110之下面且對應於被載置在基座11之晶圓W的全面區域,係朝垂直上方延伸設置有與氣體流路130連通的複數個氣孔133。又,在不同於上部板體110之氣孔133的位置係形成有通過微波的複數個縫槽220。
在下部板體120,係形成有貫通其側面且使氣體流通至該下部板體120之徑方向的氣體流路140。在氣體流路140,係經由供給管142而連接有供給第1氣體的第1氣體供給源141。作為第1氣體,係使用電漿產生用之例如氮氣、氬氣、氫氣或混同該等氣體的氣體等。另外,氣體流路140,係以流通該氣體流路140之氣體與流通氣體流路130之氣體不會在噴淋板100內混合的方式,而分別與氣體流路130完全獨立地予以設置氣體流路140。
在下部板體120中之對應於上部板體110之氣孔133的位置,係分別形成有將該下部板體120上下方向貫穿的貫通孔150。又,在下部板體120中之對應於上部板體110之縫槽220的位置,係與上部板體110相同,形成有縫槽220。
在下部板體120之下面且對應於被載置在基座11之晶圓W的全面區域且不同於貫通孔150及縫槽220的位置,係朝垂直上方延伸設置有與氣體流路140連 通的複數個氣孔151。從第1氣體供給源141被供給至氣體流路140的第1氣體,係透過該複數個氣孔151,從下部板體120的下面被導入至處理容器10的電漿空間U。
在各貫通孔150的下端亦即在下部板體120之下面且對應於各貫通孔150的位置,係分別連接有藉由將氧化皮膜或矽熔射於鋁或不鏽鋼等而形成的供給噴嘴160。各供給噴嘴160,係例如如圖4所示,以從貫通孔150之下端朝垂直下方僅突出預定長度L的方式予以設置。藉此,從第2氣體供給源131被供給至氣體流路130的第2氣體,係透過該複數個供給噴嘴160,從比第1氣體更低於僅預定長度L的位置,被導入至處理容器10的電漿空間U。
另外,露出於噴淋板100之電漿側的面亦即下部板體120之下面及供給噴嘴160的表面,係亦可藉由熔射而被例如氧化鋁(Al2O3)或氧化釔(Y2O3)的被膜(未圖示)覆蓋。藉此,導體面亦可不露出於電漿空間側。
上述的複數個縫槽220,係被設置在不同於作為氣體之供給路徑的氣體流路130、140、複數個氣孔133、151及貫通孔150的位置,且貫穿與噴淋板100之徑方向垂直的方向。縫槽220的一端係與慢波板70鄰接,另一端係在處理容器10內側呈開口。微波在同軸管60傳輸且透過慢波板70之後,通過複數個縫槽220而被放射至處理容器10內。另外,亦可形成為以石英等的介 電質來充滿縫槽220之內部的構造。
圖5,係表示露出於噴淋板100之處理容器10側的面亦即從下面觀看下部板體120之狀態的下面圖。如圖5所示,在下部板體120係以例如格子狀的圖案交替配置有複數個供給噴嘴160與下部板體120的氣孔151。在圖5中,係為使輕易區別供給噴嘴160與氣孔151的配置,而例如以雙重圓表示對應於供給噴嘴160的位置,以圓表示對應於氣孔151的位置。如此一來,以交替配置供給噴嘴160與氣孔151的方式,能夠將例如氮氣與單矽烷均勻地供給至晶圓W的上面。另外,供給噴嘴160與氣孔151的配置係不限定於本實施形態,只要大概均等地配置供給噴嘴160與下部板體120的氣孔151,以使從供給噴嘴160與氣孔151所供給的氣體均勻地被供給至晶圓W上面,則可任意進行設定。在此,大概均勻地配置供給噴嘴160與下部板體120的氣孔151,並非指各氣孔151與各供給噴嘴160之間的距離相等的意思,而是指如圖5所示供給噴嘴160與氣孔151的設置數以大概相同數目予以交替配置的意思。因此,作為圖5所示之配置以外的一例,係例如如圖6所示,舉出將供給噴嘴160與氣孔151交替配置成同心圓狀的情形等。
縫槽220係被設為略環狀,供給噴嘴160與貫通孔150係一起被設置於該縫槽220的外周側及內周側。縫槽220並非被形成為完全的圓環狀,而是被形成為區割成例如4個的扇狀。在縫槽220所區割的部分,係形 成為氣體流路140不與縫槽220連通,且將氣體供給至設於縫槽220之內周側的氣孔151。
複數個縫槽220,係相對於天線20的中心軸而形成為軸對稱。藉此,能夠從縫槽220將微波更均勻地放射至處理容器10內。
氣孔151及供給噴嘴160的直徑,係形成為如被放射至處理容器10內的微波不會進入該氣孔151及供給噴嘴160之內部般的大小。在本實施形態中,係例如為0.6mm。又,縫槽220與氣孔133、151及貫通孔150,係在噴淋板100內完全分離。藉此,能夠防止氣孔133、151或貫通孔150中的異常放電。
另外,慢波板70、上部板體110及下部板體120的接觸面,係分別藉由未圖示的O形環來密封。藉此,可將處理容器10或縫槽220的內部設成為真空狀態,並避免第1氣體與第2氣體在噴淋板100內混合的情形。
本實施形態之電漿處理裝置1,係如上述予以構成,在說明本電漿處理裝置1的動作時,首先,說明本發明的原理。
在微波電漿處理中,被使用來作為電漿產生用氣體之例如氮氣,係其結合能約為9.91eV。另一方面,為了藉由電漿處理將成膜於晶圓W時使用來作為原料氣體之例如單矽烷(SiH4)分解成SiH3,而需要約8.75eV的能量。且,在微波電漿處理中,供給到天線20之微波 的輸出,係將進行成膜時用於生成所需之自由基或前驅物的能量定為基準。在此,在使用金屬表面波的微波電漿處理(特別是應用了衰減波之表面波的電漿處理)中,通常距離例如圖1所示之天線20之下端面附近例如天線下面大概5mm以內之區域X,係與區域X更下方的區域比較,電子溫度來得高。
因此,如以往般,從噴淋板表面的相同面供給作為電漿產生用氣體的氮氣、作為原料氣體的單矽烷氣體時,雖然氮氣會在區域X被分解而形成氮自由基,但在比區域X更下方之電子溫度較低的區域中,由於能量不足,因此,不會被分解。另一方面,雖然單矽烷氣體在比區域X更下方處仍被分解成SiH3,但即使在電子溫度高的區域X亦會促進分解的發生。因此,在該區域X中SiH3會過度生成而形成矽膜,並沈積於噴淋板的氣孔。
為了抑制反應生成與氣相反應所致的沈積物,只要使供給至天線20之微波的輸出下降並使區域X中的電子溫度降低即可,如上述,在區域X中為了分解電漿產生用氣體而需要預定之電子溫度,因此,對於使微波的輸出下降亦有其極限。
於是,本發明者們,為了抑制不必要之反應生成與氣相反應所致的沈積物沈積到氣孔,從而審慎檢討了關於不使從噴淋板所供給的原料氣體通過電子溫度高的區域X而導入至處理容器10內的方法。但是,如以往,從處理容器10之側壁將原料氣體供給至處理容器10內 時,會難以控制處理容器10內之氣體的流動,而無法獲得均勻的電漿。亦即,必須維持從噴淋板100朝向晶圓W之垂直下方的氣流。於是,本發明者們,係想到只要以使電漿產生用氣體與原料氣體不在噴淋板100之內部混合的方式,分別個別地設置氣體流路130、140並進一步將具有比區域X更長之長度L的噴嘴連接至原料氣體的流路,就能夠使原料氣體不通過區域X而導入至處理容器10內。該情況下,由於可抑制原料氣體在區域X被過度分解,因此,可抑制原料氣體所致之前驅物的生成,並防止氣孔堵塞。這是本發明的原理亦即將噴淋板100分成上部板體110與下部板體120來構成,並進一步在下部板體120設置供給噴嘴160的理由。
另外,在圖4中,雖在上部板體110的氣體流路130連接有第2氣體供給源131,且在下部板體120設置有貫通孔150及供給噴嘴160,但噴淋板100的構成並不限定於本實施形態。特別是,關於將第2氣體供給源131連接於上部板體110的氣體流路130與下部板體120的氣體流路140哪一個,係可任意進行設定。例如將第2氣體供給源131連接至下部板體120的氣體流路140時,亦可將供給噴嘴160連接於氣孔151而不使供給噴嘴160連接於貫通孔150。在該情況下,亦可從貫通孔150直接供給作為第1氣體的電漿產生用氣體至處理容器10內,另一方面,作為原料氣體的第2氣體,係經由供給噴嘴160而從比下部板體120的下端面更往僅預定長度L下方 之位置,直接供給至處理容器10內。
另外,由於下部板體120的下面附近係藉由表面波電漿而形成高溫,因此,流通氣體流路140內的氣體也是因該電漿的熱而溫度上升,且氣體其本身的內部能量亦會形成為較高的狀態,進而容易被表面波電漿分解。因此,難以分解的氣體亦即在該情況下,只要使電漿產生用氣體流通至氣體流路140內,就能夠促進表面波電漿之分解。因此,較佳的是使供給原料氣體的第2氣體供給源131連接於上部板體110的氣體流路130,且使供給電漿產生用氣體的第1氣體供給源141連接於下部板體120的氣體流路140。
另一方面,藉由在下部板體120設置作為突起物的供給噴嘴160,由於在該供給噴嘴160中仍傳輸有表面波並引起共振,且有阻礙在電漿空間U中生成均勻之電漿的可能性,因此,不採用如供給噴嘴160般的構造。但是,藉由將供給噴嘴160的長度L亦即從下部板體120之下面至供給噴嘴160之下端的距離設成為導入至處理容器10內之微波之波長的1/16~3/16左右(更佳為1/8左右),就能夠抑制供給噴嘴160中的表面波共振且穩定地在處理容器10內生成電漿。另外,由於藉由表面波而形成為高電子溫度的區域X係距離噴淋板100之下面5mm左右的區域,因此,只要將供給噴嘴160的長度L設成為波長之1/16~3/16左右的長度,則供給噴嘴160的下端會形成為與區域X相比在更遠的下方。在本實施形態中,係 使用波長為348.6mm之860MHz的微波,因此,供給噴嘴160的長度係被設定為43.6mm左右大概為21.8~65.4mm的範圍。
本實施形態之電漿處理裝置1,係基於上述般之見解者。接下來,以在晶圓W形成氮化矽膜的情形為例,說明使用電漿處理裝置1所進行的處理。
首先,將晶圓W搬入至處理容器10內,並載置於基座11上。且,從第1氣體供給源141,將作為電漿產生用氣體之混合了氮氣、氬氣及氫氣的氣體,經由噴淋板100的下部板體120導入至處理容器10內。接下來,微波係從微波輸出部40被輸出,且微波係透過微波傳送機構30及慢波板70、縫槽220,被導入至處理容器10內。藉此,藉由形成於天線20表面的金屬表面波生成表面波電漿,並生成氮自由基。與此同時,作為原料氣體之單矽烷氣體,係從第2氣體供給源131經由供給噴嘴160被導入至處理容器10內。
被導入至處理容器10內的單矽烷氣體,係藉由電漿激發而被分解成SiH3。此時,由於單矽烷氣體不會通過電子溫度較高之天線20下面的區域X而被導入至處理容器10的電漿空間U,因此,並不會過度分解。其結果,可抑制過剩之SiH3所致的反應生成與氣相反應。
且,氮自由基及SiH3,係伴隨著從噴淋板100朝向晶圓W之垂直下方的氣流而到達晶圓W的表面,並以氮化矽沈積於晶圓W上面。藉此,在晶圓W的 上面形成有氮化矽膜。
根據以上的實施形態,經由從噴淋板100之下部板體120下面向垂直下方突出預定長度L的供給噴嘴160,將作為原料氣體之例如單矽烷氣體供給至處理容器10內,因此,單矽烷氣體不會通過噴淋板100附近之電子溫度較高的區域X。因此,能夠避免單矽烷氣體被表面波電漿過度分解。其結果,使用噴淋板100施予電漿處理於晶圓W時,能夠抑制矽膜形成於噴淋板100的氣孔。
又,由於供給噴嘴160與氣孔151係被均等配置,因此,能夠使晶圓W表面中之例如氮自由基的密度與SiH3的密度均勻。其結果,能夠以均勻的膜厚使氮化矽膜沈積於晶圓W的表面。
且,由於供給噴嘴160的長度L係被設定成從微波輸出部40所輸出之微波的波長之大概1/8,因此,在向處理容器10突出的供給噴嘴160能夠除去微波的共振條件。其結果,供給噴嘴160係具有天線的功能且不會阻礙電漿的生成。因此,根據本實施形態之電漿處理裝置1,能夠進行穩定的電漿處理。又,藉由像這樣的構成,能夠以氮自由基的供給量(生成量)積極地控制氮化矽膜形成於晶圓W表面。
在上述的實施形態中,雖然下部板體120係形成為圓盤形狀,但,例如如圖7所示,亦可在下部板體120之下面設有噴嘴160的區域中,設置向下方突出僅預定長度D的下垂部250。在該情況下,下垂部250係如圖 7所示,避開噴嘴160而予以設置。換言之,對應於下垂部250之噴嘴160的位置,係向上方凹陷。另外,圖7中雖為簡單化而省略氣孔151的記載,但氣孔151係被形成於下垂部250的下面。藉此,藉由縫槽220(石英環)所放射的幾個微波會被反射,並能夠使傳送到噴嘴160的微波減弱。另一方面,在該形狀中雖能夠使傳送到噴嘴160的微波減弱,但噴嘴160的根部亦即從下垂部250之下面所供給的氮氣或氬氣這樣的電漿產生用氣體的自由基生成亦會被減弱。為了避免該情事,而例如亦可在下垂部250的下面設置如圖7所示般的溝250a。藉由設置溝250a,在溝250a中能夠使氮氣、氬氣這樣的電漿產生用氣體被電漿加熱進而促進自由基生成。另外,作為溝250a之形狀的一例,係例如如圖7所示,考慮以包圍各供給噴嘴160周圍的方式所設置之例如格子狀的圖案等。
又,不同於上述情況,如圖8所示,亦可在噴嘴160的長度方向將噴嘴160之外周的直徑分割成2階段以上。具體而言,例如如圖8所示,亦可將噴嘴160之根部部分,換言之,將噴嘴160中之從下部板體120側的端部以預定長度設成為直徑較圖7所示之噴嘴160大的擴張部160a,且將從該擴張部160a至噴嘴160的前端設成為直徑較擴張部160a小的前端部160b。藉此,以直徑在擴張部160a與前端部160b之邊界產生改變的方式,使微波被形成為階梯狀之噴嘴160的角部反射,且傳送到噴嘴160的微波會被大幅減弱。
另外,在下垂部250並不一定要設置溝250a。又,例如在圖7中,雖避開噴嘴160形成下垂部250,但,例如如圖9所示,亦可在下垂部250的下端直接設置噴嘴260。在該情況下,噴嘴260的長度,係與圖7所示之情形的噴嘴160的長度L相同。換言之,從下垂部250之下端至噴嘴260之前端的長度,係不管有無下垂部250或噴嘴160、260的配置,而形成為L。如此一來,在下垂部250直接設置噴嘴260時亦能夠大幅使傳送到噴嘴260的微波減弱。又,根據本發明者們,已確認即使在下垂部250不設置溝250a時,亦能夠在噴嘴260的根部抑制氮氣或氬氣這樣的電漿產生用氣體之自由基生成被減弱的情形。另外,在圖8及圖9中亦為了簡單化,而未圖示有氣孔151。又,在圖8中,亦可設置與記載於圖7之溝250a相同的溝。在該情況下,亦能夠藉由溝250a的效果來促進氮氣、氬氣這樣的電漿產生用氣體的自由基生成。
又,一般,若供給至天線之電功率的頻率變高,則從端部側朝向中心側的高頻電流會因表層效果而在天線之電漿側的面流動。其結果,天線下面中的電場強度分布,係形成為在由縫槽220所包圍的中央部較高,而越朝向外周端部越低。為此,本發明者們確認了即使在本實施形態的天線20,在位於由縫槽220所包圍之中央的供給噴嘴160附近其電場強度亦變高。於是,本發明者們進行審慎調查,確認了藉由去除由縫槽220所包圍之中央部 的供給噴嘴160,而能夠抑制在中央部的供給噴嘴160附近電場強度變高的情形。因此,亦可不在下部板體120中之由縫槽220所包圍的中央部設置供給噴嘴160。另外,由縫槽220所包圍的中央部,並非指在下部板體120中由縫槽220所包圍之區域的中心一點,而是指距離例如由縫槽220所包圍之區域的中心大概40mm以內之區域的意思。
在上述實施形態中,雖分別經由一個供給管132、142將第2氣體供給源131、第1氣體供給源141連接於上部板體110的氣體流路130及下部板體120的氣體流路140,但,亦可以分別獨立之環狀將例如氣體流路130、氣體流路140設成為同心圓狀的流路,並在各個氣體流路設置複數個供給管132及供給管142,以控制供給至各流路之氣體的流量。
如此一來,可在下部板體120之各區域控制氣體的供給量,且可對應於例如電場強度分布來控制原料氣體或電漿產生用氣體的供給量,並對晶圓W進行更均勻的電漿處理。
特別是,如以往,在使用不具有供給噴嘴160的噴淋板而將作為原料氣體的單矽烷氣體供給至處理容器10內時,由於原料氣體在噴淋板100下面會被過度分解,因此,難以控制SiH3的生成量,但,由於本發明係使用供給噴嘴160供給單矽烷氣體而能夠抑制過剩之SiH3的生成,因此,以控制單矽烷氣體之供給量的方 式,可輕易地調整SiH3的生成量。在該情況下,以進一步設置複數個供給管132及供給管142並在下部板體120之預定每一區域控制氣體之供給量的方式,可針對各區域嚴密地調整氮自由基與SiH3的生成量,因此,可對晶圓W施予更均勻的電漿處理。
又,在上述實施形態中,雖然噴淋板100係由上部板體110與下部板體120所構成,但,只要是獨立形成第2氣體之氣體流路130及第1氣體之氣體流路140且形成為在噴淋板100之內部不混合氣體的構成,則關於如何構成噴淋板100並不限定於本實施形態,可任意進行設定。
以上,雖參閱添附圖面詳細說明了本發明之合適的實施形態,但本發明係不限定於該些例子。只要是本發明所屬技術領域中具有通常知識者,當然可在申請專利範圍所記載之技術思想範疇內聯想各種變更例或修正例,此等當然應被視為屬於本發明的技術範圍。
10‧‧‧處理容器
20‧‧‧天線
51‧‧‧微波導入機構
60‧‧‧同軸管
60a‧‧‧外部導體
60b‧‧‧內部導體
70‧‧‧慢波板
80‧‧‧調諧器
80a‧‧‧芯塊
100‧‧‧噴淋板
110‧‧‧上部板體
120‧‧‧下部板體
130‧‧‧氣體流路
131‧‧‧第2氣體供給源
132‧‧‧供給管
133‧‧‧氣孔
140‧‧‧氣體流路
141‧‧‧第1氣體供給源
142‧‧‧供給管
150‧‧‧貫通孔
151‧‧‧氣孔
160‧‧‧供給噴嘴
220‧‧‧縫槽
U‧‧‧電漿空間

Claims (9)

  1. 一種電漿處理裝置,係具有具備進行電漿處理之處理容器與將第1氣體與第2氣體供給至處理容器內之噴淋板的電漿產生用天線,且藉由以微波的供給而形成於前述噴淋板表面的表面波,形成電漿來處理基板,其特徵係,在前述噴淋板形成有:複數個氣孔,將第1氣體供給至處理容器內;及複數個供給噴嘴,在不同於該複數個氣孔的位置上,從前述噴淋板的下面向垂直下方突出,並將第2氣體供給至前述處理容器內。
  2. 如申請專利範圍第1項之電漿處理裝置,其中,前述第2氣體,係較前述第1氣體更容易被電漿分解的氣體。
  3. 如申請專利範圍第2項之電漿處理裝置,其中,前述第2氣體係原料氣體,前述第1氣體係電漿產生用氣體。
  4. 如申請專利範圍第3項之電漿處理裝置,其中,在前述噴淋板的下面,形成有向下方向突出的下垂部,在前述下垂部,係形成有以預定圖案凹窪於上方的凹窪部,前述供給噴嘴,係設置於前述凹窪部。
  5. 如申請專利範圍第1~4項中任一項之電漿處理裝置,其中,前述氣孔與前述供給噴嘴,係於俯視下以等間隔予以 配置。
  6. 如申請專利範圍第1~4項中任一項之電漿處理裝置,其中,從前述噴淋板之下端面至前述供給噴嘴之下端的距離,係所供給之微波的波長之1/16~3/16的長度。
  7. 如申請專利範圍第1~4項中任一項之電漿處理裝置,其中,前述供給噴嘴,係被設置於除了前述噴淋板之中央部以外的區域。
  8. 如申請專利範圍第1~4項中任一項之電漿處理裝置,其中,從前述氣孔所供給之前述第1氣體的流速或從前述供給噴嘴所供給之第2氣體的流速,係根據每一前述各氣孔或前述各供給噴嘴而不同。
  9. 一種噴淋板,係將第1氣體與第2氣體供給至進行電漿處理之電漿處理裝置的處理容器內,該噴淋板,其特徵係形成有:複數個氣孔,將第1氣體供給至處理容器內;及複數個供給噴嘴,在不同於該複數個氣孔的位置,從前述噴淋板的下面向垂直下方突出,且將第2氣體供給至前述處理容器內。
TW103109054A 2013-03-21 2014-03-13 Plasma processing device and shower plate TWI619841B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013058663A JP6096547B2 (ja) 2013-03-21 2013-03-21 プラズマ処理装置及びシャワープレート

Publications (2)

Publication Number Publication Date
TW201508088A true TW201508088A (zh) 2015-03-01
TWI619841B TWI619841B (zh) 2018-04-01

Family

ID=51568179

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103109054A TWI619841B (zh) 2013-03-21 2014-03-13 Plasma processing device and shower plate

Country Status (4)

Country Link
US (1) US9663856B2 (zh)
JP (1) JP6096547B2 (zh)
KR (1) KR101736070B1 (zh)
TW (1) TWI619841B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797492B (zh) * 2017-03-02 2023-04-01 奧地利商Ev集團E塔那有限公司 用於接合晶片之方法及裝置

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6338462B2 (ja) 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
USD732145S1 (en) * 2014-02-04 2015-06-16 Asm Ip Holding B.V. Shower plate
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
USD724701S1 (en) * 2014-02-04 2015-03-17 ASM IP Holding, B.V. Shower plate
USD732644S1 (en) * 2014-02-04 2015-06-23 Asm Ip Holding B.V. Top plate
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6293643B2 (ja) * 2014-11-05 2018-03-14 株式会社東芝 ノズル装置及び処理装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6404111B2 (ja) 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6567646B2 (ja) * 2015-02-25 2019-08-28 国立大学法人大阪大学 マイクロ波プラズマ気相反応装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP6664993B2 (ja) * 2016-03-01 2020-03-13 株式会社ニューフレアテクノロジー 成膜装置
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD785766S1 (en) 2016-06-15 2017-05-02 Asm Ip Holding B.V. Shower plate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
USD829306S1 (en) 2016-07-06 2018-09-25 Asm Ip Holding B.V. Shower plate
JP6700128B2 (ja) * 2016-07-07 2020-05-27 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP6700127B2 (ja) * 2016-07-07 2020-05-27 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6813459B2 (ja) * 2017-09-08 2021-01-13 キオクシア株式会社 プラズマ処理装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11149350B2 (en) * 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102670124B1 (ko) * 2018-05-03 2024-05-28 주성엔지니어링(주) 기판 처리 장치
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
JP7502039B2 (ja) * 2019-03-28 2024-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2021064508A (ja) 2019-10-11 2021-04-22 東京エレクトロン株式会社 プラズマ処理装置
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022116914A (ja) * 2021-01-29 2022-08-10 キオクシア株式会社 基板処理装置および半導体装置の製造方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JP2022184132A (ja) 2021-05-31 2022-12-13 東京エレクトロン株式会社 プラズマ処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116994936A (zh) * 2022-01-18 2023-11-03 江苏天芯微半导体设备有限公司 一种衬套及晶圆预处理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3599619B2 (ja) * 1999-11-09 2004-12-08 シャープ株式会社 プラズマプロセス装置
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
JP3969081B2 (ja) 2001-12-14 2007-08-29 東京エレクトロン株式会社 プラズマ処理装置
JP4532897B2 (ja) 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
JP2006237479A (ja) * 2005-02-28 2006-09-07 Mitsubishi Heavy Ind Ltd プラズマ処理装置
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4915985B2 (ja) * 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4873405B2 (ja) * 2006-03-24 2012-02-08 東京エレクトロン株式会社 プラズマ処理装置と方法
JP5004271B2 (ja) * 2006-09-29 2012-08-22 東京エレクトロン株式会社 マイクロ波プラズマ処理装置、誘電体窓の製造方法およびマイクロ波プラズマ処理方法
JP2008124424A (ja) * 2006-10-16 2008-05-29 Tokyo Electron Ltd プラズマ成膜装置及びプラズマ成膜方法
JP2009194173A (ja) * 2008-02-14 2009-08-27 Tokyo Electron Ltd マイクロ波プラズマ処理装置
US20130084706A1 (en) * 2011-09-30 2013-04-04 Tokyo Electron Limited Plasma-Tuning Rods in Surface Wave Antenna (SWA) Sources
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797492B (zh) * 2017-03-02 2023-04-01 奧地利商Ev集團E塔那有限公司 用於接合晶片之方法及裝置

Also Published As

Publication number Publication date
US20140283747A1 (en) 2014-09-25
TWI619841B (zh) 2018-04-01
JP2014183297A (ja) 2014-09-29
JP6096547B2 (ja) 2017-03-15
KR101736070B1 (ko) 2017-05-17
KR20140115978A (ko) 2014-10-01
US9663856B2 (en) 2017-05-30

Similar Documents

Publication Publication Date Title
TWI619841B (zh) Plasma processing device and shower plate
KR101851436B1 (ko) 플라즈마 처리 장치
TWI643236B (zh) Plasma processing device
TWI593319B (zh) Plasma generating antenna, plasma processing device and plasma processing method
KR100960424B1 (ko) 마이크로파 플라즈마 처리 장치
JP4677918B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2013140959A (ja) プラズマ処理装置用の天板及びプラズマ処理装置
KR20090057095A (ko) 플라즈마 성막 장치 및 플라즈마 성막 방법
JP2005235755A (ja) マイクロウェーブ供給装置、それを用いたプラズマ工程装置及びプラズマ工程方法
WO2006009213A1 (ja) プラズマ処理装置
TW201304617A (zh) 電漿處理裝置及電漿產生用天線
CN110021514B (zh) 天线和等离子体成膜装置
JP5220772B2 (ja) プラズマ処理装置およびプラズマ処理装置用突起部材
JP2018006256A (ja) マイクロ波プラズマ処理装置
JP2023027600A (ja) プラズマ処理装置及び蓋部材
JP2022119578A (ja) プラズマ処理装置