KR101851436B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR101851436B1
KR101851436B1 KR1020150176074A KR20150176074A KR101851436B1 KR 101851436 B1 KR101851436 B1 KR 101851436B1 KR 1020150176074 A KR1020150176074 A KR 1020150176074A KR 20150176074 A KR20150176074 A KR 20150176074A KR 101851436 B1 KR101851436 B1 KR 101851436B1
Authority
KR
South Korea
Prior art keywords
gas
receiving member
plasma
shower plate
microwave
Prior art date
Application number
KR1020150176074A
Other languages
English (en)
Other versions
KR20160074403A (ko
Inventor
다로 이케다
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160074403A publication Critical patent/KR20160074403A/ko
Application granted granted Critical
Publication of KR101851436B1 publication Critical patent/KR101851436B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • H01L21/205
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

처리 용기 내에 가스를 도입하는 샤워 플레이트를 갖고, 마이크로파에 의해 표면파 플라즈마를 발생시키는 플라즈마 처리 장치에 있어서, 샤워 플레이트의 가스 구멍에 성막하는 것을 억제하면서 효율적으로 플라즈마를 발생시킨다.
플라즈마 처리가 행해지는 처리 용기(10)와, 처리 용기(10) 내로 가스를 공급하는 샤워 플레이트(100)를 구비한 플라즈마 처리 장치는, 샤워 플레이트(100)의 하단면으로부터 하방으로 돌출되는 수하 부재(101)를 갖고 있다. 수하 부재(101)의 외측면은, 상단부로부터 하단부를 향해서 외측으로 퍼지고, 샤워 플레이트(100)는, 처리 용기(10) 내에 제1 가스를 공급하는 복수의 제1 공급구(133)와 제2 가스를 공급하는 복수의 제2 공급구(151)를 구비하고, 제1 가스 공급구(133)는, 수하 부재(101)의 외측면보다 내측에 배치되고, 제2 가스 공급구(151)는, 수하 부재의 외측면보다 외측에 배치되어 있다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 처리 용기 내에 소정의 가스를 공급하는 샤워 플레이트를 구비한 플라즈마 처리 장치에 관한 것이다.
플라즈마 처리는, 반도체 디바이스의 제조에 불가결한 기술이다. 최근 들어, LSI의 고집적화 및 고속화의 요청으로부터, LSI를 구성하는 반도체 소자의 한층 더한 미세 가공이 요구되고 있다.
그 때문에, 최근에는, 종래 플라즈마 처리에 사용되고 있는 용량 결합형 플라즈마 처리 장치나 유도 결합형 플라즈마 처리 장치보다, 저전자 온도이면서 고플라즈마 밀도의 플라즈마를 생성할 수 있는 마이크로파에 의해 플라즈마 처리하는 장치가 제안되어 있다(예를 들어, 특허문헌 1).
특허문헌 1에는, 마이크로파를 동축 관으로 전송시켜서 처리 용기 내에 방사하고, 마이크로파의 표면파가 갖는 전계 에너지에 의해 플라즈마 발생용 가스를 여기시켜서, 처리 용기 내에 저전자 온도이면서 고플라즈마 밀도의 표면파 플라즈마를 생성하는 플라즈마 처리 장치가 개시되어 있다.
그러나, 특허문헌 1의 플라즈마 처리 장치에서는, 마이크로파를 동축 관으로부터 처리 용기 내에 방사하기 위해서, 그 천장부는, 표면파 플라즈마와 안테나 사이를 석영 등의 유전체판으로 끼운 구조로 되어 있고, 처리 가스는 처리 용기의 측벽으로부터 처리 용기 내에 공급되는 구조로 되어 있었다. 이와 같이, 가스를 천장부 이외로부터 공급하고 있었기 때문에, 가스의 흐름을 제어할 수 없어, 양호한 플라즈마 제어가 어려웠다.
따라서, 인용 문헌 2에서는, 안테나의 하면에 샤워 플레이트를 설치하고, 처리 가스를 이 샤워 플레이트로부터 연직 하방으로 처리 용기 내에 도입하는 기술이 제안되어 있다. 샤워 플레이트는 유전체에 의해 형성되어 있고, 두께 방향으로 관통하는 다수의 가스 공급구로부터 처리 가스가 공급된다. 가스 공급구의 선단부는, 처리 용기 내로부터 가스 공급구로의 가스의 역확산을 방지하기 위해서 좁힌 오리피스 형상을 갖고 있다. 이에 의해, 처리 용기 내에 연직 방향의 가스류를 형성하여 처리 가스를 균일하게 공급하여, 균일한 플라즈마가 형성된다.
일본 특허 공개 제2003-188103호 공보 일본 특허 공개 제2005-196994호 공보
그러나, 예를 들어 인용 문헌 2와 같은 안테나 및 샤워 플레이트를 갖는 플라즈마 처리 장치에 있어서는, 표면파 플라즈마에 의해, 샤워 플레이트 근방의 영역에서의 플라즈마의 전자 온도가, 샤워 플레이트의 표면으로부터 이격된 위치의 전자 온도보다 높게 된다. 그 때문에, 성막 처리에 있어서, 예를 들어 모노실란 가스(SiH4) 등의 원료 가스가 샤워 플레이트 근방에서 과잉으로 분해되어, 해당 분해된 가스의 막이 샤워 플레이트에 퇴적되어 버린다. 그렇게 되면, 오리피스 형상을 갖는 가스 공급구의 선단부에서 막힘이 발생할 우려가 있다. 그리고, 막힘이 발생하면, 처리 용기 내의 처리 가스의 흐름에 치우침이 발생하게 된다.
원료 가스의 과잉 분해를 방지하기 위해서는, 안테나로 공급하는 마이크로파의 출력을 저하시키면 된다. 그러나, 마이크로파의 출력을 저하시키면 플라즈마 발생용 가스의 여기가 불충분하게 되어, 안정된 플라즈마를 형성할 수 없다는 문제가 있다. 또한, 생산성의 관점에서도, 플라즈마 발생용 가스를 효율적으로 여기하여, 예를 들어 성막 처리에 있어서의 성막 레이트를 향상시키는 것이 요망된다.
따라서 본 발명자들은, 원료 가스의 과잉 분해를 억제하기 위해서, 예를 들어 샤워 플레이트의 하면에, 상단부로부터 하단부를 향해서 외측으로 퍼지면서 하방으로 돌출되는 수하부를 설치하고, 수하부의 내부에 형성된 가스 공급구를 통해서 처리 용기 내에 모노실란 가스 등의 원료 가스를 공급함과 함께, 수하부의 외측면보다 외측에 플라즈마 생성용 가스를 공급하는 것에 상도하였다. 이렇게 함으로써, 원료 가스가 샤워 플레이트 표면의 전자 온도가 높은 영역을 통과하는 일이 없게 되어, 원료 가스의 과잉 분해가 억제된다. 또한, 수하부의 외측면이 상단부로부터 하단부를 향해서 외측으로 퍼지고 있으므로, 그 수하부의 외측면에서 마이크로파가 횡방향이나 경사진 상방향으로 반사된다. 그 결과, 수하부의 외측면 근방에 있어서의 전계 강도가 높아져서, 플라즈마 생성용 가스를 효율적으로 여기하여 플라즈마를 발생시킬 수 있다고 생각된다. 그리고, 실제로 수하부를 갖는 샤워 플레이트를 사용해서 확인 시험을 행한 바, 원료 가스의 과잉 분해를 억제할 수 있음과 함께, 플라즈마 생성용 가스를 적절하게 여기 할 수 있는 것이 확인되었다.
그러나, 수하부를 갖는 샤워 플레이트를 사용한 경우에도, 여전히 가스 공급구의 오리피스부에 있어서 막힘의 발생이 확인되었다. 그리고, 이 막힘의 원인으로 되고 있는 물질에 대해서 조사한 바, SiHx나 SixNy라고 하는, 원료 가스나 플라즈마 생성 가스 유래의 생성물인 것이 확인되었다. 따라서, 이들 생성물의 발생 원인은, 샤워 플레이트 표면의 마이크로파(표면파)에 의해 가스 공급구의 오리피스부 근방에서 전자 온도가 상승하고, 오리피스부 근방에서, 모노실란 가스에 전자가 충돌해서 SiH2나 Si가 생성되거나, 예를 들어 질소 가스 등의 플라즈마 생성용 가스의 라디칼이 모노실란 가스에 충돌함으로써, SiN이 생성되기 때문이라고 생각된다. 따라서, 가스 공급구의 오리피스부 근방에서의 전자 온도를 억제하거나, 생성물의 발생을 억제하거나 할 필요가 있다.
본 발명은, 처리 용기 내에 가스를 도입하는 샤워 플레이트를 갖고, 마이크로파에 의해 표면파 플라즈마를 발생시키는 플라즈마 처리 장치에 있어서, 샤워 플레이트의 가스 공급구에의 퇴적물을 억제함과 함께, 효율적으로 플라즈마를 발생시킨다.
본 발명은 처리 용기 내에 제1 가스와 제2 가스를 공급하는 샤워 플레이트를 구비한 플라즈마 발생용 안테나를 갖고, 마이크로파의 공급에 의해 상기 샤워 플레이트 표면에 형성된 표면파에 의해 플라즈마를 형성하여 기판을 처리하는 플라즈마 처리 장치이며, 상기 샤워 플레이트의 하단면으로부터 하방으로 돌출되는, 도전체에 의해 구성된 수하 부재를 갖고, 상기 수하 부재의 외측면은, 상단부로부터 하단부를 향해서 외측으로 퍼지고, 상기 샤워 플레이트는, 상기 처리 용기 내에 제1 가스를 공급하는 복수의 제1 가스 공급구와 제2 가스를 공급하는 복수의 제2 가스 공급구를 구비하고, 상기 수하 부재의 하단면에는, 상방으로 오목한 오목부가 형성되고, 상기 수하 부재의 내부에는, 상기 수하 부재의 상단면으로부터 상기 오목부에 연통하는 관통 구멍이 형성되고, 상기 제1 가스 공급구는, 상기 수하 부재의 외측면보다 내측에 배치되고 또한 상기 관통 구멍에 접속되고, 상기 제2 가스 공급구는, 상기 수하 부재의 외측면보다 외측에 배치되고, 상기 관통 구멍에는, 상기 관통 구멍보다 단면적이 작은 오리피스부가 형성되어 있다.
본 발명에 따르면, 수하 부재의 외측면보다 내측에 제1 가스 공급구가 형성되고, 제1 가스 공급구가 수하 부재에 형성된 관통 구멍에 접속되어 있으므로, 전자 온도가 높은 영역을 통과시키지 않고 제1 가스를 처리 용기 내에 도입할 수 있다. 따라서, 예를 들어 제1 가스로서 원료 가스를 사용하더라도, 그 원료 가스가 표면파 플라즈마에 의해 과잉으로 분해되는 것을 피할 수 있다. 또한, 수하 부재의 외측면이 상단부로부터 하단부를 향해서 외측으로 퍼지고 있으므로, 그 수하 부재의 외측면에서 마이크로파가 횡방향이나 경사진 상방향으로 반사된다. 그 결과, 수하 부재의 외측면 근방에 있어서의 전계 강도가 높아져, 예를 들어 제2 가스 공급구로부터 플라즈마 생성용 가스를 공급하면, 그 가스를 효율적으로 여기해서 플라즈마를 발생시킬 수 있다. 이에 더하여, 수하 부재의 하단면에는, 상방으로 오목한 오목부가 형성되고, 오리피스부를 갖는 관통 구멍은 오목부의 상방에 형성되어 있기 때문에, 오리피스부와 수하 부재의 하단면 사이에 소정의 거리를 확보할 수 있다. 그리고, 수하 부재는 접지 전위로 되어 있으므로, 오목부로의 표면파의 진입을 억제함으로써, 오리피스부 근방에서의 전자 온도를 억제하거나, 오리피스부 근방에의 전자나 라디칼 등의 도달을 억제하거나 할 수 있다. 그 결과, 오리피스부에의 생성물의 퇴적을 억제하여, 오리피스부, 즉 가스 공급구의 막힘을 방지할 수 있다.
본 발명에 따르면, 처리 용기 내에 가스를 도입하는 샤워 플레이트를 갖고, 마이크로파에 의해 표면파 플라즈마를 발생시키는 플라즈마 처리 장치에 있어서, 샤워 플레이트의 가스 구멍에의 반응 생성과 기상 반응에 의한 퇴적물을 억제함과 함께, 효율적으로 플라즈마를 발생시킬 수 있다.
도 1은 본 실시 형태에 따른 플라즈마 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 2는 마이크로파의 출력측 기구를 도시한 도면이다.
도 3은 마이크로파 전송 기구의 구성을 모식적으로 도시하는 평면도이다.
도 4는 마이크로파 도입 기구 근방의 구성의 개략을 나타내는 확대 종단면도이다.
도 5는 수하 부재의 오리피스부 근방의 구성의 개략을 나타내는 확대 종단면도이다.
도 6은 수하 부재 근방의 단면 형상을 도시하는 사시도이다.
도 7은 종래의 샤워 플레이트 근방에 있어서의 전자 온도의 분포를 도시하는 설명도이다.
도 8은 종래의 샤워 플레이트의 근방에 있어서의 전계 강도의 분포를 도시하는 설명도이다.
도 9는 수하 부재를 구비한 샤워 플레이트의 근방에 있어서의 전계 강도의 분포를 도시하는 설명도이다.
도 10은 수하 부재를 구비한 샤워 플레이트의 근방에 있어서의 전자 온도의 분포를 도시하는 설명도이다.
도 11은 수하 부재를 구비한 샤워 플레이트의 근방에 있어서의 전자 밀도의 분포를 도시하는 설명도이다.
도 12는 다른 실시 형태에 따른 플라즈마 처리 장치의 구성의 개략을 도시하는 종단면도이다.
도 13은 다른 실시 형태에 따른 수하 부재의 구성의 개략을 도시하는 측면도이다.
도 14는 다른 실시 형태에 따른 수하 부재의 구성의 개략을 도시하는 측면도이다.
도 15는 다른 실시 형태에 따른 수하 부재의 구성의 개략을 도시하는 종단면도이다.
도 16은 다른 실시 형태에 따른 수하 부재 근방의 단면 형상을 도시하는 사시도이다.
도 17은 다른 실시 형태에 따른 수하 부재 근방의 단면 형상을 도시하는 사시도이다.
이하에 첨부 도면을 참조하면서, 본 발명의 실시 형태에 대해서 상세하게 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성 요소에 대해서는, 동일한 번호를 붙임으로써 중복 설명을 생략한다.
먼저, 본 실시 형태에 따른 플라즈마 처리 장치의 전체 구성에 대해서, 도 1을 참조하면서 설명한다. 도 1은 플라즈마 처리 장치(1)를 개략적으로 나타낸 종단면도이다.
본 실시 형태에서는, 반도체 웨이퍼(W)(이하, 웨이퍼(W)라 칭함)에 플라즈마 처리로서 성막 처리를 실시하는 CVD 장치를 예로 들어 플라즈마 처리 장치(1)를 설명한다. 플라즈마 처리 장치(1)는, 기밀하게 유지된 내부에 웨이퍼(W)를 플라즈마 처리하는 처리 용기(10)을 갖고 있다. 처리 용기(10)는, 상면이 개구된 대략 원통 형상이며, 예를 들어 알루미늄 등의 금속으로 형성되어 있다. 이 처리 용기(10)는, 접지되어 있다.
처리 용기(10)의 저부에는, 웨이퍼(W)를 적재하는 서셉터(11)가 설치되어 있다. 서셉터(11)는, 절연체(12a)를 개재해서 지지 부재(12)에 의해 지지되고, 처리 용기(10)의 저부에 설치되어 있다. 이에 의해, 서셉터(11)는, 전기적으로 처리 용기(10)와는 절연된 상태로 되어 있다. 서셉터(11) 및 지지 부재(12)의 재료로서는, 표면을 알루마이트 처리(양극 산화 처리)한 알루미늄 등을 들 수 있다.
서셉터(11)에는, 정합기(13)를 통하여 바이어스용 고주파 전원(14)이 접속되어 있다. 고주파 전원(14)은 서셉터(11)에 바이어스용 고주파 전력을 인가한다. 이에 의해, 웨이퍼(W)측에 플라즈마 중의 이온이 인입된다. 또한, 도시하지 않았지만, 서셉터(11)에는, 웨이퍼(W)를 정전 흡착하기 위한 정전 척, 온도 제어 기구, 웨이퍼(W)의 이면에 열전달용 가스를 공급하기 위한 가스 유로, 웨이퍼(W)를 반송할 때 승강하는 승강 핀 등이 설치되어도 된다.
처리 용기(10)의 저부에는 배기구(15)가 형성되고, 배기구(15)에는 진공 펌프를 포함하는 배기 장치(16)가 접속되어 있다. 배기 장치(16)를 작동시키면, 처리 용기(10)의 내부가 배기되어, 처리 용기(10) 내가 원하는 진공도까지 감압된다. 또한, 처리 용기(10)의 측벽에는, 반입출구(17)가 형성되고, 반입출구(17)를 개폐 가능한 게이트 밸브(18)의 개폐에 의해, 웨이퍼(W)가 반입출된다.
서셉터(11)의 상방에는, 처리 용기(10) 내에 가스를 공급하면서, 마이크로파의 공급이 가능한 플라즈마 발생용 안테나(20)(이하, 간단히 「안테나(20)」라고 함)가 장착되어 있다. 안테나(20)는 처리 용기(10) 상부의 개구를 막도록 설치되어 있다. 이에 의해, 서셉터(11)와 안테나(20) 사이에 플라즈마 공간 U가 형성된다. 안테나(20)의 상부에는, 마이크로파를 전송하는 마이크로파 전송 기구(30)가 연결되어, 마이크로파 출력부(40)로부터 출력된 마이크로파를 안테나(20)에 전달하도록 되어 있다.
마이크로파 출력부(40)는, 예를 들어 도 2에 도시한 바와 같이, 마이크로파용 전원(41), 마이크로파 발진기(42), 증폭기(43) 및 증폭된 마이크로파를 복수로 분배하는 분배기(44)를 갖고 있다. 마이크로파용 전원(41)은, 마이크로파 발진기(42)에 대하여 전력을 공급한다. 마이크로파 발진기(42)는, 예를 들어 860㎒의 소정 주파수의 마이크로파를 PLL 발진시킨다. 증폭기(43)는, 발진된 마이크로파를 증폭한다. 분배기(44)는 마이크로파의 손실이 가능한 한 일어나지 않도록, 입력측과 출력측의 임피던스 정합을 취하면서, 증폭기(43)에서 증폭된 마이크로파를 분배한다.
마이크로파 전송 기구(30)는 분배기(44)에서 분배된 마이크로파를 처리 용기(10) 내로 유도하는 복수의 안테나 모듈(50)과 마이크로파 도입 기구(51)를 갖고 있다. 또한, 도 2에서는, 마이크로파 전송 기구(30)가 2개의 안테나 모듈(50)과 2개의 마이크로파 도입 기구(51)를 구비하고 있는 상태를 모식적으로 도시하고 있지만, 본 실시 형태에서는, 예를 들어 도 3에 도시한 바와 같이, 마이크로파 전송 기구(30)는 안테나 모듈(50)을 예를 들어 7개 갖고 있으며, 6개의 안테나 모듈(50)이 동일 원주 위에, 그 중심에 1개의 안테나 모듈(50)이 안테나(20)의 상부에 배치되어 있다.
안테나 모듈(50)은, 위상기(52), 가변 게인 증폭기(53), 메인 증폭기(54) 및 아이솔레이터(55)를 갖고 있고, 마이크로파 출력부(40)로부터 출력된 마이크로파를 마이크로파 도입 기구(51)로 전송한다.
위상기(52)는, 마이크로파의 위상을 변화시키도록 구성되고, 이것을 조정함으로써 마이크로파의 방사 특성을 변조시킬 수 있다. 이에 의하면, 지향성을 제어해서 플라즈마 분포를 변화시킬 수 있다. 또한, 이러한 방사 특성의 변조가 불필요한 경우에는 위상기(52)는 설치할 필요는 없다.
가변 게인 증폭기(53)는, 메인 증폭기(54)에 입력하는 마이크로파의 전력 레벨을 조정하여, 플라즈마 강도의 조정을 행한다. 메인 증폭기(54)는 솔리드 스테이트 앰프를 구성한다. 솔리드 스테이트 앰프는, 도시하지 않은 입력 정합 회로, 반도체 증폭 소자, 출력 정합 회로 및 고Q 공진 회로를 갖는 구성으로 할 수 있다.
아이솔레이터(55)는 안테나(20)에서 반사하여 메인 증폭기(54)로 되돌아오는 마이크로파의 반사파를 분리하는 것으로, 써큐레이터와 더미로드(동축 종단기)를 갖고 있다. 써큐레이터는 안테나(20)에서 반사한 마이크로파를 더미로드로 유도하고, 더미로드는 써큐레이터에 의해 유도된 마이크로파의 반사파를 열로 변환한다.
이어서, 마이크로파 도입 기구(51) 및 플라즈마 발생용 안테나(20)의 구성에 대해서 도 4를 참조하면서 설명한다. 도 4는 본 실시 형태에 따른 마이크로파 도입 기구(51) 및 안테나(20)의 예를 들어 왼쪽 절반의 구성의 개략을 확대해서 나타낸 종단면도이다.
마이크로파 도입 기구(51)는, 동축 관(60) 및 지파판(70)을 갖고 있다. 동축 관(60)은, 통형상의 외부 도체(60a) 및 그 중심에 설치된 막대 형상의 내부 도체(60b)로 이루어지는 동축형의 도파관을 갖고 있다. 동축 관(60)의 하단에는, 지파판(70)을 개재해서 안테나(20)가 설치되어 있다. 동축 관(60)은, 내부 도체(60b)가 급전측으로 되고, 외부 도체(60a)가 접지측으로 되어 있다. 동축 관(60)에는, 튜너(80)가 설치되어 있다. 튜너(80)는, 예를 들어 2개의 슬래그(80a)를 갖고, 슬래그 튜너를 구성하고 있다. 슬래그(80a)는 유전 부재의 판상체로서 구성되어 있고, 동축 관(60)의 내부 도체(60b)와 외부 도체(60a) 사이에 원환 형상으로 설치되어 있다. 튜너(80)는, 후술하는 제어부(500)로부터의 명령에 기초하여, 도시하지 않은 구동 기구에 의해 슬래그(80a)를 상하 이동시킴으로써, 동축 관(60)의 임피던스를 조정하도록 되어 있다.
지파판(70)은, 동축 관(60)의 하면에 인접해서 설치되어 있다. 지파판(70)은, 원판 형상의 유전체 부재로부터 형성되어 있다. 지파판(70)은, 동축 관(60)을 따라 전송되어 온 마이크로파를 투과하여, 안테나(20)로 유도한다.
안테나(20)는 샤워 플레이트(100)를 갖고 있다. 샤워 플레이트(100)는, 지파판(70)의 하면에 인접해서 설치되어 있다. 샤워 플레이트(100)는, 지파판(70)보다 직경이 큰 대략 원반 형상이며, 알루미늄이나 구리 등의 전기 전도율이 높은 도전체에 의해 형성되어 있다. 샤워 플레이트(100)의 저면은, 처리 용기(10)의 플라즈마 공간 U측에 노출되고, 노출된 저면에 표면파를 전파시킨다. 여기에서는, 샤워 플레이트(100)의 금속 저면이 플라즈마 공간 U측에 노출되어 있다. 이렇게 노출된 하면에 전파하는 표면파를 이하, 금속 표면파라고 한다.
샤워 플레이트(100)의 하면이며, 동축 관(60)의 하방에 대응하는 위치에는, 하방, 즉 플라즈마 공간 U측으로 돌출된 수하 부재(101)가 설치되어 있다. 수하 부재(101)는, 원형의 저면 형상을 갖는 대략 원추대 형상이며, 샤워 플레이트(100)와 마찬가지로, 알루미늄이나 구리 등의 전기 전도율이 높은 도전체에 의해 형성되어 있다. 따라서, 수하 부재(101)의 전위도, 처리 용기(10)와 마찬가지로 접지 전위로 되어 있다. 수하 부재(101)의 외측면은, 예를 들어 그 상단면으로부터 하단면을 향해서 점차 외측으로 퍼지는, 예를 들어 샤워 플레이트(100)와 수하 부재(101)의 외측면의 접점을 원점으로 하는 포물선 형상을 갖고 있다.
샤워 플레이트(100)는, 대략 원반 형상의 상부 플레이트(110)와, 마찬가지로 대략 원반 형상의 하부 플레이트(120)를 상하로 겹친 구성으로 되어 있다. 상부 플레이트(110)에는, 그 상면을 관통하고, 당해 상부 플레이트(110)의 직경 방향으로 가스를 유통시키는 가스 유로(130)가 형성되어 있다. 가스 유로(130)에는, 제1 가스를 공급하는 제1 가스 공급원(131)이 공급관(132)을 통하여 접속되어 있다. 또한, 제1 가스는, 복수 종류의 가스여도 되고, 또한 그들의 혼합 가스여도 된다. 제1 가스로서는, 원료 가스로서의 예를 들어 모노실란 가스(SiH4) 등이 사용된다.
상부 플레이트(110)의 하부이며 수하 부재(101)의 외측면보다 내측의 위치에는, 가스 유로(130)에 연통하는 복수의 제1 가스 공급구(133)가 연직 상방으로 연신해서 형성되어 있다. 또한, 상부 플레이트(110)의 제1 가스 공급구(133)와는 다른 위치에는, 마이크로파를 통과시키는 마이크로파 방사 구멍으로서의 슬롯(220)이 복수 형성되어 있다. 또한, 상기 슬롯(220)의 중심으로부터 연직 하방을 향해서 그은 가상선이, 수하 부재(101)의 외측면과 교차하도록, 수하 부재(101)의 형상과 슬롯(220)의 배치가 설정되어 있는 것이 바람직하다. 바꾸어 말하면, 평면에서 볼 때, 슬롯(220)의 중심이, 수하 부재(101) 하면의 외주 단부보다 내측에 위치하도록, 슬롯(220)이 배치되어 있는 것이 바람직하다.
하부 플레이트(120)에 있어서의, 상부 플레이트(110)의 각 제1 가스 공급구(133)에 대응하는 위치에는, 그 하부 플레이트(120)를 상하 방향으로 관통하는 관통 구멍(150)이 각각 형성되어 있다. 이에 의해, 제1 가스 공급구(133)로부터 공급되는 제1 가스는 관통 구멍(150)을 통해서 하부 플레이트(120)의 하단면에 도달할 수 있다. 또한, 하부 플레이트(120)에 있어서의, 상부 플레이트(110)의 슬롯(220)에 대응하는 위치에는, 상부 플레이트(110)와 마찬가지로, 슬롯(220)이 형성되어 있다.
수하 부재(101)에 있어서 각 관통 구멍(150)의 하단에 대응하는 위치에는, 도 5에 도시한 바와 같이, 상방으로 오목한 오목부(160)가 각각 형성되어 있다. 오목부(160)는 대략 원기둥 형상이며, 예를 들어 반경이 대략 1 내지 2㎜, 높이가 대략 7 내지 10㎜로 설정되어 있다. 오목부(160)의 형상의 설정 방법에 대해서는 후술한다. 수하 부재(101)의 내부이며, 각 관통 구멍(150)의 하단에 대응하는 위치에는, 그 수하 부재(101)의 상단면으로부터 오목부(160)에 연통하는 관통 구멍(161)이 각각 형성되어 있다. 관통 구멍(161)과 오목부(160) 사이에는, 관통 구멍(161)이나 오목부(160)보다 직경이 작은 개구를 갖는 오리피스부(162)가 형성되어 있다. 바꾸어 말하면, 오리피스부(162)의 단면적은, 관통 구멍(161)이나 오목부(160)보다 작게 설정되어 있다. 또한, 본 실시 형태에서는, 오리피스부(162)의 개구 직경은 대략 0.3㎜ 정도로 설정되어 있지만, 오리피스부(162)의 개구의 직경 값은 본 실시 형태의 내용에 한정되는 것은 아니고, 처리 용기(10) 내의 플라즈마 공간 U로부터 관통 구멍(161)으로 가스가 역확산되지 않을 정도의 차압을 확보할 수 있는 것이면, 임의로 설정할 수 있다.
또한, 수하 부재(101)는, 도 4에 도시한 바와 같이, 하부 플레이트(120)의 하단으로부터 연직 하방에 소정의 길이 L만큼 돌출되어 설치되어 있다. 따라서, 제1 가스 공급원(131)으로부터 가스 유로(130)에 공급된 제1 가스는, 이 복수의 관통 구멍(161)을 통해서, 하부 플레이트(120)보다 소정의 길이 L만큼 낮은 위치로부터 처리 용기(10)의 플라즈마 공간 U에 도입된다.
하부 플레이트(120)에는, 그 측면을 관통하고, 그 하부 플레이트(120)의 직경 방향으로 가스를 유통시키는 가스 유로(140)가 형성되어 있다. 가스 유로(140)에는, 제2 가스를 공급하는 제2 가스 공급원(141)이 공급관(142)을 통하여 접속되어 있다. 제2 가스로서는, 플라즈마 발생용의 예를 들어 질소 가스, 아르곤 가스, 수소 가스, 또는 이들 가스를 혼합한 가스 등이 사용된다. 또한, 가스 유로(140)를 유통하는 제2 가스와 가스 유로(130)를 유통하는 제1 가스가 샤워 플레이트(100) 내에서 혼합되는 일이 없도록, 가스 유로(140)는 가스 유로(130)와는 완전히 독립해서 설치되어 있다.
하부 플레이트(120)의 하부이며 수하 부재(101)의 외측면보다 외측의 위치이고 또한 슬롯(220)과는 다른 위치에는, 가스 유로(140)에 연통하는 복수의 제2 가스 공급구(151)가 연직 상방으로 연신해서 형성되어 있다. 제2 가스 공급원(141)으로부터 가스 유로(140)에 공급된 제2 가스는 각 제2 가스 공급구(151)를 통해서, 하부 플레이트(120)의 하면으로부터 처리 용기(10)의 플라즈마 공간 U에 도입된다. 또한, 도 4에서는, 샤워 플레이트(100)는, 상부 플레이트(110)와 하부 플레이트(120)에 의해 구성되어 있는, 제1 가스의 가스 유로(130) 및 제2 가스의 가스 유로(140)가 독립되어 형성되고, 샤워 플레이트(100)의 내부에서 가스가 섞이지 않는 구성으로 되어 있다면, 샤워 플레이트(100)를 어떻게 구성할지에 대해서는 본 실시 형태에 한정되는 것이 아니고, 임의로 설정이 가능하다.
상술한 복수의 슬롯(220)은, 가스의 공급 경로인 가스 유로(130, 140), 복수의 제1 가스 공급구(133), 제2 가스 공급구(151) 및 관통 구멍(150, 161)과는 다른 위치에 설치되고, 샤워 플레이트(100)를 두께 방향으로 관통하고 있다. 슬롯(220)의 일단은, 지파판(70)에 인접하고, 타단은, 처리 용기(10)의 플라즈마 공간 U측에 개구되어 있다. 마이크로파는, 동축 관(60)을 전파하고, 지파판(70)을 투과한 후, 복수의 슬롯(220)을 통하여 처리 용기(10) 내에 방사된다. 또한, 슬롯(220)의 내부를 석영 등의 유전체로 채우는 구조로 해도 된다.
제2 가스 공급구(151)의 직경은, 처리 용기(10) 내에 방사된 마이크로파가 제2 가스 공급구(151)의 내부에 들어가지 않는 크기로 되어 있다. 본 실시 형태에서는, 예를 들어 0.6㎜이다. 또한, 슬롯(220)과 제1 가스 공급구(133), 제2 가스 공급구(151) 및 관통 구멍(150, 161)과는 샤워 플레이트(100) 내에서 완전히 분리되어 있다. 이에 의해, 제1 가스 공급구(133), 제2 가스 공급구(151)나 관통 구멍(150, 161)에서의 이상 방전을 방지할 수 있다.
또한, 지파판(70), 상부 플레이트(110) 및 하부 플레이트(120)의 접촉면은, 각각 도시하지 않은 O링에 의해 시일되어 있다. 이에 의해, 처리 용기(10)나 슬롯(220) 내부를 진공 상태로 함과 함께, 샤워 플레이트(100) 내에서 제1 가스와 제2 가스가 혼합되는 것을 피할 수 있다.
또한, 샤워 플레이트(100)의 플라즈마측에 노출된 면, 즉 하부 플레이트(120)의 하면 및 수하 부재(101)의 표면은, 용사에 의해 예를 들어 알루미나(Al2O3)나 이트리아(Y2O3)의 피막(도시하지 않음)으로 덮여 있어도 된다. 그에 의해, 도체면이 플라즈마 공간측에 노출되지 않도록 해도 된다.
도 6은 샤워 플레이트(100), 수하 부재(101), 하부 플레이트(120)와, 제1 가스 공급구(133) 및 수하 부재(101)에 형성된 관통 구멍(161) 및 오목부(160)와의 개략적인 위치 관계의 일례를 나타내는 것이며, 수하 부재(101) 근방의 단면을 비스듬히 하방에서 본 상태를 나타낸 사시도이다. 예를 들어 도 6에 도시한 바와 같이, 수하 부재(101)에 형성된 오목부(160) 및 관통 구멍(161)은, 그 수하 부재(101)의 중앙부 근방에 동심원 형상으로 복수 배치되어 있다. 또한, 도 6에서는, 1개의 동심원 상에만 오목부(160), 관통 구멍(161) 및 제1 가스 공급구(133)를 형성함과 함께, 관통 구멍(150), 슬롯(220)에 대해서는, 그 기재를 생략한 상태를 도시하고 있다. 또한, 상술한 바와 같이, 제1 가스 공급구(133)는, 관통 구멍(161)에 대응하는 위치에 형성되어 있다. 그 때문에, 본 실시 형태에 있어서는, 제1 가스 공급구(133)도, 예를 들어 도 6에 도시한 바와 같이 수하 부재(101)와 동심원 형상의 배치로 되어 있다.
이어서, 샤워 플레이트(100) 및 수하 부재(101) 근방의 구성에 대해서, 본 발명의 원리와 맞추어서 설명한다. 마이크로파를 사용한 플라즈마 처리에 있어서, 웨이퍼(W)에 성막할 때 원료 가스로서 사용되는, 예를 들어 모노실란(SiH4)을 SiH3로 분해하기 위해서는, 약 8.75eV 이상의 에너지가 필요하게 된다. 그 한편으로, 플라즈마 발생용 가스로서 사용되는, 예를 들어 질소 가스는, 그 결합 에너지가 약 9.91eV이다. 즉, 질소 가스를 여기해서 질소 플라즈마나 질소 라디칼을 생성하기 위해서는, 약 9.91eV 이상의 에너지를 부여할 필요가 있다. 따라서, 이러한 경우의 마이크로파 플라즈마 처리에 있어서 안테나(20)로 공급하는 마이크로파의 출력은, 보다 높은 에너지, 즉 플라즈마 발생용 가스를 여기하기 위한 에너지를 기준으로 해서 결정된다. 여기서, 금속 표면파를 사용한 마이크로파 플라즈마 처리(특히 에바네센트파를 응용한 표면파에 의한 플라즈마 처리)에 있어서는, 통상 안테나(20)의 하단면 근방, 예를 들어 안테나(20)의 하면으로부터 대략 5㎜ 이내의 영역은, 안테나(20)의 하면으로부터 대략 5㎜ 이상 이격된 영역과 비교해서 전자 온도가 높아진다.
또한, 본 발명자들이 조사한 바, 전자 온도는, 샤워 플레이트(100)에 형성된 슬롯(220)의 근방에서 특히 높아지는 것이 확인되었다. 도 7에 슬롯(220)이 외주부에 형성된 샤워 플레이트(100) 근방에 있어서의 전자 온도의 분포를 나타낸다. 도 7의 종축은, 처리 용기(10) 내에 있어서의 높이, 횡축은 동축 관(60)의 중심축으로부터의 수평 방향의 거리이다. 또한, 도 7에서는, 슬롯(220)의 중심이 동축 관(60)의 중심축으로부터 대략 35㎜ 이격 되어 있는 경우의 전자 온도 분포에 대해서 도시하고 있다. 또한, 도 7에 나타내는 파선은, 전자 온도가 1eV로 되는 경계선이다. 도 7에서는, 상기 파선보다 슬롯(220) 근처의 영역 X(슬롯(220)을 중심으로 해서, 대략 반경 35㎜ 정도의 영역)에서는 전자 온도가 1eV보다 높게 되어 있고, 슬롯(220)의 근방이 고전자 온도의 영역이 되어 있는 것을 나타내고 있다. 즉, 상기 영역 X에 있어서는 플라즈마 발생용 가스 및 원료 가스가 활발하게 전리된다. 그 때문에, 종래와 같이, 샤워 플레이트로부터 플라즈마 발생용 가스로서 질소 가스와, 원료 가스로서 모노실란 가스 양쪽을 공급하면, 질소 가스는 전자 온도가 높은 영역 X에 있어서는 분해되어 질소 이온, 질소 원자 라디칼, 질소 원자로 되지만, 전자 온도가 낮은 영역에서는 에너지가 충분하지 않기 때문에, 반응성이 높은 원자 형상 질소는 거의 생성되지 않는다. 그 한편으로, 모노실란 가스는, 영역 X의 외측에 있어서도 SiH3로 분해되지만, 전자 온도가 높아지는 영역 X에 있어서 SiH2, SiH가 많이 생성되기 때문에, 이 영역 X에서 SiH2, SiH가 과잉으로 생성되어 실리콘이 성막되어, 샤워 플레이트의 가스 공급구에 퇴적되어 버렸다.
반응 생성과 기상 반응에 의한 퇴적물을 억제하기 위해서는 안테나(20)에 공급하는 마이크로파의 출력을 낮추고, 그에 의해 영역 X에 있어서의 전자 온도를 저하시키면 된다. 그러나, SiH2, SiH의 과잉 생성을 방지하는 것을 목적으로 해서 마이크로파의 출력을 낮추면, 플라즈마 발생용 가스를 분해하기 위한 소정의 전자 온도가 얻어지지 않는다. 그 때문에, 마이크로파의 출력을 낮추는 것에도 한계가 있다.
따라서, 본 발명자들은, 가스 공급구에 퇴적되는 불필요한 반응 생성과 기상 반응에 의한 퇴적물을 억제하기 위해서, 샤워 플레이트(100)로부터 공급되는 원료 가스를, 전자 온도가 높은 영역 X를 통과시키지 않고 처리 용기(10) 내에 도입하는 방법에 대해서 예의 검토하였다. 단, 종래와 같이 처리 용기(10)의 측벽으로부터 처리 용기(10) 내에 원료 가스를 공급하면, 처리 용기(10) 내의 가스 흐름을 제어하는 것이 곤란해져서, 균일한 플라즈마를 얻을 수 없다.
따라서 본 발명자들은, 샤워 플레이트(100)의 내부에서 플라즈마 발생용 가스와 원료 가스가 혼합되지 않도록 각각 개별로 가스 유로(130, 140)를 설치하고, 또한 플라즈마 발생용 가스를 영역 X 또는 영역 X의 근방에 공급하고, 그 한편으로 원료 가스를 영역 X로부터 이격된 장소에 각각 공급하면, 원료 가스의 과잉 분해를 피할 수 있고 또한 플라즈마 발생용 가스를 효율적으로 여기할 수 있는 점에 착상하였다. 그리고 이 착상에 기초하여, 예를 들어 도 4에 도시한 바와 같은 수하 부재(101)를, 샤워 플레이트(100)의 하단에 설치하는 것에 상도하였다.
수하 부재(101)를, 샤워 플레이트(100)의 하단에 설치함에 있어서, 본 발명자들은 우선, 샤워 플레이트(100) 근방의 전계 강도에 대해서 조사하였다. 도 8 및 도 9에, 슬롯(220)이 외주부에 형성된 샤워 플레이트(100) 근방의 전계 강도의 분포 및 그 방향에 대해서 나타낸다. 도 8은 샤워 플레이트(100)만의 경우, 도 9는 샤워 플레이트(100)의 하단에 수하 부재(101)를 설치한 경우의 전계 강도 분포를 나타내고 있다. 도 8, 도 9의 삼각형의 크기는 전계 강도의 강도, 삼각형의 방향은 전계의 방향을 각각 나타내고 있다. 도 8에 도시한 바와 같이 수하 부재(101)를 설치하지 않은 샤워 플레이트(100)에 있어서는, 전계는 주로 하방으로 향하고 있지만, 수하 부재(101)를 설치함으로써, 도 9에 도시한 바와 같이, 수하 부재(101)의 외측면 근방에서 횡방향의 전계 강도가 높아지는 것이 확인되었다. 이것으로부터, 수하 부재(101)의 외측면 근방에서 고전자 온도가 얻어지는 것으로 추정된다. 이것은, 수하 부재(101)의 외측면이 상단부로부터 하단부를 향해서 외측으로 퍼지고 있으므로, 그 수하 부재(101)의 외측면에서 마이크로파가 횡방향이나 경사진 상방향으로 반사되어, 수하 부재(101)의 외측면 근방에 있어서 고에너지의 상태가 형성되어 있는 것이 원인이라고 생각된다.
이어서, 샤워 플레이트(100)에 수하 부재(101)를 설치한 경우의, 샤워 플레이트(100) 근방의 전자 온도 분포를 도 10에 나타낸다. 또한, 도 10에 있어서도 동축 관(60)의 중심축과 슬롯(220)의 중심까지의 거리는, 도 7의 경우와 마찬가지로 대략 35㎜이며, 수하 부재(101)의 하면 반경은 대략 45㎜이다. 수하 부재(101)를 설치함으로써, 전자 온도가 1eV 이상으로 되는 영역 X는, 슬롯(220)의 근방에 또한 수하 부재(101)의 외측면에 분포하고, 수하 부재(101)의 하면에서는, 전자 온도는 대략 1eV 이하로 되는 것을 도 10에서 확인할 수 있다. 이것은, 상술한 바와 같이, 수하 부재(101)의 외측면이 상단부로부터 하단부를 향해서 외측으로 퍼지고 있으므로, 그 수하 부재(101)의 외측면에서 마이크로파가 횡방향이나 경사진 상방향으로 반사되고, 그에 따라 수하 부재의 외측면 근방에 있어서의 전계 강도가 높아지는 것이 원인이라고 추정된다.
따라서, 도 4에 도시한 바와 같이, 제2 가스 공급구(151)를 수하 부재(101)의 외측면의 외측에 배치하고, 제1 가스 공급구(133) 및 관통 구멍(150, 161)을 수하 부재(101)의 외측면보다 내측에 배치함으로써, 한쪽에서는, 영역 X에 플라즈마 발생용 가스를 집중적으로 공급하고, 다른 쪽에서는, 분해하기 쉬운 원료 가스를, 영역 X를 통과시키지 않고 처리 용기(10) 내에 도입할 수 있다. 이러한 경우, 원료 가스가 영역 X에서 과잉으로 분해되는 것을 억제할 수 있으므로, 원료 가스에 의한 전구체의 생성을 억제하여, 관통 구멍(161)이나 제2 가스 공급구(151)가 폐색되는 것을 방지할 수 있다.
또한, 수하 부재(101)를 설치한 경우의 샤워 플레이트(100) 근방의 전자 밀도에 대해서도 확인한 바, 도 11에 도시한 바와 같이, 슬롯(220)의 근방에 또한 수하 부재(101)의 외측면 근방에 고밀도의 영역이 형성되어 있는 것이 확인되었다. 이러한 점에서도, 영역 X는 고에너지의 상태로 되어 있고, 그 영역 X에 있어서 플라즈마 발생용 가스가 효율적으로 여기되는 것을 확인할 수 있다.
여기서, 예를 들어 도 10에서 확인할 수 있듯이, 전자 온도가 높은 영역 X는, 수하 부재(101)의 외측면으로부터 외측 방향으로 분포되어 있고, 예를 들어 수하 부재를 하방에서 본 경우에, 수하 부재(101) 저면의 외측의 위치에도 영역 X가 분포되어 있다. 그 때문에, 제2 가스 공급구(151)는, 반드시 수하 부재(101)의 외측면의 근방에 형성할 필요는 없고, 영역 X에 면한 위치에 형성되어 있으면 된다. 또한, 본 발명자들에 의하면, 예를 들어 본 실시 형태와 같이, 수하 부재(101)의 외측면이, 샤워 플레이트(100)의 하부 플레이트(120)와 수하 부재(101)의 접점을 원점으로 하는 포물선 형상을 갖는 경우, 그 포물선 형상의 초점 근방의 영역에서 고에너지 상태로 되는 것이 확인되었다. 이러한 경우, 제2 가스 공급구(151)는 포물선 형상의 초점 근방의 영역에 면한 위치에 형성하는 것이 바람직하다.
또한, 하부 플레이트(120)에 돌기물인 수하 부재(101)를 설치함으로써, 그 수하 부재(101)에도 표면파가 전파함으로써, 플라즈마 공간 U에 있어서의 균일한 플라즈마의 생성이 저해될 가능성이 있다. 그 때문에, 수하 부재(101)의 길이 L은, 최대로도 처리 용기(10) 내에 도입하는 마이크로파의 파장 이하로 하는 것이 바람직하고, 파장의 1/2 이하로 하는 것이 더욱 바람직하다. 본 발명자들에 의하면, 이와 같이 수하 부재(101)의 길이 L을 설정함으로써, 수하 부재(101)에서의 표면파의 전파를 억제하여, 처리 용기(10) 내에 안정적으로 플라즈마를 생성할 수 있는 것이 확인되었다. 본 실시 형태에서는, 파장이 348.6㎜인 860㎒의 마이크로파를 사용하므로, 수하 부재(101)의 길이 L은 대략 10㎜ 내지 60㎜의 범위에서 설정하는 것이 바람직하고, 20㎜ 내지 40㎜의 범위에서 설정하는 것이 보다 바람직하다.
또한, 본 발명자들에 의하면, 수하 부재(101)의 하면의 표면파 플라즈마에 의해, 수하 부재(101)의 하면 근방에서의 전자 온도가 1eV보다 높아지는 것이 확인되었다. 이러한 경우, 오리피스부(162)를 수하 부재(101)의 하단면에 형성하면, 그 오리피스부(162)의 근방에서 모노실란 가스에 전자가 충돌해서 SiH2나 Si가 생성되거나, 예를 들어 질소 가스 등의 플라즈마 생성용 가스의 라디칼이 충돌함으로써 SiN이 생성되거나 해서, 반응 생성물이 발생되어 버린다. 그렇게 하면, 오리피스부(162)에 반응 생성물이 성막, 퇴적하여, 오리피스부(162)가 폐색되어 버린다.
따라서 본 발명자들은, 예를 들어 도 6에 도시한 바와 같이, 수하 부재(101)의 하단면에, 상방으로 오목한 오목부(160)를 형성하고, 그 상방에 오리피스부(162)를 설치하도록 하였다. 바꾸어 말하면, 오리피스부(162)와 수하 부재(101)의 하단면 사이에 소정의 거리를 확보하도록 하였다. 그리고, 수하 부재(101)는 접지 전위로 되어 있으므로, 오목부(160)로의 표면파의 진입을 억제함으로써, 오리피스부(162) 근방에서의 전자 온도를 억제하거나, 오리피스부(162) 근방에의 전자나 라디칼 등의 도달을 억제하거나 할 수 있다. 그 결과, 오리피스부(162)에의 반응 생성물의 성막, 퇴적을 억제하여, 오리피스부(162), 즉 제1 가스 공급구(133)의 막힘을 방지할 수 있다.
또한, 오목부(160)의 크기를 결정함에 있어서, 본 발명자들은, 오목부(160)의 높이를 3 내지 10㎜의 범위에서, 반경을 1 내지 3㎜의 범위에서 변화시켜서, 오목부(160)의 상단부 근방(오리피스부(162)의 하단부 근방)에 있어서의 전자 온도가 어떻게 변화될지를 시험에 의해 확인하였다. 그 때, 수하 부재(101)의 길이 L은, 대략 16㎜로 하였다. 그 결과, 오목부(160)의 반경을 대략 1㎜로 한 경우에는, 오목부(160)의 높이를 대략 3 내지 7㎜로 한 경우, 상단부에서의 전자 온도는 대략 0.9eV 내지 0.95eV였다. 또한, 오목부(160)의 반경을 대략 2㎜로 한 경우에는, 오목부(160)의 높이를 대략 5 내지 10㎜로 한 경우, 오목부(160)의 상단부에서의 전자 온도는 대략 0.71 내지 0.81eV였다. 또한, 오목부(160)의 반경을 대략 3㎜로 한 경우에는, 오목부(160)의 높이를 대략 5㎜로 한 경우, 오목부(160)의 상단부에서의 전자 온도는 대략 1.0eV였다. 따라서, 이 시험의 결과로부터, 오목부(160)의 반경은 1 내지 3㎜이 범위로 하는 것이 바람직하고, 2㎜ 정도로 하는 것이 보다 바람직하다. 또한, 오목부(160)의 높이는 3 내지 10㎜ 정도로 하는 것이 바람직하고, 5㎜ 내지 7㎜ 정도로 하는 것이 보다 바람직하다. 본 실시 형태에 따른 플라즈마 처리 장치(1)는 이상과 같은 지견에 기초하는 것이다.
또한, 플라즈마 처리 장치(1)에는, 도 1에 도시한 바와 같이 제어부(500)가 설치되어 있다. 제어부(500)는, 예를 들어 컴퓨터이며, 프로그램 저장부(도시하지 않음)를 갖고 있다. 프로그램 저장부에는, 플라즈마 처리 장치(1)에 있어서의 웨이퍼(W)의 처리를 제어하는 프로그램이 저장되어 있다. 또한, 상기 프로그램은, 예를 들어 컴퓨터 판독 가능한 하드 디스크(HD), 플렉시블 디스크(FD), 콤팩트 디스크(CD), 마그네트 옵티컬 디스크(MO), 메모리 카드 등의 컴퓨터에 판독 가능한 기억 매체에 기록되어 있던 것으로서, 그 기억 매체로부터 제어부(500)에 인스톨된 것이어도 된다.
본 실시 형태에 따른 플라즈마 처리 장치(1)는 이상과 같이 구성되어 있고, 이어서 플라즈마 처리 장치(1)를 사용해서 행해지는 처리에 대해서, 웨이퍼(W)에 질화 실리콘막을 형성하는 경우를 예로 들어 설명한다.
먼저, 웨이퍼(W)를 처리 용기(10) 내로 반입하고, 서셉터(11) 위에 적재한다. 그리고, 제2 가스 공급원(141)으로부터, 플라즈마 발생용 가스로서 질소 가스, 아르곤 가스 및 수소 가스를 혼합한 가스를 샤워 플레이트(100)의 하부 플레이트(120)를 통해서 처리 용기(10) 내에 도입한다. 계속해서, 마이크로파가 마이크로파 출력부(40)로부터 출력되고, 마이크로파 전송 기구(30) 및 지파판(70), 슬롯(220)을 통해서 처리 용기(10) 내에 마이크로파가 도입된다. 이에 의해, 안테나(20) 및 수하 부재(101) 표면에 형성된 금속 표면파에 의해 표면파 플라즈마가 생성된다. 이때, 슬롯(220)이 형성된 수하 부재(101)의 외측면 근방의 영역 X는 고에너지의 상태로 되어 있으므로, 당해 영역 X에 형성된 제2 가스 공급구(151)로부터 공급되는 플라즈마 발생용 가스는, 이 영역 X에서 고에너지에 의해 여기되어, 효율적으로 질소 라디칼이 생성된다. 그와 함께, 제1 가스 공급원(131)으로부터, 원료 가스로서의 모노실란 가스가 제1 가스 공급구(133), 관통 구멍(150, 161)을 통해서 처리 용기(10) 내에 도입된다.
처리 용기(10) 내에 도입된 모노실란 가스는, 플라즈마에 의해 여기되어 SiH3로 분해된다. 이때, 모노실란 가스는 수하 부재(101)의 저면으로부터 처리 용기(10)의 플라즈마 공간 U에 도입되므로, 모노실란 가스는 전자 온도가 높은 영역 X를 통과하는 일이 없다. 그 결과, 과잉의 SiH3에 의한 반응 생성과 기상 반응이 억제된다. 또한, 오목부(160)에 의해 오리피스부(162) 근방의 전자 온도가 억제되므로, 오리피스부(162) 근방에서의 반응 생성물의 발생이 억제된다.
그리고, 질소 라디칼 및 SiH3는, 샤워 플레이트(100)로부터 웨이퍼(W)를 향하는 연직 하방의 가스 흐름에 수반하여 웨이퍼(W)의 표면에 도달하여, 웨이퍼(W) 상면에 질화 실리콘으로서 퇴적한다. 이에 의해, 웨이퍼(W)의 상면에 질화 실리콘막이 형성된다.
이상의 실시 형태에 따르면, 수하 부재(101)의 외측면보다 내측에 제1 가스 공급구(133)가 형성되어 있기 때문에, 제1 가스가, 샤워 플레이트(100)에 형성된 슬롯(220) 근방의 전자 온도가 높은 영역 X를 통과하는 일이 없다. 따라서, 모노실란 가스가 표면파 플라즈마에 의해 과잉으로 분해되는 것을 피할 수 있다. 그 결과, 샤워 플레이트(100)를 사용해서 웨이퍼(W)에 플라즈마 처리를 실시함에 있어서, 샤워 플레이트(100)의 관통 구멍(161)이나 제2 가스 공급구(151)와 같은 가스 구멍에 반응 생성과 기상 반응에 의한 퇴적물, 본 실시 형태에서는 실리콘막이 성막되는 것을 억제할 수 있다.
이에 더하여, 수하 부재(101)의 하단면에는, 상방으로 오목한 오목부(160)가 형성되고, 오리피스부(162)를 갖는 관통 구멍(161)은 오목부(160)의 상방에 형성되어 있기 때문에, 오리피스부(162)와 수하 부재(101)의 하단면 사이에 소정의 거리를 확보할 수 있다. 그리고, 수하 부재(101)는 접지 전위로 되어 있으므로, 오목부(160)에의 표면파의 진입을 억제함으로써, 오리피스부(162) 근방에서의 전자 온도를 억제하거나, 오리피스부(162) 근방에의 전자나 라디칼 등의 도달을 억제하거나 할 수 있다. 그 결과, 오리피스부(162)에의 반응 생성물의 성막, 퇴적을 억제하여, 오리피스부(162), 즉 제1 가스 공급구(133)의 막힘을 방지할 수 있다.
또한, 수하 부재(101)의 외측면이 포물선 형상을 갖고 또한 슬롯(220)의 중심으로부터 연직 하방을 향해서 그은 가상선과 이 포물선이 교차하도록 수하 부재(101)의 형상과 슬롯(220)의 배치가 설정되어 있으므로, 그 수하 부재(101)의 외측면에서 마이크로파가 횡방향이나 경사진 상방향으로 반사된다. 그 때문에, 수하 부재(101)의 외측면 근방에 있어서의 전계 강도가 높아져서, 수하 부재(101)의 외측면에 고에너지 상태의 영역 X가 형성된다. 그 결과, 제2 가스 공급구로부터 공급되는 제2 가스는, 영역 X에 있어서 효율적으로 여기되므로, 효율적으로 플라즈마를 발생시킬 수 있다. 또한, 슬롯(220)과 수하 부재(101)의 위치 관계는, 반드시 본 실시 형태의 내용에 한정되는 것은 아니고, 슬롯(220)이 수하 부재(101) 외측면의 포물선 형상의 외측에 위치하고 있더라도, 슬롯(220)으로부터 도입되는 마이크로파는 수하 부재(101)의 외측면에 의해 반사되므로, 수하 부재(101)의 외측면 근방에 전계 강도가 높은 영역을 형성할 수 있다.
또한, 본 실시 형태에 따르면, 샤워 플레이트(100)의 하면에 수하 부재(101)를 설치함으로써, 예를 들어 도 9에 도시한 바와 같이, 수하 부재(101)의 외측면 근방에서 횡방향의 전계 강도가 높아진다. 여기서, 수하 부재(101)를 설치하지 않은 종래의 샤워 플레이트에 있어서는, 예를 들어 도 8에 도시한 바와 같이, 그 샤워 플레이트로부터 횡방향에의 전계의 확대가 크지 않고, 동축 관(60)의 하방에 대응하는 영역의 전계 강도는, 그 이외의 영역의 전계 강도보다 상대적으로 높아지는 경향이 있었다. 그 결과, 처리 용기 내의 전계 강도가 불균일해져서, 플라즈마 처리의 균일성에는 한계가 있었다. 이러한 점에서, 본 실시 형태와 같이 수하 부재(101)를 설치함으로써, 횡방향의 전계 강도를 높여서, 종래보다 전계 강도 분포를 균일화할 수 있다. 따라서, 본 실시 형태에 따른 플라즈마 처리 장치(1)에 따르면, 종래보다 균일성이 높은 플라즈마 처리를 행할 수 있다.
또한, 하부 플레이트(120)의 하면 근방은 표면파 플라즈마에 의해 고온이 되기 때문에, 가스 유로(140) 내를 유통하는 가스도 이 플라즈마의 열에 의해 온도 상승한다. 그 결과, 가스 유로(140) 내의 가스의 내부 에너지가 증가하여, 표면파 플라즈마에 의해 분해하기 쉬운 상태로 된다. 따라서, 분해하기 어려운 가스, 즉 이 경우에는 플라즈마 발생용 가스를 가스 유로(140) 내에 유통시키면, 표면파 플라즈마에 의한 분해를 촉진할 수 있다. 따라서, 플라즈마 발생용 가스를 공급하는 제2 가스 공급원(141)은 하부 플레이트(120)의 가스 유로(140)에 접속하는 것이 바람직하다.
또한, 이상의 실시 형태에서는, 제1 가스 공급구(133)는, 수하 부재(101)에 대응하는 위치에만 형성되어 있었지만, 제1 가스 공급구(133)는, 수하 부재(101)에 대응하는 위치 이외에 형성되어 있어도 되고, 예를 들어 도 12에 도시한 바와 같이, 샤워 플레이트(100)의 하면에, 제2 가스 공급구(151)와 제1 가스 공급구(133)가 대략 등간격으로 되도록 배치해도 된다. 또한, 하부 플레이트(120)의 제1 가스 공급구(133)에 대응하는 위치에는, 관통 구멍(150)이 형성된다. 이러한 경우, 하부 플레이트(120) 하면의 전자 온도가 높은 영역을 원료 가스인 제1 가스가 통과함으로써, 반응 생성과 기상 반응에 의한 퇴적물이 제2 가스 공급구(151)나 관통 구멍(150)과 같은 가스 구멍을 막는 것을 방지하기 때문에, 관통 구멍(150)의 하단부는 소정의 길이의 공급 노즐(200)을 설치해도 된다. 또한, 도 12에서는, 공급 노즐(200)의 길이는 수하 부재(101)의 길이 L과 동등한 상태를 도시하고 있지만, 공급 노즐(200)의 길이는 본 실시 형태의 내용에 한정되는 것은 아니고, 예를 들어 상술한 바와 같이 샤워 플레이트(100)의 하면으로부터 대략 5㎜ 이내의, 전자 온도가 비교적 높은 영역을 통과하는 길이라면 임의로 설정할 수 있다. 또한, 돌기물인 공급 노즐(200)을 설치함으로써, 그 공급 노즐(200)에도 표면파가 전파해서 공진을 일으켜서, 플라즈마 공간 U에 있어서의 균일한 플라즈마의 생성이 저해될 가능성이 있다. 그 때문에, 공급 노즐(200)의 길이는, 처리 용기(10) 내에 도입하는 마이크로파의 파장 1/16 내지 3/16 정도, 보다 바람직하게는 1/8 정도로 하는 것이 바람직하다.
또한, 이상의 실시 형태에서는, 수하 부재(101)의 외측면은 포물선 형상을 갖고 있었지만, 수하 부재(101)의 형상은, 본 실시 형태의 내용에 한정되는 것은 아니고, 외측면이, 상단부로부터 하단부를 향해서 외측으로 퍼지는 형상이면, 임의로 설정이 가능하다. 예를 들어, 도 13에 도시한 바와 같이, 외측면이 직선 형상으로 형성된, 대략 원추대 형상의 수하 부재(300)를 사용해도 되고, 예를 들어 도 14에 도시한 바와 같이, 외측면의 접선 방향이 서서히 경사 방향으로부터 연직 방향으로 변화하는 대략 2차 곡선 형상인 수하 부재(310)를 사용해도 된다. 본 발명자들에 의하면, 수하 부재(101)의 외측면이, 상단부로부터 하단부를 향해서 외측으로 퍼지는 형상을 갖고 있으면, 수하 부재(101)의 외측면에서 마이크로파가 횡방향이나 경사진 상방향으로 반사되므로, 수하 부재(101)의 외측면 근방에 있어서 고에너지의 상태를 형성할 수 있다.
이상의 실시 형태에서는, 수하 부재(101) 내부에 상하 방향으로 관통하는 관통 구멍(161)을 형성하고 있었지만, 관통 구멍(161)은 예를 들어 경사 방향으로 연신되어 있어도 되고, 예를 들어 모노실란 가스와 같은 원료 가스가 과잉으로 분해되지 않도록, 영역 X와 면하지 않는 위치에 형성되어 있으면, 그 형상은 임의로 설정할 수 있다. 또한, 예를 들어 도 15에 도시한 바와 같이, 수하 부재(101)의 내부에, 하부 플레이트(120)의 관통 구멍(150)과 연통하는 가스실(101a)을 형성하고, 그 가스실(101a)의 하방에 관통 구멍(161)을 형성하도록 해도 된다. 또한, 도 15에서는, 오리피스부(162)에 대해서는 그 기재를 생략하고 있다.
이상의 실시 형태에서는, 상부 플레이트(110)의 가스 유로(130) 및 하부 플레이트(120)의 가스 유로(140)에 각각 하나의 공급관(132, 142)을 통해서 제1 가스 공급원(131), 제2 가스 공급원(141)을 접속했지만, 예를 들어 가스 유로(130), 가스 유로(140)를 각각 독립된 환 형상으로 동심원 형상의 유로로 하고, 각각의 가스 유로에 복수의 공급관(132) 및 공급관(142)을 복수 설치하고, 각 유로에 공급하는 가스의 유량을 제어하도록 해도 된다. 그렇게 함으로써, 하부 플레이트(120)의 각 영역마다 가스의 공급량을 제어하는 것이 가능하게 되고, 예를 들어 전계 강도 분포에 대응해서 원료 가스나 플라즈마 발생용 가스의 공급량을 제어하여, 웨이퍼(W)에 대해서 보다 균일한 플라즈마 처리를 행할 수 있다.
특히, 종래와 같이 수하 부재(101)를 갖지 않은 샤워 플레이트(100)를 사용하여, 원료 가스로서 모노실란 가스를 처리 용기(10) 내에 공급하는 경우, 샤워 플레이트(100) 하면에서 원료 가스가 과잉으로 분해되기 때문에, SiH3의 생성량을 제어하는 것이 곤란했지만, 본 발명에서는 수하 부재(101)를 통해서 모노실란 가스를 공급함으로써, 과잉의 SiH3의 생성을 억제할 수 있다. 따라서, 모노실란 가스의 공급량을 제어함으로써 용이하게 SiH3의 생성량을 조정할 수 있고, 이에 의해, 웨이퍼(W) 상의 성막량을 제어하는 것이 가능하게 된다. 이러한 경우, 또한 공급관(132) 및 공급관(142)을 복수 설치해서 하부 플레이트(120)의 소정의 영역마다 가스의 공급량을 제어함으로써, 각 영역마다 더욱 엄밀하게 질소 라디칼과 SiH3의 생성량을 조정할 수 있으므로, 웨이퍼(W)에 대해서 보다 균일한 플라즈마 처리를 실시하는 것이 가능하게 된다
이상 실시 형태에서는, 오목부(160)는 원기둥 형상을 갖고 있었지만, 오목부(160)의 형상은, 수하 부재(101)의 상방으로 오목한 형상이면 임의로 설정이 가능하며, 예를 들어 도 16에 도시한 바와 같이, 환 형상의 슬릿 형상을 갖는 오목부(250)여도 된다. 이러한 경우도, 오목부(250)의 폭 및 높이를 적절하게 설정함으로써, 오리피스부(162)에의 반응 생성물의 성막, 퇴적을 억제할 수 있는 것이 확인되었다.
또한, 수하 부재(101)의 하단면에, 예를 들어 도 17에 도시한 바와 같이, 하방으로 연신하는 부가 수하 부재(260)를 더 설치하고, 그 부가 수하 부재(260)의 하단면에, 상방으로 오목한 오목부(261)를 형성하도록 해도 된다.
이상, 첨부 도면을 참조하면서 본 발명의 바람직한 실시 형태에 대해서 상세하게 설명했지만, 본 발명은 이러한 예에 한정되지 않는다. 본 발명이 속하는 기술의 분야에 있어서의 통상의 지식을 갖는 자라면, 특허 청구 범위에 기재된 기술적 사상의 범주에 있어서, 각종 변경예 또는 수정예에 상도할 수 있는 것은 명확하며, 이들에 대해서도, 당연히 본 발명의 기술적 범위에 속하는 것이라고 이해된다.
1 : 플라즈마 처리 장치
10 : 처리 용기
11 : 서셉터
12 : 지지 부재
13 : 정합기
14 : 고주파 전원
30 : 마이크로파 전송 기구
40 : 마이크로파 출력부
50 : 안테나 모듈
100 : 샤워 플레이트
101 : 수하 부재
110 : 상부 플레이트
120 : 하부 플레이트
130 : 가스 유로
133 : 제1 가스 공급구
140 : 가스 유로
151 : 제2 가스 공급구
160 : 오목부
161 : 관통 구멍
220 : 슬롯
500 : 제어 장치
U : 플라즈마 공간
W : 웨이퍼
X : 영역

Claims (5)

  1. 처리 용기 내에 제1 가스와 제2 가스를 공급하는 샤워 플레이트를 구비한 플라즈마 발생용 안테나를 갖고, 마이크로파의 공급에 의해 상기 샤워 플레이트 표면에 형성된 표면파에 의해 플라즈마를 형성하여 기판을 처리하는 플라즈마 처리 장치로서,
    상기 샤워 플레이트의 하단면으로부터 하방으로 돌출되는, 도전체에 의해 구성된 수하 부재를 갖고,
    상기 수하 부재의 외측면은, 상단부로부터 하단부를 향해서 외측으로 퍼지고,
    상기 샤워 플레이트는, 상기 처리 용기 내에 제1 가스를 공급하는 복수의 제1 가스 공급구와 제2 가스를 공급하는 복수의 제2 가스 공급구를 구비하고,
    상기 수하 부재의 하단면에는, 상방으로 오목한 오목부가 형성되고,
    상기 수하 부재의 내부에는, 상기 수하 부재의 상단면으로부터 상기 오목부에 연통하는 관통 구멍이 형성되고,
    상기 제1 가스 공급구는, 상기 수하 부재의 외측면보다 내측에 배치되고 또한 상기 관통 구멍에 접속되고,
    상기 제2 가스 공급구는, 상기 수하 부재의 외측면보다 외측에 배치되고,
    상기 관통 구멍에는, 상기 관통 구멍보다 단면적이 작은 오리피스부가 형성되고,
    상기 수하 부재의 전위는, 접지 전위이며,
    상기 샤워 플레이트에는, 상기 처리 용기 내에 마이크로파를 방사하는 마이크로파 방사 구멍이 형성되고,
    상기 마이크로파 방사 구멍은, 평면에서 볼 때, 상기 수하 부재의 외주 단부보다 내측에 위치하도록 배치되어 있는 플라즈마 처리 장치.
  2. 제1항에 있어서,
    상기 수하 부재의 외측면은, 아래를 향해서 점차 외측으로 퍼지는 포물선 형상인, 플라즈마 처리 장치.
  3. 제1항 또는 제2항에 있어서,
    상기 제1 가스는, 상기 제2 가스보다, 플라즈마에 의해 분해되기 쉬운 가스인, 플라즈마 처리 장치.
  4. 제3항에 있어서,
    상기 제1 가스는 원료 가스이며, 상기 제2 가스는 플라즈마 생성용 가스인, 플라즈마 처리 장치.
  5. 삭제
KR1020150176074A 2014-12-18 2015-12-10 플라즈마 처리 장치 KR101851436B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014256294A JP6404111B2 (ja) 2014-12-18 2014-12-18 プラズマ処理装置
JPJP-P-2014-256294 2014-12-18

Publications (2)

Publication Number Publication Date
KR20160074403A KR20160074403A (ko) 2016-06-28
KR101851436B1 true KR101851436B1 (ko) 2018-04-23

Family

ID=56128760

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150176074A KR101851436B1 (ko) 2014-12-18 2015-12-10 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US10443130B2 (ko)
JP (1) JP6404111B2 (ko)
KR (1) KR101851436B1 (ko)
TW (1) TWI681073B (ko)

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN108878248B (zh) * 2017-05-16 2020-03-17 东京毅力科创株式会社 等离子体处理装置
JP7058485B2 (ja) * 2017-05-16 2022-04-22 東京エレクトロン株式会社 プラズマ処理装置
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
KR20200131432A (ko) 2019-05-14 2020-11-24 삼성전자주식회사 샤워 헤드 어셈블리 및 이를 갖는 플라즈마 처리 장치
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN114717627B (zh) * 2021-01-04 2024-04-19 善统工业股份有限公司 用于金属物件阳极处理的治具
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115305458B (zh) * 2022-10-10 2023-02-03 中微半导体设备(上海)股份有限公司 一种气体分配件、气体输送装置及其薄膜处理装置

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0622981Y2 (ja) * 1985-06-19 1994-06-15 株式会社芝浦製作所 プラズマ発生装置
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
JP3650025B2 (ja) * 2000-12-04 2005-05-18 シャープ株式会社 プラズマプロセス装置
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP4025030B2 (ja) * 2001-04-17 2007-12-19 東京エレクトロン株式会社 基板の処理装置及び搬送アーム
JP3969081B2 (ja) 2001-12-14 2007-08-29 東京エレクトロン株式会社 プラズマ処理装置
JP3914071B2 (ja) * 2002-03-12 2007-05-16 東京エレクトロン株式会社 プラズマ処理装置
US20030178143A1 (en) * 2002-03-25 2003-09-25 Applied Materials, Inc. Plasma reactor with plural independently driven concentric coaxial waveguides
US20060137613A1 (en) * 2004-01-27 2006-06-29 Shigeru Kasai Plasma generating apparatus, plasma generating method and remote plasma processing apparatus
JP4532897B2 (ja) 2003-12-26 2010-08-25 財団法人国際科学振興財団 プラズマ処理装置、プラズマ処理方法及び製品の製造方法
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP4503356B2 (ja) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
JP5082229B2 (ja) * 2005-11-29 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080303744A1 (en) * 2007-06-11 2008-12-11 Tokyo Electron Limited Plasma processing system, antenna, and use of plasma processing system
DE102008010041A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5520455B2 (ja) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 プラズマ処理装置
JP5253932B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
EP2356672B1 (en) * 2008-12-04 2018-09-12 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements
TWI556309B (zh) * 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
US20130137273A1 (en) * 2011-11-28 2013-05-30 Infineon Technologies Ag Semiconductor Processing System
US9552966B2 (en) * 2011-12-12 2017-01-24 Tokyo Electron Limited Antenna for plasma generation, plasma processing apparatus and plasma processing method
KR101854738B1 (ko) 2012-01-09 2018-06-20 주성엔지니어링(주) 박막 증착 장치, 플라즈마 발생 장치, 및 박막 증착 방법
US8747610B2 (en) * 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
JP6008611B2 (ja) * 2012-06-27 2016-10-19 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
US9275840B2 (en) 2014-01-25 2016-03-01 Yuri Glukhoy Method for providing uniform distribution of plasma density in a plasma treatment apparatus
US9657845B2 (en) * 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method

Also Published As

Publication number Publication date
JP6404111B2 (ja) 2018-10-10
US10443130B2 (en) 2019-10-15
TW201636452A (zh) 2016-10-16
US20160177448A1 (en) 2016-06-23
JP2016119325A (ja) 2016-06-30
KR20160074403A (ko) 2016-06-28
TWI681073B (zh) 2020-01-01

Similar Documents

Publication Publication Date Title
KR101851436B1 (ko) 플라즈마 처리 장치
KR101831576B1 (ko) 플라즈마 처리 장치
KR101736070B1 (ko) 플라즈마 처리 장치 및 샤워 플레이트
JP5438205B2 (ja) プラズマ処理装置用の天板及びプラズマ処理装置
US9991097B2 (en) Plasma processing apparatus
WO2006009213A1 (ja) プラズマ処理装置
JP6700118B2 (ja) プラズマ成膜装置および基板載置台
KR20120112261A (ko) 플라즈마 처리 장치 및 플라즈마 발생용 안테나
JP4910396B2 (ja) プラズマ処理装置
KR101902112B1 (ko) 플라즈마 처리 장치 및 가스 도입 기구
CN115881503A (zh) 等离子体处理装置和盖构件
JP2018006256A (ja) マイクロ波プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant