TW201135835A - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
TW201135835A
TW201135835A TW099146308A TW99146308A TW201135835A TW 201135835 A TW201135835 A TW 201135835A TW 099146308 A TW099146308 A TW 099146308A TW 99146308 A TW99146308 A TW 99146308A TW 201135835 A TW201135835 A TW 201135835A
Authority
TW
Taiwan
Prior art keywords
electrode
plate
processing apparatus
plasma
plasma processing
Prior art date
Application number
TW099146308A
Other languages
English (en)
Other versions
TWI518774B (zh
Inventor
Shinji Himori
Daisuke Hayashi
Akitaka Shimizu
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201135835A publication Critical patent/TW201135835A/zh
Application granted granted Critical
Publication of TWI518774B publication Critical patent/TWI518774B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201135835 六、發明說明: 【發明所屬之技術領域】 本發明是有關在內部藉由電漿來實施的電漿處理之電 漿處理裝置。更詳細是有關具有用以控制被消費於電漿的 生成之高頻的電場強度分布的電極構造之電漿處理裝置。 【先前技術】 藉由電漿的作用在被處理體上實施蝕刻或成膜等的微 細加工的裝置,有電容耦合型(平行平板型)電漿處理裝 置、感應耦合型電媛處理裝置、微波電漿處理裝置等被實 用化。其中,平行平板型電漿處理裝置是在對向的上部電 極及下部電極的至少其中之一施加高頻電力,藉由其電場 能量來激發氣體而產生電漿,藉由所被產生的放電電漿來 微細加工被處理體。 近年來隨著更微細化的要求,供給具有較高頻率的電 力,產生高密度電漿是不可欠缺的。如圖9所示,一旦從 高頻電源9 1 5供給的電力的頻率變高,則高頻的電流會藉 由集膚效應(Skin Effect)來傳播於下部電極910的表面 ,從端部往中央部來傳播於下部電極9 1 0的上部表面。若 根據此,則下部電極9 1 0的中心側的電場強度會比下部電 極910的端部側的電場強度高,在下部電極910的中心側是 氣體的電離或解離要比端部側還被促進。此結果,下部電 極9 1 0的中心側的電漿的電子密度是比端部側的電漿的電 子密度高。由於在電漿的電子密度高的下部電極910的中 201135835 心側是電漿的電阻率會變低,因此在對向的上部電極905 也會高頻的電流集中於上部電極905的中心側,而使得電 漿密度的不均一更高。 針對於此,爲了提高電漿的均一性,提案在電極本體 的下部中心埋設平坦的電介體(例如參照專利文獻1 )。 若根據此,則可藉由圖2 ( c)所示的電介體920a的作用, 可在電介體920a的下方使電場強度分布E/Emax降低。 而且,爲了更提高電漿的均一性,如圖2(b)所示也 有將電介體920形成傾斜狀的方法被提案。藉此,在電介 體920的端部是電容成分比中心部大,所以比圖2 ( c )設 置平坦的電介體時,在電介體920的端部,電場強度分布 E/Emax不會過度降低》此結果,可更提高電場強度分布 E/Emax的均一性。 [先行技術文獻] [專利文獻] [專利文獻1]特開2004-363 552號公報 【發明內容】 (發明所欲解決的課題) 但,在基材埋入傾斜狀的電介體920時,電介體920與 基材的接合是使用接著劑或螺絲。此時,基材905是由金呂 等的金屬所形成,電介體920是由陶瓷等所形成,因此會 產生線熱膨脹差。若考量此點,則有必要在構件間設置適 當的間隙。 -6 - 201135835 可是,一旦電介體920爲傾斜狀,則會因機械加工上 的精度,在傾斜部分的尺寸精度會變差。此結果’會產生 熱膨脹差所造成的應力集中。加上因接合界面的尺寸公差 的不均或電介體的厚度的不同所產生熱傳導差也會發生應 力集中。接合界面的接著劑會藉此應力集中而剝離。如此 一來,剝離後的接著劑會從間隙出來而使得腔室內產生 '污 染。 有鑑於上述問題,本發明是以提供一種可控制被消費 於電漿生成的高頻的電場強度分布之電漿處理裝置爲目的 (用以解決課題的手段) 爲了解決上述課題,若根據本發明的某觀點,則可提 供一種電漿處理裝置,係具備: 處理容器,其係於內部電漿處理被處理體; 對向電極及施加電極,其係於前述處理容器的內部彼 此對向,且在其間形成處理空間;及 筒頻電源’其係被連接至目ij述施加電極,對前述處理 容器內輸出高頻電力, 前述對向電極及前述施加電極的至少其中任一個係具 備 基材’其係由金屬所形成;及 電介體,其係於內部埋設金屬的板電極之狀態下被嵌 入前述基材。 201135835 若根據此,則可想像流動於金屬的板電極的金屬表面 的電流量是與流動於基材的金屬表面的電流量大致相同。 此結果,由電漿可見基材與板電極爲一體》藉此,位於與 板電極的電漿側相反側的電介體的部分是等於不存在。亦 即,由電漿來看,電介體之中位於板電極的背面側的部分 是藉由板電極所遮斷。因此,板電極被控制於接地電位時 ,在電介體205的端部側是電容成分比中心側大。此結果 ,在電介體的端部,電場強度分布E/Em ax不會過度降低, 可取得均一的電場強度分布。藉此,可取得與圖2(b)所 示使電介體形成傾斜狀時類似的效果。 前述板電極可於前述電介體的內部彼此取間隔來層疊 複數片。 前述複數片的板電極可被圖案化。 前述複數片的板電極可具有對於前述電介體的中心呈 同心圓狀大小不同的開口。 前述複數片的板電極的開口可形成越位於電漿生成空 間側的板電極越大。 可更具備驅動機構,其係具有驅動部,藉由驅動該驅 動部來控制與前述複數片的板電極的各個的物理性連接或 電性連接。 前述驅動機構可藉由驅動作爲前述驅動部的環電極來 控制前述複數片的板電極的各個與前述環電極的物理性連 接或電性連接。 前述驅動機構可藉由不使前述驅動部旋轉地使昇降, -8 - 201135835 或一邊使前述驅動部旋轉一邊使昇降,或不使昇降地使旋 轉,來控制與前述複數片的板電極的各個的物理性連接或 電性連接。 前述複數片的板電極可由同一金屬所形成。 前述板電極的薄板電阻率可爲2Ω/□以下》 前述電介體的電漿生成空間側的面的至少一部分可從 前述基材露出。 前述電介體的電漿生成空間側的面可藉由熱噴塗所覆 蓋。 埋入有前述板電極的電極可爲上部電極,在前述上部 電極中貫通複數的氣體導入管。 在前述上部電極的電介體上方可設有與前述複數的氣 體導入管連通,擴散氣體的氣體擴散部。 埋入有前述板電極的電極可爲下部電極,在設於前述 下部電極的靜電吸盤機構之金屬電極的下方,於前述下部 電極的電介體中埋設有前述板電極。 爲了解決上述課題,若根據本發明的別的觀點,則可 提供一種電漿處理裝置用的電極,係藉由被施加的高頻電 力,從氣體生成電漿,利用所被生成的電漿來電漿處理被 處理體, 前述電極爲彼此對向且在其間形成電漿處理空間之對 向電極及施加電極的其中至少任一個, 具備: 基材,其係由金屬所形成;及 -9 - 201135835 電介體,其係於內部埋設金屬的板電極之狀態下被嵌 入前述基材。 [發明的效果] 如以上說明那樣,若根據本發明,則可在電漿處理裝 置中控制被消費於電漿生成之高頻的電場強度分布。 【實施方式】 以下,一邊參照附圖,一邊詳細說明有關本發明的各 實施形態。另外,在以下的說明及附圖中,有關具有同一 構成及機能的構成要素是附上同一符號,藉此省略重複說 明。 (電漿處理裝置的全體構成) 首先’一邊參照圖1 —邊說明有關本發明之一實施形 態的電漿處理裝置的全體構成。在圖1中顯示將本發明之 一實施形態的電極使用於上部電極之R I E電漿蝕刻裝置( 平行平板型電漿處理裝置)。RIE電漿蝕刻裝置10是對被 處理體實施所望的電漿處理的電漿處理裝置之一例。 RIE電漿蝕刻裝置1〇是具有可減壓的處理容器10〇。處 理容器100是由小徑的上部腔室l〇〇a及大徑的下部腔室 l〇〇b所形成。處理容器1〇〇是例如由鋁等的金屬所形成, 且被接地。 在處理容器的內部對向配設有上部電極105及下部電 -10- 201135835 極110,藉此構成一對的平行平板電極。晶圓w是由閘閥V 來搬入至處理容器100的內部’載置於下部電極110。在上 部電極105及下部電極1 10間的電漿生成空間,導入處理氣 體而藉由高頻電力的功率來生成電漿。晶圓W是藉由該電 漿來鈾刻處理。 上部電極105是具有:上部基材105a、及在上部基材 105 a正上方與上部基材l〇5a—起形成淋浴頭的氣體擴散部 (導電體的基板)l〇5b。在上部基材105a中有複數的氣體 導入管貫通。氣體是從氣體供給源116供給,在氣體擴散 部l〇5b擴散後,從形成於氣體擴散部l〇5b的複數個氣體通 路,通過上部基材105 a的氣體導入管而通到複數個氣孔 105c,導入至處理容器內。 在上部電極105設有開口於上部的環狀溝部105d,在 溝部l〇5d設有環電極l〇5e。環電極l〇5e是被配置於大氣側 ,可藉由配置於大氣空間的馬達105 f的動力來昇降。含環 電極105e的驅動機構是藉由被適當配置之未圖示的絕緣構 件來與其他的構件絕緣。另外,有關上部電極105的構造 會在往後詳述。 下部電極110是由鋁等的金屬所形成的下部基材ll〇a 會隔著絕緣層1 l〇b來被支撐台1 10c所支撐。藉此’下部電 極1 1 0是形成電性浮起的狀態。支撐台1 1 〇c的下方部分是 被罩115所包覆。在支撐台110c的下部外周設有擋板120, 控制氣體的流動。 在下部電極110設有冷媒室llOal,從冷媒導入管 -11 - 201135835 1 10a2的入口側導入的冷媒會循環於冷媒室1 Wa〗’從冷媒 導入管1 1 0 a 2的出口側排出。藉此,將下部電極1 1 〇控制於 所望的溫度。 下部電極110正上方的靜電吸盤機構125是在絕緣構件 12 5 a中埋入有金屬薄板構件125b。在金屬薄板構件l25b連 接直流電源1 3 5,從直流電源1 3 5輸出的直流電壓會被施加 於金屬薄板構件125b,藉此晶圓W會被靜電吸附於下部電 極110。在靜電吸盤機構125的外周設有例如以矽所形成的 聚焦環1 3 0,達成維持電漿的均一性之任務。 下部電極110是經由第1給電棒140來連接至第1整合器 145及第1高頻電源150。處理容器內的氣體是藉由從第1高 頻電源15 0輸出的高頻的電場能量來激發,利用藉此生成 之放電型的電漿來對晶圓W|f施蝕刻處理。 下部電極110且經由從第1給電棒140分岐的第2給電棒 155來連接至第2整合器160及第2高頻電源165。從第2高頻 電源165輸出之例如3.2 MHz的高頻是作爲偏壓電壓來使用 於往下部電極1 10之離子的引入。 在處理容器100的底面設有排氣口 170,藉由驅動連接 至排氣口 170的排氣裝置175,可將處理容器100的內部保 持於所望的真空狀態。 在上部腔室l〇〇a的周圍配置有多極環磁石180a、180b 。多極環磁石180a、180b是複數的異方性段柱狀磁石被安 裝於環狀的磁性體的外殼,以鄰接的複數的異方性段柱狀 磁石彼此間的磁極的方向形成彼此逆向的方式配置。藉此 -12- 201135835 ,磁力線會被形成於鄰接的扇形磁石(Segment Magnet ) 間,只在上部電極105與下部電極110之間的處理空間的周 邊部形成有磁場,作用成可將電漿關在處理空間裡。 另外,在以上說明的本實施形態的電漿處理裝置中, 施加電極爲下部電極110,對向電極爲上部電極105,但高 頻電力是可施加於上部電極105或施加於下部電極110,施 加電極可爲上部電極105,對向電極可爲下部電極110。 (電極構造) 以下,一邊參照圖2 ( a ) —邊詳細說明本實施形態的 電極構造。上部電極105是如前述般,具有上部基材l〇5a 及氣體擴散板l〇5b。上部基材105 a是由板狀的金屬所形成 的基材之一例。 上部基材105 a的溝部105 d是被挖成環狀。在上部基材 105 a的中央部,溝部105d的內側嵌入有圓柱狀的平坦電介 體205。電介體205之電漿被生成的一側的面是自上述基材 l〇5a露出。電介體205是由石英、陶瓷等的介電物質所形 成。 在電介體205的內部埋設有3片的金屬的板電極210a、 210b、210c。板電極210a、210b、210c是在電介體205的 內部彼此取間隔層疊。在此是板電極的層疊數爲3片,但 並非限於此,亦可爲1片,2片或4片。 板電極210a、210b、210c是被圖案化。亦即,3片的 板電極210a、210b、2 10c是具有對於電介體205的中心呈 -13- 201135835 同心圓狀大小不同的開口。各板電極的開口是形成越位於 電漿生成空間側的板電極越大。藉此,可在電介體205的 中央部對板電極210a、210b、210c附上階差。 板電極210a、210b、210c只要是金屬即可,使用哪種 的素材皆可。例如,可使用碳、鋁、鎢等於板電極。板電 極210a、210b、210c皆是由同一金屬所形成。板電極210a 、210b、210c是在其外緣部具有具厚度的連接器C,構成 可容易與後述的環電極1 〇5e電性耦合。將板電極埋入至電 介體的製造方法之一例,例如可考量在石英的電介體205 中分別夾入碳的板電極210a、210b、210c,且予以壓接的 方法。 (驅動機構) 設於溝部〗〇5d的環電極105e是藉由圖1所示的馬達 1 ()5 f的動力來昇降。藉此,環電極105 e與各板電極210a、 2 10b、210c的電性連接狀態會被控制。另外,環電極105e 及馬達105 f是驅動機構的一例,其中環電極105 e是驅動部 的一例。驅動部的其他例可舉棒狀的電極。 藉由環電極l〇5e的昇降來控制各板電極210a、210b、 210c的連接器C與環電極l〇5e的距離,藉此各板電極210a 、210b、210c的電位會被控制。例如,當環電極105e下降 至上部的板電極210a的附近時,環電極105 e會接近板電極 210a的連接器C,板電極210a與環電極105e會被電性連接 。在本實施形態中,環電極1 〇5e雖未圖示,但實際被保持 -14- 201135835 於接地電位。因此,在本實施形態中,所謂被電性連接是 意指板電極210a接近接地電位,高頻電流流動於板電極 2 l〇a的表皮之狀態。 亦即,當從環電極105e到板電極210a的連接器C爲止 的間隔形成比高頻電力的集膚深度小時,板電極2 1 0a與環 電極105 e會被電性連接。所謂集膚深度(skin depth )是 表示實質上高頻的電流通過導電體的表面部分之表皮的厚 度。因此,像本實施形態那樣,若從環電極1 05e到板電極 的距離比集膚深度小,則高頻的電流會流動於板電極2 1 0a 的表面。另一方面,若從環電極105e到板電極的距離超過 集膚深度,則高頻的電流無法流動於板電極的表面。此結 果,板電極是形成比接地電位高某程度的浮動電位。如此 來控制板電極的電位。 另外,集膚深度是由其次的式子所定義。 δ = (2/ωσμ)Ι/2 在此,ω = 2πί· (f:頻率),σ:導電率,μ:透磁率 然後,一旦環電極105 e下降至中央的板電極21 Ob的附 近,環電極105 e接近板電極21 Ob的連接器C,則板電極 2 l〇a及板電極21 Ob與環電極105 e會被電性連接。因此,在 此狀態下,板電極210a及板電極2 10b會被控制於接地電位 〇 然後,一旦環電極105 e下降至下部的板電極210c的附 近,環電極105e接近板電極210c的連接器C,則板電極 2 10c與環電極105 e會被電性連接。因此,在此狀態下,全 -15- 201135835 部的板電極210a、板電極210b及板電極210c會被控制於接 地電位。 如此一來,爲了控制各板電極的電位,從連接器C的 端部到環電極1 05 e的距離P 1是形成比從板電極之中上部的 板電極210a倒電介體205的上面的距離P2還充分地小。藉 此,迴避板電極210a與電介體205上的上部基材105a的金 屬被電性連接,可將各板電極210a、210b、210c精度佳地 控制於接地電位及浮動電位。 亦即,本實施形態是藉由將距離P2形成比距離P 1還要 充分地長,使產生於板電極210a與上部基材105 a之間(距 離P2部分)的阻抗Z2比產生於環電極105e與各連接器C之 間(距離P 1部分)的阻抗Z 1還要充分地大。在此狀態下, 藉由控制環電極105 e與各連接器C之間的距離P1來將各電 極210a、2 10b、210c控制於接地電位或浮動電位。 又,本實施形態是藉由將板電極的連接器C的部分形 成比板的部分還要厚,使耦合容易。若在環電極105 e與各 連接器C之間的電介體使用介電常數高的物質,則更容易 耦合,可提高各板電極的電位控制的精度。 (板電極與電場強度分布的關係) 其次,說明有關板電極的上述電位控制與電場強度分 布的關係。如圖2 ( c )所示,在上部電極905的中心埋設 平坦的電介體920時,在電極中央的電場強度分布E/Emax 會降低》因爲在高頻的電流流動於上部電極90 5的金屬表 -16- 201135835 面時,藉由對應於設在上部電極905的中心部的電介體920 的容積之電容成分及上部電極905的下面附近的鞘層之電 容成分來產生分壓,在電介體905的下部,於高頻的電場 強度產生分散。 爲了改善電場強度分布,如圖2(b)所示,若將電介 體920形成傾斜狀,則從上部電極900的端部往中央,電場 強度分布E/Emax的均一性會被提高。因爲在電介體920的 端部是電容成分比中心部大,所以比起設置平坦的電介體 920時,在電介體92〇的端部,電場強度分布E/Emax不會過 度降低,可取得均一的電場強度分布。 然而,一旦電介體920爲傾斜狀,則依照機械加工上 的精度,在傾斜部分的尺寸精度會變差。此結果,會產生 熱膨脹差所造成的應力集中,接合界面的接著劑會剝離, 而成爲腔室內的污染的原因。 於是,在本實施形態中,將板電極埋入至電介體205 內,藉此來提高電場強度分布E/Emax的均一性。以下,根 據圖3的模擬結果來詳述有關板電極的配置及其作用和效 果。 模擬條件是將從電介體205的中央到各板電極2 1 0a、 210b、210c的距離(各板電極210a、210b、210c的開口的 半徑)r分別設成40mm、80mm、120mm。另外、電介體 205的半徑是150mm、由石英所形成。 電介體205的厚度a是4、10、16mm設爲可變。當電介 體205的厚度a爲4mm時,鄰接的板電極的中心間的距離b -17- 201135835 (及從電介體上面到上部板電極2 1 0 a的中心的距離b )是 1 m m,從電介體下面到下部板電極2 1 〇 c的中心的距離c是 1mm。板電極的厚度是設定成0.8mm,所以板電極間的距 離成爲0.2mm。 當電介體205的厚度a爲10mm時,鄰接的板電極的中 心間的距離b (及從電介體上面到上部板電極2 1 Oa的中心 的距離b)是3mm,從電介體下面到下部板電極210c的中 心的距離c是1 m m。此情況亦板電極的厚度爲〇 . 8 m m,所以 板電極間的距離成爲2.2mm。 當電介體205的厚度a爲16 mm時,鄰接的板電極的中 心間的距離b (及從電介體上面到上部板電極2 1 Oa的中心 的距離b )是5mm,從電介體下面到下部板電極210c的中 心的距離c是1mm,板電極間的距離是4.2mm。 各板電極210a、210b、210c的薄板電阻率需要形成 2Ω/ □以下的低電阻。藉由使各板電極的外緣部與內緣部 大致形成等電位,可使流動於板電極的金屬表面的電流量 與流動於上部基材105a的金屬表面的電流量大致形成同。 此結果,若由電漿側來看,則上部基材1 0 5 a與各板電極是 可見一體。藉此,位於與各板電極的電漿側相反側的電介 體205的部分是等於不存在。亦即,由電漿來看,電介體 2 05之中位於各板電極的背面側的部分是藉由各板電極所 遮斷。 因此,改變各板電極210a、210b、210c的開口徑,以 可從電漿側來看見板部分的階差之方式配置各板電極,藉 -18- 201135835 此當全部的板電極210a、210b、210c被控制於接地電位時 ,在電介體205的端部側是比中心側更具3階段的階差來變 化電容成分。此結果,如圖3的圖表的GND 4(0.2)(亦即 ,全部的板電極的電位爲接地,電介體的厚度爲4mm,電 極間距離爲〇_2時)、GND 10(2.2)、GND 16(4.2)所示般, 比起圖2(c)之設置平坦的電介體9 20時,在電介體的端 部,電場強度分布E/Emax不會過度降低,可取得均一的電 場強度分布。藉此,根據本實施形態,可取得與圖2 ( b ) 所示使電介體形成傾斜狀時類似的效果。 並且,由圖表的結果可知,在全部的板電極爲大致接 地電位時的圖表的GND 4(0_2)、GND 10(2.2)、GND 16(4.2)是電介體205的厚度越大,使電場強度分布E/Emax 降低的效果越大。 並且,可知在全部的板電極爲浮動電位時的圖表的 GND 4(0.2) ' GND 1 0 (2 · 2 )、GN D 1 6 (4.2 )是比全部的板電 極爲接地電位時,使電場強度分布E/Emax降低的效果小。 但,可知全部的板電極爲接地電位或浮動電位的任一 時,皆是比未被埋設板電極的電介體時(all Qz ),具有 使電場強度分布E/Emax降低的效果。 若根據本實施形態,則當高頻的電流流動於上部電極 側的上部基材105 a的金屬表面時,藉由對應於嵌入上部基 材105 a的電介體2 05的電容,在高頻的能量產生分散。因 此,在電介體表面相較於基材表面,可使高頻的電場強度 分布降低。除此以外,若根據本發明的電極,則在前述電 19- 201135835 介體內埋設有板電極。當高頻的電流是以流動於導電性罩 的金屬表面且在電介體內也流動於板電極的表皮之方式被 電位控制時,位於與各板電極的電漿側相反側的電介體的 部分是等於不存在。藉此,可使高頻的電場強度分布均一 化。 又,若根據本實施形態,則即使上部電極1 05因電漿 而被削去時,板電極210a、210b、210c也不會從電介體 205露出,所以可防止板電極的損傷。並且,藉由在電介 體內埋設板電極210a、210b、210c,可實現對複數片的板 電極附上階差的構造。 板電極210a、210b、210c亦可爲一片,但若像本實施 形態那樣配置複數片,則在製程條件改變而電場強度分布 改變時也可對應。亦即,按照製程條件來作動驅動機構而 使各板電極的電位轉換成接地電位或浮動電位。例如..,當 上部電極105的中央的電場強度非常高時,耦合3個的板電 極,使3個的板電極210a、210b、210c的電位形成接地電 位。另一方面,當中央的電場強度不那麼高時,僅最上部 的板電極210a耦合。如此一來,藉由控制各板電極的電位 狀態,可以板電極彼此間的重疊量來使靜電容量成爲可變 ’因此即使製程條件變化,還是可對應於此來使高頻的電 場強度分布均一化。 (具體的驅動方法) 其次,一邊參照圖4 一邊槪念性地說明有關環電極 -20- 201135835 105e與板電極210a' 210b、210c的連接方式 圖5,6來針對環電極l〇5e的具體驅動方法說 環電極105e與板電極210a、210b、210c 可爲接觸方式或非接觸方式。例如,像前述 的電容器連接方式以外,有圖4(b)的接觸 4(b)的接觸連接方式是不像圖4(a)那樣 、210b、210c的端部需要連接器C,依照環 降狀態,各板電極的端部Q與環電極105 e直 觸,藉此控制與各板電極的物理性連接。藉 電極的電位狀態。 環電極105e的具體驅動方法,可舉(1 l〇5e旋轉地使昇降時、(2) —邊使環電極 使昇降時、(3 )不使環電極105 e昇降地使 情況皆可使用於圖4 ( a )的電容器連接方式 接觸連接方式。 例如,在圖4所示之(1 )的昇降控制月 上的直動引導機構作爲環電極l〇5e,藉由對 傳達動力來使直動引導機構昇降。動力發生 動變換的馬達(例如伺服•步進)以外,可 氣來動作的致動器(Actuator )或電磁螺線 可爲磁性的驅動或電性的驅動。 但,在使用藉由壓縮空氣來動作的致動 制各板電極210a、210b、210c的全連接或全 分連接是無法控制。另一方面,在使用附直 的同時,根據 明幾個例。 的連接方式, 那樣圖4 ( a ) 連接方式。圖 在板電極2 1 0 a 電極1 0 5 e的昇 接接觸或非接 此,控制各板 )不使環電極 1 〇5e旋轉一邊 旋轉時。任一 及圖4 ( b )的 I設置3等配以 直動引導機構 源是除了附直 舉藉由壓縮空 管致動器等。 器時,可只控 非連接,一部 動變換的馬達 -21 - 201135835 或電磁螺線管致動器時,可藉由將環電極105 e分別控制於 3個的位置來控制全連接、板電極210a及板電極21 Ob的連 接、板電極210a的連接之環電極105e的3個位置。(1)的 昇降控制是環電極105 e不旋轉。 在圖5所示之(2)的旋轉昇降控制是在環電極105e的 外周(亦可爲內周)形成螺絲狀的螺旋狀溝,經由滾珠螺 桿機構R來對環電極l〇5e傳達馬達(例如伺服•步進)的 動力,藉此一邊使環電極105 e旋轉,一邊使昇降。若根據 此,則藉由環電極1 0 5 e的位置控制,可全連接、板電極 2 l〇a及板電極210b的連接、僅板電極210a的連接。 在(3 )的旋轉控制是如圖6 ( a ) ( b )所示般,在環 電極105e的內壁側設置凹處105el的同時,在電介體205的 外壁側花瓣狀地形成凹凸。圖6 (a)是省略上部基材105a ,只描繪環電極l〇5e與電介體205的立體圖,圖6(b)是 表示圖6 ( a )的1 -1剖面圖的狀態變化。 環電極105e的內壁側的凹處105el是形成等間隔。並 且,電介體2 05的花瓣狀的突出部2〇5a也形成與凹處l〇5el 同等間隔。藉由對環電極1 〇5 e傳達馬達(例如伺服•步進 )的動力來旋轉環電極105 e,可轉換成電性的連接模式及 非連接模式。 連接模式,如圖6(b)的上部所示般’是在凹處 105el與突出部205a不對向,環電極105e的內壁與突出部 205 a對向的位置使環電極105 e旋轉時。在此連接模式是板 電極與環電極會被電性連接,板電極是形成接地電位。 -22- 201135835 非連接模式,如圖6 ( b )的下部所示般,是在凹處 105 el與突出部205a對向的位置使環電極105e旋轉時。在 此非連接模式是板電極與環電極會形成電性非連接,板電 極是形成浮動電位。 (3 )的旋轉控制是特別適於電容器連接方式。若根 據此,則不限於在(1)的昇降控制及(2)的旋轉昇降控 制是不可能的連接,例如全連接、板電極2 1 0a及板電極 210b的連接、僅板電極210a的連接,甚至板電極210a及板 電極210c的連接或板電極21 Ob及板電極210c的連接也可能 。但,連接點是即使形成均等等配連接,也會有未形成全 周連接的情況。 另外,在(3 )的旋轉控制是環電極105 e不昇降。又 ,亦可將環電極l〇5e或電介體205形成梳齒狀(齒輪狀) ,取代在環電極l〇5e及電介體205形成凹凸。 而且,在以上的(1)〜(3)的控制方法中,環電極 側是旋轉或昇降,但亦可固定環電極側,使電極側旋轉或 昇降。 以上,一邊參照附圖一邊說明有關本發明的較佳實施 形態,但當然本發明並非限於該例。只要是本發明所屬的 技術領域之具有通常的知識者,便可在申請專利範圍所記 載的範疇內想到各種的變更例或修正例,當然該等亦屬於 本發明的技術範圍。 例如,上述實施形態是舉上部電極爲例來進行說明, 但本發明並非限於該例。例如,本發明是板電極亦可設於 -23- 201135835 下部電極。在圖7中顯示將板電極210a、210b、210c埋入 下部電極110的構成。此情況,在設於靜電吸盤機構125的 金屬電極125b的下方,板電極210a、210b、210c會被埋設 於電介體5 0 0。 本發明的上部電極亦可具有圖8所示的構成》在圖8所 示的上部電極是電介體2 0 5’的基材的下面中央以外的表面 全體會被導電性罩3 00所覆蓋。導電性罩300是例如由鋁、 碳、鈦、鎢等的金屬所形成。導電性罩300是藉由熱噴塗 、膠帶或薄板狀構件的貼附、離子鍍敷、電鍍的其中任一 來使密合於電介體205’的基材而形成數十μπι的厚度。若根 據此,則當高頻的電流流動於導電性罩300的金屬表面時 ,藉由對應於位在導電性罩300的開口部的電介體205’的 基材之電容,在高頻的能量產生分散。因此,以電介體 2 〇5’來形成基材時,相較於以金屬來形成基材時,可在導 電性罩300的開口部使高頻的電場強度分布降低。加上, 在電介體205’的基材中埋入板電極210a、210b、210c。藉 此,由電漿來看,位在板電極210a、210b、210c的背面側 的部分是藉由各板電極210a、210b、210 c所遮斷。因此, 當板電極被控制於接地電位時,在電介體2 0 5 ’的端部側是 電容成分比中心側大。此結果,在板電極2 1 0a、2 1 Ob、 21〇c的端部,電場強度分布E/Emax不會過度降低,可取得 均一的電場強度分布。 並且,藉由耐電漿性高的氧化釔來熱噴塗(FC塗層) 基材105a的表面全體,亦可形成表面熱噴塗層310。表面 -24- 201135835 熱噴塗層3 10的厚度是100〜200 μιη程度爲佳。 而且,剝離表面熱噴塗層310後,再熱噴塗,藉此可 簡單地再生電極。 本發明的電漿處理裝置並非限於上述實施形態所示的 平行平板型的電漿處理裝置,可使用於ICP ( Inductively Coupled Plasma)電漿處理裝置等的裝置。又,本發明可 適用於蝕刻處理裝置、CD V處理裝置、太陽電池用的電漿 處理裝置。 又’例如在上述實施形態中,高頻電力是被施加於下 部電極,但在本發明的電漿處理裝置中,亦可在上部電極 及下部電極的其中任一施加高頻電力,或施加於雙方的電 極。 【圖式簡單說明】 圖1是本發明之一實施形態的RIE電漿蝕刻裝置的縱剖 面圖。 圖2 ( a )是同實施形態的上部電極的縱剖面圖,圖2 (b) ( c )是以往的上部電極的縱剖面圖。 圖3是表示使同實施形態的複數層的板電極埋設於上 部電極時的電場強度分布的模擬結果。 圖4是槪念性地顯示同實施形態的驅動機構的連接方 式。 圖5是表示同實施形態的驅動方法之一例圖》 圖6是表示同實施形態的驅動方法的其他例圖。 -25- 201135835 圖7是將同實施形態的複數層的板電極埋設於下部電 極時的縱剖面圖。 圖8是同實施形態的變形例的上部電極的縱剖面圖。 圖9是用以說明施加於一般的電漿裝置的高頻的電流 【主要元件符號說明】 1 0 :電漿蝕刻裝置 100 :處理容器 105 :上部電極 l〇5a :上部基材 l〇5b :氣體擴散部 l〇5c :氣孔 105d :溝部 l〇5e :環電極 105el :凹處 l〇5f :馬達 110 :下部電極 n〇a :下部基材 125 :靜電吸盤機構 150 :第1高頻電源 205 、 500 、 920 :電介體 2〇5a :突出部 210a' 210b、 210c :板電極 -26- 201135835 E/Emax :電場強度分布 C :連接器 -27-

Claims (1)

  1. 201135835 七、申請專利範圍. 1. 一種電漿處理裝置,係具備: 處理容器,其係於內部電漿處理被處理體: 對向電極及施加電極,其係於前述處理容器的內部彼 此對向,且在其間形成處理空間;及 高頻電源,其係被連接至前述施加電極,對前述處理 容器內輸出高頻電力’ 前述對向電極及前述施加電極的至少其中任一個係具 ZjUi · 備· 基材,其係由金屬所形成;及 電介體,其係於內部埋設金屬的板電極之狀態下被嵌 入前述基材。 2. 如申請專利範圍第1項之電漿處理裝置,其中,前 述板電極係於前述電介體的內部彼此取間隔來層疊複數片 0 3 .如申請專利範圍第2項之電漿處理裝置,其中,前 述複數片的板電極係被圖案化。 4. 如申請專利範圍第3項之電漿處理裝置,其中,前 述複數片的板電極係具有對於前述電介體的中心呈同心圓 狀大小不同的開口。 5. 如申請專利範圍第4項之電漿處理裝置,其中,前 述複數片的板電極的開口係越位於電漿生成空間側的板電 極越大。 6 ·如申請專利範圍第2項之電漿處理裝置,其中,更 -28 - 201135835 具備驅動機構,其係具有驅動部,藉由驅動該驅動部來控 制與前述複數片的板電極的各個的物理性連接或電性連接 〇 7. 如申請專利範圍第6項之電漿處理裝置,其中,前 述驅動機構係藉由驅動作爲前述驅動部的環電極來控制前 述複數片的板電極的各個與前述環電極的物理性連接或電 性連接。 8. 如申請專利範圍第6項之電漿處理裝置,其中,前 述驅動機構係藉由不使前述驅動部旋轉地使昇降,或一邊 使前述驅動部旋轉一邊使昇降,或不使昇降地使旋轉,來 控制與前述複數片的板電極的各個的物理性連接或電性連 接。 9 .如申請專利範圍第2項之電漿處理裝置,其中,前 述複數片的板電極係由同一金屬所形成。 1 0 ·如申請專利範圍第1〜9項中的任一項所記載之電 漿處理裝置,其中,前述板電極的薄板電阻率爲2 Ω/□以 下。 1 1 .如申請專利範圍第1〜9項中的任一項所記載之電 獎處理裝置’其中,前述電介體的電漿生成空間側的面的 至少一部分係從前述基材露出。 1 2 ·如申請專利範圍第1〜9項中的任一項所記載之電 漿處理裝置’其中’前述電介體的電漿生成空間側的面係 藉由熱噴塗所覆蓋。 1 3.如申請專利範圍第1〜9項中的任一項所記載之電 -29- 201135835 漿處理裝置,其中,埋入有前述板電極的電極爲上部電極 , 在前述上部電極中貫通複數的氣體導入管。 1 4.如申請專利範圍第1 3項之電漿處理裝置,其中, 在前述上部電極的電介體上方係設有與前述複數的氣體導 入管連通,擴散氣體的氣體擴散部。 1 5.如申請專利範圍第1〜9項中的任一項所記載之電 漿處理裝置,其中,埋入有前述板電極的電極爲下部電極 在設於前述下部電極的靜電吸盤機構之金屬電極的下 方,於前述下部電極的電介體中埋設有前述板電極。 16.—種電漿處理裝置用的電極,係藉由被施加的高 頻電力’從氣體生成電漿,利用所被生成的電漿來電漿處 理被處理體, 前述電極爲彼此對向且在其間形成電漿處理空間之對 向電極及施加電極的其中至少任一個, 具備: 基材,其係由金屬所形成;及 電介體,其係於內部埋設金屬的板電極之狀態下被嵌 入前述基材。 -30-
TW099146308A 2009-12-28 2010-12-28 Plasma processing device TWI518774B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009297687A JP5606063B2 (ja) 2009-12-28 2009-12-28 プラズマ処理装置

Publications (2)

Publication Number Publication Date
TW201135835A true TW201135835A (en) 2011-10-16
TWI518774B TWI518774B (zh) 2016-01-21

Family

ID=44174694

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099146308A TWI518774B (zh) 2009-12-28 2010-12-28 Plasma processing device

Country Status (5)

Country Link
US (1) US9245776B2 (zh)
JP (1) JP5606063B2 (zh)
KR (1) KR101739594B1 (zh)
CN (1) CN102110574B (zh)
TW (1) TWI518774B (zh)

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8449786B2 (en) * 2007-12-19 2013-05-28 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
MY166000A (en) * 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013131485A (ja) * 2011-11-22 2013-07-04 Kobe Steel Ltd プラズマ発生源の冷却機構及び冷却方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103915304B (zh) * 2014-03-18 2016-08-17 京东方科技集团股份有限公司 一种等离子体刻蚀装置及干法刻蚀设备
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102487342B1 (ko) * 2016-06-14 2023-01-13 삼성전자주식회사 정전척 어셈블리 및 이를 구비하는 플라즈마 처리장치
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018129224A (ja) * 2017-02-09 2018-08-16 東京エレクトロン株式会社 プラズマ処理装置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10460978B2 (en) * 2017-03-08 2019-10-29 Lam Research Corporation Boltless substrate support assembly
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN110800376B (zh) 2017-06-27 2022-04-01 佳能安内华股份有限公司 等离子体处理装置
WO2019004189A1 (ja) * 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
KR102457976B1 (ko) 2017-06-27 2022-10-25 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
EP3648554B1 (en) 2017-06-27 2021-06-02 Canon Anelva Corporation Plasma processing device
JP6457707B1 (ja) 2017-06-27 2019-01-23 キヤノンアネルバ株式会社 プラズマ処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI829367B (zh) * 2017-11-16 2024-01-11 日商東京威力科創股份有限公司 電漿處理裝置、溫度控制方法及溫度控制程式
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN109876751B (zh) * 2017-12-06 2020-12-01 中国科学院大连化学物理研究所 一种等离子体反应器及其应用
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR102439024B1 (ko) 2018-06-26 2022-09-02 캐논 아네르바 가부시키가이샤 플라스마 처리 장치, 플라스마 처리 방법, 프로그램, 및 메모리 매체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR102607686B1 (ko) * 2018-12-06 2023-11-30 도쿄엘렉트론가부시키가이샤 샤워 플레이트, 플라스마 처리 장치 및 플라스마 처리 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP2022516432A (ja) * 2018-12-20 2022-02-28 エリコン・サーフェス・ソリューションズ・アクチェンゲゼルシャフト,プフェフィコーン カソードアーク点火装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1350279A (en) * 1918-02-07 1920-08-17 Western Union Telegraph Co Adjustable condenser
US3341671A (en) * 1966-03-14 1967-09-12 Hamilton Watch Co Multiple radio frequency switch with improved slidable contact structure
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH0235438U (zh) * 1988-08-29 1990-03-07
ES2078735T3 (es) * 1991-05-21 1995-12-16 Materials Research Corp Modulo de grabado suave mediante util de agrupacion y generador de plasma ecr para el mismo.
US5660744A (en) * 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5401974A (en) * 1993-03-18 1995-03-28 Fujitsu Limited Charged particle beam exposure apparatus and method of cleaning the same
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP2659919B2 (ja) * 1994-01-13 1997-09-30 インターナショナル・ビジネス・マシーンズ・コーポレイション プラズマの不均一性を補正するプラズマ装置
WO1996039794A1 (fr) * 1995-06-05 1996-12-12 Tohoku Unicom Co., Ltd. Alimentation servant a une decharge par electrodes multiples
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
JP3731792B2 (ja) * 1997-01-24 2006-01-05 豊丸産業株式会社 遊技機
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
JP4493756B2 (ja) * 1999-08-20 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
JP4718093B2 (ja) * 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
WO2002014810A2 (en) * 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
KR20040070008A (ko) * 2003-01-29 2004-08-06 쿄세라 코포레이션 정전척
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
EP1690845A4 (en) * 2003-10-31 2009-04-01 Tokuyama Corp ASSEMBLED ARTICLE BASED ON ALUMINUM NITRIDE AND PROCESS FOR PRODUCING THE SAME
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
JP4364667B2 (ja) * 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US7393432B2 (en) * 2004-09-29 2008-07-01 Lam Research Corporation RF ground switch for plasma processing system
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8157952B2 (en) * 2005-06-03 2012-04-17 Tokyo Electron Limited Plasma processing chamber, potential controlling apparatus, potential controlling method, program for implementing the method, and storage medium storing the program
US7829463B2 (en) * 2006-03-30 2010-11-09 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
JP5029089B2 (ja) 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
WO2008120946A1 (en) * 2007-04-02 2008-10-09 Sosul Co., Ltd. Apparatus for supporting substrate and plasma etching apparatus having the same
JP5315796B2 (ja) * 2007-06-18 2013-10-16 株式会社村田製作所 積層セラミックコンデンサ
US8962101B2 (en) * 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
JP5361457B2 (ja) 2009-03-06 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
JP5683822B2 (ja) 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極

Also Published As

Publication number Publication date
KR20110076815A (ko) 2011-07-06
JP5606063B2 (ja) 2014-10-15
US20110155322A1 (en) 2011-06-30
CN102110574A (zh) 2011-06-29
US9245776B2 (en) 2016-01-26
CN102110574B (zh) 2016-08-17
KR101739594B1 (ko) 2017-05-24
TWI518774B (zh) 2016-01-21
JP2011138907A (ja) 2011-07-14

Similar Documents

Publication Publication Date Title
TWI518774B (zh) Plasma processing device
JP5592129B2 (ja) プラズマ処理装置
TWI593318B (zh) Electrode for plasma processing apparatus and plasma processing apparatus
JP5982129B2 (ja) 電極及びプラズマ処理装置
TWI517766B (zh) A plasma processing apparatus, and an electrode for a plasma processing apparatus
TWI515788B (zh) Plasma processing device
JP4216243B2 (ja) ヘリカル共振器型のプラズマ処理装置
JP5674328B2 (ja) 電極及びプラズマ処理装置
TWI791874B (zh) 電漿蝕刻方法及電漿處理裝置
JP2005209885A (ja) プラズマエッチング装置
JP5814430B2 (ja) プラズマ処理装置およびプラズマ処理装置用電極
JP2004158751A (ja) プラズマ処理装置
KR101615493B1 (ko) 자기 강화된 플라즈마 반응기