JP2011138907A - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP2011138907A
JP2011138907A JP2009297687A JP2009297687A JP2011138907A JP 2011138907 A JP2011138907 A JP 2011138907A JP 2009297687 A JP2009297687 A JP 2009297687A JP 2009297687 A JP2009297687 A JP 2009297687A JP 2011138907 A JP2011138907 A JP 2011138907A
Authority
JP
Japan
Prior art keywords
electrode
processing apparatus
plate
dielectric
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009297687A
Other languages
English (en)
Other versions
JP5606063B2 (ja
Inventor
Shinji Himori
慎司 檜森
Daisuke Hayashi
大輔 林
Akitaka Shimizu
昭貴 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2009297687A priority Critical patent/JP5606063B2/ja
Priority to CN201010623408.6A priority patent/CN102110574B/zh
Priority to KR1020100136159A priority patent/KR101739594B1/ko
Priority to US12/979,875 priority patent/US9245776B2/en
Priority to TW099146308A priority patent/TWI518774B/zh
Publication of JP2011138907A publication Critical patent/JP2011138907A/ja
Application granted granted Critical
Publication of JP5606063B2 publication Critical patent/JP5606063B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Coating By Spraying Or Casting (AREA)

Abstract

【課題】プラズマ生成に消費される高周波の電界強度分布を制御することが可能なプラズマ処理装置を提供する。
【解決手段】プラズマエッチング装置10は、内部にて被処理体をプラズマ処理する処理容器100と、処理容器100の内部にて互いに対向し、その間に処理空間を形成する上部電極105及び下部電極110と、下部電極110に接続され、処理容器100内に高周波電力を出力する第1の高周波電源150とを有する。上部電極105及び下部電極110の少なくともいずれかは、板状の金属から形成された基材と、内部に金属のプレート電極を埋設した状態で前記基材に嵌め込まれ、一部が前記基材から露出した誘電体と、を含む。
【選択図】図1

Description

本発明は、内部にてプラズマにより所定のプラズマ処理を施すプラズマ処理装置に関する。より詳しくは、プラズマの生成に消費される高周波による電界強度分布を制御するための電極構造を有するプラズマ処理装置に関する。
プラズマの作用により被処理体上にエッチングや成膜等の微細加工を施す装置としては、容量結合型(平行平板型)プラズマ処理装置、誘導結合型プラズマ処理装置、マイクロ波プラズマ処理装置等が実用化されている。このうち、平行平板型プラズマ処理装置では、対向する上部電極及び下部電極の少なくともいずれかに高周波電力を印加し、その電界エネルギーによりガスを励起させてプラズマを生成し、生成された放電プラズマによって被処理体を微細加工する。
近年の微細化の要請に伴い、比較的高い周波数を持つ電力を供給し、高密度プラズマを生成することが不可欠になってきている。図9に示したように、高周波電源915から供給される電力の周波数が高くなると、表皮効果により高周波の電流は、下部電極910の表面を伝搬して、下部電極910の上部表面を端部から中央部に向けて伝搬する。これによれば、下部電極910の中心側の電界強度が下部電極910の端部側の電界強度より高くなり、下部電極910の中心側では端部側よりガスの電離や解離が促進される。この結果、下部電極910の中心側のプラズマの電子密度は、端部側のプラズマの電子密度より高くなる。プラズマの電子密度が高い下部電極910の中心側ではプラズマの抵抗率が低くなるため、対向する上部電極905においても上部電極905の中心側に高周波による電流が集中して、さらにプラズマ密度の不均一が高まる。
これに対して、プラズマの均一性を高めるために、電極本体の下部中心にフラットな誘電体を埋設することが提案されている(たとえば、特許文献1を参照)。これによれば、図2(c)に示した誘電体920の作用により、誘電体920の下方にて電界強度分布E/Emaxを低下させることができる。
さらに、プラズマの均一性をより高めるために、図2(b)に示したように誘電体920をテーパ状に形成する方法も提案されている。これによれば、誘電体920の端部では中心部よりキャパシタンス成分が大きくなるため、図2(c)のフラットな誘電体を設けた場合より誘電体920の端部にて電界強度分布E/Emaxが低下しすぎない。この結果、より電界強度分布E/Emaxの均一性を高めることができる。
特開2004−363552号公報
しかし、基材905にテーパ状の誘電体920を埋め込む場合、誘電体920と基材905との接合には、接着剤やネジが用いられる。このとき、基材905はアルミニウム等の金属から形成され、誘電体920はセラミックス等から形成されるため、線熱膨張差が生じる。これを考慮して部材間に適当な隙間を設ける必要がある。
ところが、誘電体920がテーパ状であると、機械加工上の精度によりテーパ部分での寸法精度が悪くなる。この結果、熱膨張差による応力集中が生じる。これに加えて、接合界面の寸法公差のバラツキや誘電体の厚みの違いにより熱伝導差が生じることによっても応力集中が生じる。この応力集中により接合界面の接着剤が剥離する。このようにして剥離した接着剤が隙間から出てくることによりチャンバ内の汚染が発生する。
上記問題に鑑み、本発明は、プラズマ生成に消費される高周波の電界強度分布を制御することが可能なプラズマ処理装置を提供することを目的とする。
上記課題を解決するために、本発明のある観点によれば、内部にて被処理体がプラズマ処理される処理容器と、前記処理容器の内部にて互いに対向し、その間に処理空間を形成する対向電極及び印加電極と、前記印加電極に接続され、前記処理容器内に高周波電力を出力する高周波電源と、を備えるプラズマ処理装置であって、前記対向電極及び前記印加電極の少なくともいずれかは、金属から形成された基材と、内部に金属のプレート電極を埋設した状態で前記基材に嵌め込まれた誘電体と、を備えるプラズマ処理装置が提供される。
これによれば、金属のプレート電極の金属表面を流れる電流量は、基材の金属表面を流れる電流量とほぼ同じとなると考えられる。この結果、プラズマからは基材とプレート電極とは一体として見える。これにより、プレート電極のプラズマ側と反対側に位置する誘電体の部分は存在しないに等しくなる。つまり、プラズマから見て誘電体のうちプレート電極の背面側にある部分は、プレート電極により遮断される。よって、プレート電極がグラウンド電位に制御されている場合には、誘電体205の端部側では中心側よりキャパシタンス成分が大きくなる。この結果、誘電体の端部にて電界強度分布E/Emaxが低下しすぎず、均一な電界強度分布を得ることができる。これにより、図2(b)に示した誘電体をテーパ状にした場合と類似した効果を得ることができる。
前記プレート電極は、前記誘電体の内部にて互いに間隔をおいて複数枚積層されていてもよい。
前記複数枚のプレート電極は、パターン化されていてもよい。
前記複数枚のプレート電極は、前記誘電体の中心に対して同心円状に大きさの異なる開口を有していてもよい。
前記複数枚のプレート電極の開口は、プラズマ生成空間側に位置するプレート電極程大きく形成されていてもよい。
駆動部を有し、該駆動部を駆動することにより前記複数枚のプレート電極のそれぞれとの物理的接続又は電気的接続を制御する駆動機構を更に有していてもよい。
前記駆動機構は、前記駆動部としてのリング電極を駆動することにより前記複数枚のプレート電極のそれぞれと前記リング電極との物理的接続又は電気的接続を制御してもよい。
前記駆動機構は、前記駆動部を回転させずに昇降させるか、前記駆動部を回転させながら昇降させるか、又は昇降させずに回転させるかのいずれかにより前記複数枚のプレート電極のそれぞれとの物理的接続又は電気的接続を制御してもよい。
前記複数枚のプレート電極は、同一金属から形成されていてもよい。
前記プレート電極のシート抵抗率は、2Ω/□以下であってもよい。
前記誘電体のプラズマ生成空間側の面の少なくとも一部は、前記基材から露出していてもよい。
前記誘電体のプラズマ生成空間側の面は溶射により覆われていてもよい。
前記プレート電極が埋め込まれた電極は、上部電極であり、前記上部電極には、複数のガス導入管が貫通していてもよい。
前記上部電極の誘電体上方には、前記複数のガス導入管と連通し、ガスを拡散するガス拡散部が設けられていてもよい。
前記プレート電極が埋め込まれた電極は、下部電極であり、前記下部電極の静電チャック機構に設けられた金属電極の下方にて前記下部電極の誘電体に前記プレート電極が埋設されていてもよい。
上記課題を解決するために、本発明の別の観点によれば、印加された高周波電力によりガスからプラズマを生成し、生成されたプラズマを用いて被処理体をプラズマ処理するプラズマ処理装置用の電極であって、前記電極は、互いに対向し、その間にプラズマ処理空間を形成する対向電極及び印加電極のうちの少なくともいずれかであり、金属から形成された基材と、内部に金属のプレート電極を埋設した状態で前記基材に嵌め込まれた誘電体と、を備えるプラズマ処理装置用の電極が提供される。
以上説明したように本発明によれば、プラズマ処理装置においてプラズマ生成に消費される高周波の電界強度分布を制御することができる。
本発明の一実施形態に係るRIEプラズマエッチング装置の縦断面図である。 図2(a)は同実施形態に係る上部電極の縦断面図であり、図2(b)(c)は従来の上部電極の縦断面図である。 同実施形態に係る複数層のプレート電極を上部電極に埋設させた場合の電界強度分布のシミュレーション結果を示した図である。 同実施形態に係る駆動機構の接続方式を概念的に示した図である。 同実施形態に係る駆動方法の一例を示した図である。 同実施形態に係る駆動方法の他の例を示した図である。 同実施形態に係る複数層のプレート電極を下部電極に埋設させた場合の縦断面図である。 同実施形態の変形例にかかる下部電極の縦断面図である。 一般的なプラズマ装置に印加される高周波の電流を説明するための図である。
以下に添付図面を参照しながら、本発明の実施形態について詳細に説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。
(プラズマ処理装置の全体構成)
まず、本発明の一実施形態に係るプラズマ処理装置の全体構成について、図1を参照しながら説明する。図1には、本発明の一実施形態に係る電極を上部電極に用いたRIEプラズマエッチング装置(平行平板型プラズマ処理装置)が示されている。RIEプラズマエッチング装置10は、被処理体に所望のプラズマ処理を施すプラズマ処理装置の一例である。
RIEプラズマエッチング装置10は、減圧可能な処理容器100を有する。処理容器100は、小径の上部チャンバ100aと大径の下部チャンバ100bとから形成されている。処理容器100は、たとえばアルミニウム等の金属から形成され、接地されている。
処理容器の内部では、上部電極105及び下部電極110が対向配設され、これにより、一対の平行平板電極が構成されている。ウエハWは、ゲートバルブVから処理容器100の内部に搬入され、下部電極110に載置される。上部電極105及び下部電極110間のプラズマ生成空間では、処理ガスを導入して高周波電力のパワーによりプラズマが生成される。ウエハWは、そのプラズマによりエッチング処理される。
上部電極105は、上部基材105a、及び上部基材105a直上にて上部基材105aとともにシャワーヘッドを形成するガス拡散部(導電体のベースプレート)105bを有している。上部基材105aには、複数のガス導入管が貫通している。ガスは、ガス供給源115から供給され、ガス拡散部105bにて拡散された後、ガス拡散部105bに形成された複数のガス通路から上部基材105aのガス導入管を通って複数のガス穴105cに通され、処理容器内に導入される。
上部電極105には、上部に開口したリング状の溝部105dが設けられ、溝部105dにはリング電極105eが設けられている。リング電極105eは大気側に配置され、大気空間に配置されたモータ105fの動力により昇降するようになっている。リング電極105eを含む駆動機構は、適切に配置された図示しない絶縁部材により他の部材と絶縁されている。なお、上部電極105の構造については後程に詳述する。
下部電極110は、アルミニウム等の金属から形成された下部基材110aが絶縁層110bを介して支持台110cに支持されている。これにより、下部電極110は電気的に浮いた状態になっている。支持台110cの下方部分はカバー115にて覆われている。支持台110cの下部外周には、バッフル板120が設けられていてガスの流れを制御する。
下部電極110には、冷媒室110a1が設けられていて、冷媒導入管110a2のイン側から導入された冷媒が、冷媒室110a1を循環し、冷媒導入管110a2のアウト側から排出される。これにより、下部電極110を所望の温度に制御する。
下部電極110直上の静電チャック機構125では、絶縁部材125aに金属シート部材125bが埋め込まれている。電極部125bには直流電源135が接続され、直流電源135から出力された直流電圧が電極部125bに印加されることにより、ウエハWは下部電極110に静電吸着される。静電チャック機構125の外周には、たとえばシリコンにて形成されたフォーカスリング130が設けられていて、プラズマの均一性を維持する役割を果たしている。
下部電極110は、第1の給電棒140を介して第1の整合器145及び第1の高周波電源150に接続されている。処理容器内のガスは、第1の高周波電源150から出力された高周波の電界エネルギーにより励起され、これにより生成された放電型のプラズマによってウエハWにエッチング処理が施される。
下部電極110はまた、第1の給電棒140から分岐した第2の給電棒155を介して第2の整合器160及び第2の高周波電源165に接続されている。第2の高周波電源165から出力された、たとえば3.2MHzの高周波はバイアス電圧として下部電極110へのイオンの引き込みに使われる。
処理容器100の底面には排気口170が設けられ、排気口170に接続された排気装置175を駆動することにより、処理容器100の内部を所望の真空状態に保つようになっている。
上部チャンバ100aの周囲には、マルチポールリング磁石180a、180bが配置されている。マルチポールリング磁石180a、180bは、複数の異方性セグメント柱状磁石がリング状の磁性体のケーシングに取り付けられていて、隣接する複数の異方性セグメント柱状磁石同士の磁極の向きが互いに逆向きになるように配置されている。これにより、磁力線が隣接するセグメント磁石間に形成され、上部電極105と下部電極110との間の処理空間の周辺部のみに磁場が形成され、処理空間にプラズマを閉じこめるように作用する。
なお、以上に説明した本実施形態に係るプラズマ処理装置では、印加電極が下部電極110、対向電極が上部電極105となっているが、高周波電力は上部電極105に印加されても下部電極110に印加されてもよく、印加電極が上部電極105、対向電極が下部電極110となってもよい。
(電極構造)
以下、本実施形態に係る電極構造について図2(a)を参照しながら詳しく説明する。上部電極105は、前述のとおり、上部基材105a及びガス拡散板105bを有している。上部基材105aは、板状の金属から形成された基材の一例である。
上部基材105aの溝部105dは、リング状に掘られている。上部基材105aの中央部であって溝部105dの内側には円柱状のフラットな誘電体205が嵌め込まれている。誘電体205のプラズマが生成される側の面は上記基材105aから露出している。誘電体205は、石英、セラミックス等の誘電物質から形成されている。
誘電体205の内部には、3枚の金属のプレート電極210a、210b、210cが埋設されている。プレート電極210a、210b、210cは、誘電体205の内部にて互いに間隔をおいて積層されている。ここでは、プレート電極の積層枚数は3枚であるが、これに限られず、1枚であってもよく、2枚であってもよく、4枚以上であってもよい。
プレート電極210a、210b、210cは、パターン化されている。すなわち、3枚のプレート電極210a、210b、210cは、誘電体205の中心に対して同心円状に大きさの異なる開口を有している。各プレート電極の開口は、プラズマ生成空間側に位置するプレート電極程大きく形成されている。これにより、誘電体205の中央部にてプレート電極210a、210b、210cに段差をつけることができる。
プレート電極210a、210b、210cは、金属であればどんな素材を用いてもよい。たとえば、プレート電極にカーボン、アルミニウム、タングステン等を用いることができる。プレート電極210a、210b、210cは、すべて同一金属から形成される。プレート電極210a、210b、210cは、その外縁部に厚みのあるコネクタCを有し、後述するリング電極105eと電気的にカップリングしやすいように構成されている。プレート電極を誘電体に埋め込む製造方法の一例としては、たとえば、石英の誘電体205にカーボンのプレート電極210a、210b、210cをそれぞれ挟み込み、圧着する方法が考えられる。
(駆動機構)
溝部105dに設けられたリング電極105eは、図1に示したモータ105fの動力により昇降する。これにより、リング電極105eと各プレート電極210a、210b、210cの電気的接続状態が制御される。なお、リング電極105e及びモータ105fは駆動機構の一例であり、そのうちリング電極105eは駆動部の一例である。駆動部の他の例としては、棒状の電極が挙げられる。
リング電極105eの昇降により各プレート電極210a、210b、210cのコネクタCとリング電極105eとの距離を制御することによって、各プレート電極210a、210b、210cとのの電位が制御される。たとえば、リング電極105eが上部のプレート電極210aの近傍まで下がってきた場合、リング電極105eがプレート電極210aのコネクタCに近づき、プレート電極210aとリング電極105eとが電気的に接続される。本実施形態では、リング電極105eは、図示しないがグラウンド電位に保たれている。よって、本実施形態では、電気的に接続されるとはプレート電極210aがグラウンド電位に近づき、高周波電流がプレート電極210aの表皮を流れる状態をいう。
つまり、リング電極105eからプレート電極210aのコネクタCまでの間隔が、高周波電力のスキンデプスより小さくなったとき、プレート電極210aとリング電極105eとが電気的に接続される。スキンデプスとは、導電体の表面部分を実質的に高周波の電流が通過する表皮の厚さを示す。よって、本実施形態のようにリング電極105eからプレート電極までの距離がスキンデプスより小さければ、高周波の電流は、プレート電極210aの表面を流れる。一方、リング電極105eからプレート電極までの距離がスキンデプスを超えれば、高周波の電流は、プレート電極の表面を流れることができない。この結果、プレート電極は、グラウンド電位よりある程度高いフローティング電位になる。このようにして、プレート電極の電位を制御する。
なお、スキンデプスは次の式から定義される。
δ=(2/ωσμ)1/2
ただし、ω=2πf(f:周波数)、σ:導電率、μ:透磁率
その後、リング電極105eが中央のプレート電極210bの近傍まで下がり、リング電極105eがプレート電極210bのコネクタCに近づくと、プレート電極210a及びプレート電極210bとリング電極105eとが電気的に接続される。よって、この状態では、プレート電極210a及びプレート電極210bがグラウンド電位に制御される。
その後、リング電極105eが下部のプレート電極210cの近傍まで下がり、リング電極105eがプレート電極210cのコネクタCに近づくと、プレート電極210cとリング電極105eとが電気的に接続される。よって、この状態では、すべてのプレート電極210a、プレート電極210b及びプレート電極210cがグラウンド電位に制御される。
このようにして各プレート電極の電位を制御するために、コネクタCの端部からリング電極105eまでの距離P1は、プレート電極のうち上部のプレート電極210aから誘電体205の上面までの距離P2より十分に小さく形成されている。これにより、プレート電極210aと誘電体205上の上部基材105aの金属とが電気的に接続されてしまうことを回避し、各プレート電極210a、210b、210cをグラウンド電位及びフローティング電位に精度よく制御することができる。
すなわち、本実施形態では、距離P2を距離P1より十分に長くすることによって、プレート電極210aと上部基材105aとの間(距離P2部分)に生じるインピーダンスZ2を、リング電極105eと各コネクタCとの間(距離P1部分)に生じるインピーダンスZ1より十分に大きくする。この状態で、リング電極105eと各コネクタCとの間の距離P1を制御することにより、各電極210a、210b、210cをグラウンド電位又はフローティング電位に制御する。
また、本実施形態では、プレート電極のコネクタCの部分をプレートの部分より厚くすることによってカップリングしやすいように工夫している。リング電極105eと各コネクタCとの間の誘電体に誘電率の高い物質を用いるとさらにカップリングしやすくなり、各プレート電極の電位制御の精度を高めることができる。
(プレート電極と電界強度分布との関係)
次に、プレート電極の上記電位制御と電界強度分布との関係について説明する。図2(c)に示したように、上部電極905の中心にフラットな誘電体920を埋設した場合、電極中央での電界強度分布E/Emaxが低下する。高周波の電流が上部電極905の金属表面を流れる際、上部電極905の中心部に設けられた誘電体920の容積に応じたキャパシタンス成分と上部電極905の下面近傍のシースのキャパシタンス成分とにより分圧が生じ、誘電体905の下部にて高周波の電界強度に分散が生じるためである。
電界強度分布を改善するために、図2(b)に示したように、誘電体920をテーパ状にすると上部電極900の端部から中央に向けて電界強度分布E/Emaxの均一性が高められる。誘電体920の端部では中心部よりキャパシタンス成分が大きくなるため、フラットな誘電体920を設けた場合より誘電体920の端部にて電界強度分布E/Emaxが低下しすぎず、均一な電界強度分布が得られるためである。
しかしながら、誘電体920がテーパ状であると、機械加工上の精度によりテーパ部分での寸法精度が悪くなる。この結果、熱膨張差による応力集中が生じ、接合界面の接着剤が剥離してチャンバ内の汚染の原因となる。
そこで、本実施形態では、プレート電極を誘電体205内に埋め込むことにより、電界強度分布E/Emaxの均一性を高める。以下、図3のシミュレーション結果に基づきプレート電極の配置とその作用及び効果について詳述する。
シミュレーション条件としては、誘電体205の中央から各プレート電極210a、210b、210cまでの距離(各プレート電極210a、210b、210cの開口の半径)rを40mm、80mm、120mmにそれぞれ設定した。なお、誘電体205の半径は150mmであり、石英から形成されている。
誘電体205の厚さaは、4、10、16mmと可変とした。誘電体205の厚さaが4mmの場合、隣接するプレート電極の中心間の距離b(及び誘電体上面から上部プレート電極210aの中心までの距離b)は1mm、誘電体下面から下部プレート電極210cの中心までの距離cは1mmである。プレート電極の厚さは0.8mmに設定したので、プレート電極間の距離は0.2mmとなる。
誘電体205の厚さaが10mmの場合、隣接するプレート電極の中心間の距離b(及び誘電体上面から上部プレート電極210aの中心までの距離b)は3mm、誘電体下面から下部プレート電極210cの中心までの距離cは1mmである。この場合にもプレート電極の厚さは0.8mmであるので、プレート電極間の距離は2.2mmとなる。
誘電体205の厚さaが16mmの場合、隣接するプレート電極の中心間の距離b(及び誘電体上面から上部プレート電極210aの中心までの距離b)は5mm、誘電体下面から下部プレート電極210cの中心までの距離cは1mm、プレート電極間の距離は4.2mmとなる。
各プレート電極210a、210b、210cのシート抵抗率は、2Ω/□以下の低抵抗にする必要がある。各プレート電極の外縁部と内縁部とをほぼ等電位にすることにより、プレート電極の金属表面を流れる電流量を、上部基材105aの金属表面を流れる電流量とほぼ同じにするためである。この結果、プラズマ側から見ると、上部基材105aと各プレート電極とは一体として見える。これにより、各プレート電極のプラズマ側と反対側に位置する誘電体205の部分は存在しないに等しくなる。つまり、プラズマから見て誘電体205のうち各プレート電極の背面側にある部分は、各プレート電極により遮断される。
よって、各プレート電極210a、210b、210cの開口径を変えてプラズマ側からプレート部分の段差が見えるように各プレート電極を配置することにより、すべてのプレート電極210a、210b、210cがグラウンド電位に制御されている場合には、誘電体205の端部側では中心側より3段階の段差をもってキャパシタンス成分が変化する。この結果、図3のグラフのGND 4(0.2)(すなわち、すべてのプレート電極の電位がグラウンドであって誘電体の厚さが4mm、電極間距離が0.2の場合)、GND 10(2.2)、GND 16(4.2)に示したように、図2(c)のフラットな誘電体920を設けた場合より誘電体の端部にて電界強度分布E/Emaxが低下しすぎず、均一な電界強度分布を得ることができる。これにより、本実施形態によって、図2(b)に示した誘電体をテーパ状にした場合と類似した効果を得ることができる。
また、グラフの結果からすべてのプレート電極がほぼグラウンド電位の場合のグラフのGND 4(0.2)、GND 10(2.2)、GND 16(4.2)では、誘電体205の厚さが大きいほど電界強度分布E/Emaxを低下させる効果は大きいことがわかる。
また、すべてのプレート電極がフローティング電位の場合のグラフのGND 4(0.2)、GND 10(2.2)、GND 16(4.2)では、すべてのプレート電極がグラウンド電位の場合より電界強度分布E/Emaxを低下させる効果は小さいことがわかる。
ただし、すべてのプレート電極がグラウンド電位又はフローティング電位のいずれの場合も、プレート電極が埋設されていない誘電体の場合(all Qz)より電界強度分布E/Emaxを低下させる効果があることがわかる。
本実施形態によれば、高周波の電流が上部電極側の上部基材105aの金属表面を流れる際、上部基材105aに嵌め込まれた誘電体205に応じたキャパシタンスにより高周波のエネルギーに分散が生じる。よって、誘電体表面では基材表面に比べて高周波の電界強度分布を低下させることができる。これに加えて、本発明に係る電極によれば、前記誘電体内にプレート電極が埋設されている。高周波の電流は、導電性カバーの金属表面を流れるとともに誘電体内にプレート電極の表皮にも流れるように電位制御された場合、各プレート電極のプラズマ側と反対側に位置する誘電体の部分は存在しないに等しくなる。これにより、高周波の電界強度分布を均一化することができる。
また、本実施形態によれば、上部電極105がプラズマにより削れたときにもプレート電極210a、210b、210cが誘電体205から露出していないため、プレート電極の損傷を防ぐことができる。また、誘電体内にプレート電極210a、210b、210cを埋設することにより、複数枚のプレート電極に段差をつける構造を実現することができる。
プレート電極210a、210b、210cは、一枚であってもよいが、本実施形態のように複数枚配置されていると、プロセス条件が変わって電界強度分布が変わったときにも対応できる。すなわち、プロセス条件に応じて駆動機構を動かして各プレート電極の電位をグラウンド電位又はフローティング電位に切り替える。たとえば、上部電極105の中央の電界強度が非常に高い場合には、3つのプレート電極をカップリングして、3つのプレート電極210a、210b、210cの電位をグラウンドにする。一方、中央の電界強度がさほど高くない場合には、一番上部のプレート電極210aのみカップリングする。このようにして、各プレート電極の電位の状態を制御することにより、プレート電極同士のオーバラップ量で静電容量を可変にすることができるため、プロセス条件が変化しても、それに応じて高周波の電界強度分布を均一化することができる。
(具体的駆動方法)
次に、リング電極105eとプレート電極210a、210b、210cとの接続方式について図4を参照しながら概念的に説明するとともに、リング電極105eの具体的駆動方法について図5,6に基づきいくつかの例を説明する。
リング電極105eとプレート電極210a、210b、210cとの接続方式としては、接触方式でもよく非接触方式でもよい。たとえば、前述したように図4(a)のコンデンサ接続方式の他、図4(b)の接触接続方式がある。図4(b)の接触接続方式では、図4(a)のようにプレート電極210a、210b、210cの端部にコネクタCは必要なく、リング電極105eの昇降状態により各プレート電極の端部Qがリング電極105eと直接接触したり、非接触になったりすることにより各プレート電極との物理的接続を制御する。これにより、各プレート電極の電位の状態を制御する。
リング電極105eの具体的駆動方法としては、(1)リング電極105eを回転させずに昇降させる場合、(2)リング電極105eを回転させながら昇降させる場合、(3)リング電極105eを昇降させずに回転させる場合が挙げられる。いずれの場合も、図4(a)のコンデンサ接続方式及び図4(b)の接触接続方式に使用することができる。
たとえば、図4に示した(1)の昇降制御では、リング電極105eとして3等配以上の直動ガイド機構を設置し、直動ガイド機構に動力を伝えることにより、直動ガイド機構を昇降させる。動力発生源は、直動変換付きモータ(たとえば、サーボ・ステッピング)の他、圧縮空気により動作するアクチュエータや電磁ソレノイドアクチュエータ等が挙げられる。磁気的な駆動でもよく電気的な駆動でもよい。
ただし、圧縮空気により動作するアクチュエータを使用した場合、各プレート電極210a、210b、210cの全接続又は全非接続のみを制御でき、一部接続は制御できない。一方、直動変換付きモータや電磁ソレノイドアクチュエータを使用した場合、リング電極105eを3つの位置にそれぞれ制御することにより、全接続、プレート電極210a及びプレート電極210bの接続、プレート電極210aの接続のリング電極105eの3つのポジションを制御可能である。(1)の昇降制御では、リング電極105eは回転しない。
図5に示した(2)の回転昇降制御では、リング電極105eの外周(内周でもよい)にネジ状のらせん状溝を形成し、ボールネジ機構Rを介してリング電極105eにモータ(たとえば、サーボ・ステッピング)の動力を伝えることにより、リング電極105eを回転させながら昇降させる。これによれば、リング電極105eの位置制御により、全接続、プレート電極210a及びプレート電極210bの接続、プレート電極210aのみの接続が可能である。
(3)の回転制御では、図6(a)(b)に示したように、リング電極105eの内壁側にくぼみ105e1を設けるとともに、誘電体205の外壁側に花びら状に凹凸を形成する。図6(a)は上部基材105aを省略し、リング電極105eと誘電体205のみを描いた斜視図であり、図6(b)は、図6(a)の1−1断面図の状態変化を示した図である。
リング電極105eの内壁側のくぼみ105e1は等間隔に形成されている。また、誘電体205の花びら状の突出部205aもくぼみ105e1と同じ等間隔に形成されている。リング電極105eにモータ(たとえば、サーボ・ステッピング)の動力を伝えてリング電極105eを回転することにより、電気的な接続モードと非接続モードとに切り替えることができる。
接続モードは、図6(b)の上部に示したように、くぼみ105e1と突出部205aとが対向せず、リング電極105eの内壁と突出部205aとが対向する位置にリング電極105eを回転させた場合である。この接続モードでは、プレート電極とリング電極とが電気的に接続され、プレート電極はグラウンド電位になる。
非接続モードは、図6(b)の下部に示したように、くぼみ105e1と突出部205aとが対向する位置にリング電極105eを回転させた場合である。この非接続モードでは、プレート電極とリング電極とが電気的に非接続になり、プレート電極はフローティング電位になる。
(3)の回転制御は、特にコンデンサ接続方式に適している。これによれば、(1)の昇降制御及び(2)の回転昇降制御では不可能な接続、たとえば、全接続、プレート電極210a及びプレート電極210bの接続、プレート電極210aのみの接続に限らず、プレート電極210a及びプレート電極210cの接続や、プレート電極210b及びプレート電極210cの接続も可能となる。しかし、接続点は、均等等配接続にはなっても全周接続にはならない場合がある。
なお、(3)の回転制御では、リング電極105eは昇降しない。また、リング電極105e及び誘電体205に凹凸を形成する替わりに、リング電極105e又は誘電体205をくし歯状(ギア状)にしてもよい。
さらに、以上の(1)〜(3)の制御方法では、リング電極側が回転又は昇降したが、リング電極側を固定にし、電極側を回転又は昇降させるようにしてもよい。
以上、添付図面を参照しながら本発明の好適な実施形態について詳細に説明したが、本発明はかかる例に限定されない。本発明の属する技術の分野における通常の知識を有する者であれば、特許請求の範囲に記載された技術的思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、これらについても、当然に本発明の技術的範囲に属するものと了解される。
例えば、上記実施形態では、上部電極を例に挙げて説明したが、本発明はかかる例に限定されない。例えば、本発明では、プレート電極は下部電極に設けられていてもよい。図7にプレート電極210a、210b、210cを下部電極110に埋め込んだ構成を示す。この場合、静電チャック機構125に設けられた金属電極125bの下方にて誘電体500にプレート電極210a、210b、210cが埋設される。
本発明に係る下部電極は、図8に示した構成を有してもよい。図8に示した下部電極では、誘電体205の基材の下面中央以外の表面全体が導電性カバー300で覆われている。導電性カバー300は、たとえばアルミニウム、カーボン、チタン、タングステン等の金属から形成されている。導電性カバー300は、溶射、テープ又はシート状部材の貼り付け、イオンプレーティング、メッキのいずれかにより、誘電体205の基材に密着させて数十μmの厚さに形成される。これによれば、高周波の電流が導電性カバー300の金属表面を流れる際、導電性カバー300の開口部にある誘電体205の基材に応じたキャパシタンスにより高周波のエネルギーに分散が生じる。よって、誘電体205にて基材を形成した場合、金属にて基材を形成した場合に比べて導電性カバー300の開口部にて高周波の電界強度分布を低下させることができる。これに加えて、誘電体205の基材には、プレート電極210a、210b、210cが埋め込まれている。これにより、プラズマから見てプレート電極210a、210b、210cの背面側にある部分は、各プレート電極210a、210b、210cにより遮断される。よって、プレート電極がグラウンド電位に制御されている場合には、誘電体205の端部側では中心側よりキャパシタンス成分が大きくなる。この結果、プレート電極210a、210b、210cの端部にて電界強度分布E/Emaxが低下しすぎず、均一な電界強度分布を得ることができる。
また、基材105aの表面全体を耐プラズマ性の高いイットリアにより溶射することによって(FCコート)、表面溶射層310を形成することもできる。表面溶射層310の厚さは、100〜200μm程度がよい。
また、表面溶射層310を剥離後、再溶射することにより、簡単に電極を再生できる。
本発明に係るプラズマ処理装置は、上記実施形態に示した平行平板型のプラズマ処理装置に限られず、ICP(Inductively Coupled Plasma)プラズマ処理装置等の装置に使用することができる。また。本発明は、エッチング処理装置、CDV処理装置、太陽電池用のプラズマ処理装置に適用可能である。
また、例えば、上記実施形態では、高周波電力は下部電極に印加されたが、本発明に係るプラズマ処理装置では、上部電極及び下部電極のいずれかに高周波電力を印加してもよいし、双方の電極に印加してもよい。
10 プラズマエッチング装置
100 処理容器
105 上部電極
105a 上部基材
105b ガス拡散部
105c ガス穴
105d 溝部
105e リング電極
105e1 くぼみ
105f モータ
110 下部電極
110a 下部基材
125 静電チャック機構
150 第1の高周波電源
205、500、920 誘電体
205a 突出部
210a、210b、210c プレート電極
E/Emax 電界強度分布
C コネクタ

Claims (16)

  1. 内部にて被処理体がプラズマ処理される処理容器と、前記処理容器の内部にて互いに対向し、その間に処理空間を形成する対向電極及び印加電極と、前記印加電極に接続され、前記処理容器内に高周波電力を出力する高周波電源と、を備えるプラズマ処理装置であって、
    前記対向電極及び前記印加電極の少なくともいずれかは、
    金属から形成された基材と、
    内部に金属のプレート電極を埋設した状態で前記基材に嵌め込まれた誘電体と、を備えるプラズマ処理装置。
  2. 前記プレート電極は、前記誘電体の内部にて互いに間隔をおいて複数枚積層されている請求項1に記載のプラズマ処理装置。
  3. 前記複数枚のプレート電極は、パターン化されている請求項1又は請求項2に記載のプラズマ処理装置。
  4. 前記複数枚のプレート電極は、前記誘電体の中心に対して同心円状に大きさの異なる開口を有している請求項3に記載のプラズマ処理装置。
  5. 前記複数枚のプレート電極の開口は、プラズマ生成空間側に位置するプレート電極程大きい請求項4に記載のプラズマ処理装置。
  6. 駆動部を有し、該駆動部を駆動することにより前記複数枚のプレート電極のそれぞれとの物理的接続又は電気的接続を制御する駆動機構を更に備える請求項2〜5のいずれか一項に記載のプラズマ処理装置。
  7. 前記駆動機構は、前記駆動部としてのリング電極を駆動することにより前記複数枚のプレート電極のそれぞれと前記リング電極との物理的接続又は電気的接続を制御する請求項6に記載のプラズマ処理装置。
  8. 前記駆動機構は、前記駆動部を回転させずに昇降させるか、前記駆動部を回転させながら昇降させるか、又は昇降させずに回転させるかのいずれかにより前記複数枚のプレート電極のそれぞれとの物理的接続又は電気的接続を制御する請求項6又は請求項7に記載のプラズマ処理装置。
  9. 前記複数枚のプレート電極は、同一金属から形成されている請求項2〜8のいずれか一項に記載のプラズマ処理装置。
  10. 前記プレート電極のシート抵抗率は、2Ω/□以下である請求項1〜9のいずれか一項に記載のプラズマ処理装置。
  11. 前記誘電体のプラズマ生成空間側の面の少なくとも一部は、前記基材から露出している請求項1〜10のいずれか一項に記載のプラズマ処理装置。
  12. 前記誘電体のプラズマ生成空間側の面は溶射により覆われている請求項1〜11のいずれか一項に記載のプラズマ処理装置。
  13. 前記プレート電極が埋め込まれた電極は、上部電極であり、
    前記上部電極には、複数のガス導入管が貫通している請求項1〜12のいずれか一項に記載のプラズマ処理装置。
  14. 前記上部電極の誘電体上方には、前記複数のガス導入管と連通し、ガスを拡散するガス拡散部が設けられている請求項13に記載のプラズマ処理装置。
  15. 前記プレート電極が埋め込まれた電極は、下部電極であり、
    前記下部電極の静電チャック機構に設けられた金属電極の下方にて前記下部電極の誘電体に前記プレート電極が埋設されている請求項1〜12のいずれか一項に記載のプラズマ処理装置。
  16. 印加された高周波電力によりガスからプラズマを生成し、生成されたプラズマを用いて被処理体をプラズマ処理するプラズマ処理装置用の電極であって、
    前記電極は、互いに対向し、その間にプラズマ処理空間を形成する対向電極及び印加電極のうちの少なくともいずれかであり、
    金属から形成された基材と、
    内部に金属のプレート電極を埋設した状態で前記基材に嵌め込まれた誘電体と、を備えるプラズマ処理装置用の電極。
JP2009297687A 2009-12-28 2009-12-28 プラズマ処理装置 Active JP5606063B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2009297687A JP5606063B2 (ja) 2009-12-28 2009-12-28 プラズマ処理装置
CN201010623408.6A CN102110574B (zh) 2009-12-28 2010-12-28 等离子体处理装置
KR1020100136159A KR101739594B1 (ko) 2009-12-28 2010-12-28 플라즈마 처리 장치 및 그것에 이용되는 전극
US12/979,875 US9245776B2 (en) 2009-12-28 2010-12-28 Plasma processing apparatus
TW099146308A TWI518774B (zh) 2009-12-28 2010-12-28 Plasma processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009297687A JP5606063B2 (ja) 2009-12-28 2009-12-28 プラズマ処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014123283A Division JP5814430B2 (ja) 2014-06-16 2014-06-16 プラズマ処理装置およびプラズマ処理装置用電極

Publications (2)

Publication Number Publication Date
JP2011138907A true JP2011138907A (ja) 2011-07-14
JP5606063B2 JP5606063B2 (ja) 2014-10-15

Family

ID=44174694

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009297687A Active JP5606063B2 (ja) 2009-12-28 2009-12-28 プラズマ処理装置

Country Status (5)

Country Link
US (1) US9245776B2 (ja)
JP (1) JP5606063B2 (ja)
KR (1) KR101739594B1 (ja)
CN (1) CN102110574B (ja)
TW (1) TWI518774B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016042471A (ja) * 2011-11-22 2016-03-31 株式会社神戸製鋼所 プラズマ発生源の冷却機構及び冷却方法
JP2017055100A (ja) * 2015-07-13 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整
JPWO2019004189A1 (ja) * 2017-06-27 2019-11-07 キヤノンアネルバ株式会社 プラズマ処理装置
WO2020116246A1 (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US11569070B2 (en) 2017-06-27 2023-01-31 Canon Anelva Corporation Plasma processing apparatus
US11600469B2 (en) 2017-06-27 2023-03-07 Canon Anelva Corporation Plasma processing apparatus
US11600466B2 (en) 2018-06-26 2023-03-07 Canon Anelva Corporation Plasma processing apparatus, plasma processing method, and memory medium
US11626270B2 (en) 2017-06-27 2023-04-11 Canon Anelva Corporation Plasma processing apparatus
US11961710B2 (en) 2017-06-27 2024-04-16 Canon Anelva Corporation Plasma processing apparatus

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8449786B2 (en) * 2007-12-19 2013-05-28 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103915304B (zh) * 2014-03-18 2016-08-17 京东方科技集团股份有限公司 一种等离子体刻蚀装置及干法刻蚀设备
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102487342B1 (ko) * 2016-06-14 2023-01-13 삼성전자주식회사 정전척 어셈블리 및 이를 구비하는 플라즈마 처리장치
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018129224A (ja) * 2017-02-09 2018-08-16 東京エレクトロン株式会社 プラズマ処理装置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10460978B2 (en) * 2017-03-08 2019-10-29 Lam Research Corporation Boltless substrate support assembly
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI829367B (zh) * 2017-11-16 2024-01-11 日商東京威力科創股份有限公司 電漿處理裝置、溫度控制方法及溫度控制程式
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN109876751B (zh) * 2017-12-06 2020-12-01 中国科学院大连化学物理研究所 一种等离子体反应器及其应用
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
EP3900013B1 (en) * 2018-12-20 2023-11-15 Oerlikon Surface Solutions AG, Pfäffikon Cathodic arc ignition device
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0235438U (ja) * 1988-08-29 1990-03-07
JP2003506889A (ja) * 1999-08-10 2003-02-18 ユナキス・トレーディング・アクチェンゲゼルシャフト 面積の大きな基板の処理のためのプラズマ反応装置
JP2008243973A (ja) * 2007-03-26 2008-10-09 Tokyo Electron Ltd プラズマ処理装置用の載置台及びプラズマ処理装置

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1350279A (en) * 1918-02-07 1920-08-17 Western Union Telegraph Co Adjustable condenser
US3341671A (en) * 1966-03-14 1967-09-12 Hamilton Watch Co Multiple radio frequency switch with improved slidable contact structure
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
ES2078735T3 (es) * 1991-05-21 1995-12-16 Materials Research Corp Modulo de grabado suave mediante util de agrupacion y generador de plasma ecr para el mismo.
US5660744A (en) * 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5401974A (en) * 1993-03-18 1995-03-28 Fujitsu Limited Charged particle beam exposure apparatus and method of cleaning the same
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP2659919B2 (ja) * 1994-01-13 1997-09-30 インターナショナル・ビジネス・マシーンズ・コーポレイション プラズマの不均一性を補正するプラズマ装置
US5932116A (en) * 1995-06-05 1999-08-03 Tohoku Unicom Co., Ltd. Power supply for multi-electrode discharge
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
JP3731792B2 (ja) * 1997-01-24 2006-01-05 豊丸産業株式会社 遊技機
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
JP4493756B2 (ja) * 1999-08-20 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
WO2001073814A2 (en) * 2000-03-28 2001-10-04 Tokyo Electron Limited Method and apparatus for controlling power delivered to a multiple segment electrode
WO2002014810A2 (en) * 2000-08-10 2002-02-21 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
KR20040070008A (ko) * 2003-01-29 2004-08-06 쿄세라 코포레이션 정전척
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
WO2005042436A1 (ja) * 2003-10-31 2005-05-12 Tokuyama Corporation 窒化アルミニウム接合体及びその製造方法
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
JP4364667B2 (ja) 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US7393432B2 (en) * 2004-09-29 2008-07-01 Lam Research Corporation RF ground switch for plasma processing system
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8157952B2 (en) * 2005-06-03 2012-04-17 Tokyo Electron Limited Plasma processing chamber, potential controlling apparatus, potential controlling method, program for implementing the method, and storage medium storing the program
US7829463B2 (en) * 2006-03-30 2010-11-09 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
JP2010524225A (ja) * 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
JP5315796B2 (ja) * 2007-06-18 2013-10-16 株式会社村田製作所 積層セラミックコンデンサ
US8962101B2 (en) * 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
JP5361457B2 (ja) 2009-03-06 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
JP5683822B2 (ja) 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0235438U (ja) * 1988-08-29 1990-03-07
JP2003506889A (ja) * 1999-08-10 2003-02-18 ユナキス・トレーディング・アクチェンゲゼルシャフト 面積の大きな基板の処理のためのプラズマ反応装置
JP2008243973A (ja) * 2007-03-26 2008-10-09 Tokyo Electron Ltd プラズマ処理装置用の載置台及びプラズマ処理装置

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016042471A (ja) * 2011-11-22 2016-03-31 株式会社神戸製鋼所 プラズマ発生源の冷却機構及び冷却方法
JP2017055100A (ja) * 2015-07-13 2017-03-16 ラム リサーチ コーポレーションLam Research Corporation エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整
TWI753436B (zh) * 2015-07-13 2022-01-21 美商蘭姆研究公司 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
TWI810752B (zh) * 2015-07-13 2023-08-01 美商蘭姆研究公司 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
US11756773B2 (en) 2017-06-27 2023-09-12 Canon Anelva Corporation Plasma processing apparatus
JPWO2019004189A1 (ja) * 2017-06-27 2019-11-07 キヤノンアネルバ株式会社 プラズマ処理装置
US11961710B2 (en) 2017-06-27 2024-04-16 Canon Anelva Corporation Plasma processing apparatus
US11784030B2 (en) 2017-06-27 2023-10-10 Canon Anelva Corporation Plasma processing apparatus
US11569070B2 (en) 2017-06-27 2023-01-31 Canon Anelva Corporation Plasma processing apparatus
US11600469B2 (en) 2017-06-27 2023-03-07 Canon Anelva Corporation Plasma processing apparatus
US11626270B2 (en) 2017-06-27 2023-04-11 Canon Anelva Corporation Plasma processing apparatus
US11600466B2 (en) 2018-06-26 2023-03-07 Canon Anelva Corporation Plasma processing apparatus, plasma processing method, and memory medium
WO2020116246A1 (ja) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
JP7184303B2 (ja) 2018-12-06 2022-12-06 東京エレクトロン株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
JPWO2020116246A1 (ja) * 2018-12-06 2021-10-28 東京エレクトロン株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
TW201135835A (en) 2011-10-16
US20110155322A1 (en) 2011-06-30
CN102110574B (zh) 2016-08-17
JP5606063B2 (ja) 2014-10-15
TWI518774B (zh) 2016-01-21
CN102110574A (zh) 2011-06-29
KR101739594B1 (ko) 2017-05-24
KR20110076815A (ko) 2011-07-06
US9245776B2 (en) 2016-01-26

Similar Documents

Publication Publication Date Title
JP5606063B2 (ja) プラズマ処理装置
TWI593318B (zh) Electrode for plasma processing apparatus and plasma processing apparatus
JP5592129B2 (ja) プラズマ処理装置
KR101995099B1 (ko) 플라즈마 처리 장치
JP4216243B2 (ja) ヘリカル共振器型のプラズマ処理装置
CN100551200C (zh) 等离子体处理装置
JP4468194B2 (ja) プラズマ処理方法およびプラズマ処理装置
KR100924845B1 (ko) 플라즈마 처리 장치용 탑재대 및 플라즈마 처리 장치
CN102110573B (zh) 等离子体处理装置
JP2009170509A (ja) ヒータ内蔵静電チャックを備えたプラズマ処理装置
JP2005072175A (ja) パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US8741098B2 (en) Table for use in plasma processing system and plasma processing system
JP2013139642A (ja) スパッタ成膜応用のためのプラズマ処理装置
CN111354672A (zh) 静电卡盘及等离子体加工装置
JP4614578B2 (ja) スパッタ成膜応用のためのプラズマ処理装置
JP5814430B2 (ja) プラズマ処理装置およびプラズマ処理装置用電極
JP2004158751A (ja) プラズマ処理装置
JP7438853B2 (ja) マグネトロンスパッタリング装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110701

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121221

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131031

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140318

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140616

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140623

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140805

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140826

R150 Certificate of patent or registration of utility model

Ref document number: 5606063

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250