KR20110076815A - 플라즈마 처리 장치 및 그것에 이용되는 전극 - Google Patents

플라즈마 처리 장치 및 그것에 이용되는 전극 Download PDF

Info

Publication number
KR20110076815A
KR20110076815A KR1020100136159A KR20100136159A KR20110076815A KR 20110076815 A KR20110076815 A KR 20110076815A KR 1020100136159 A KR1020100136159 A KR 1020100136159A KR 20100136159 A KR20100136159 A KR 20100136159A KR 20110076815 A KR20110076815 A KR 20110076815A
Authority
KR
South Korea
Prior art keywords
electrode
dielectric
plate
plasma
plate electrodes
Prior art date
Application number
KR1020100136159A
Other languages
English (en)
Other versions
KR101739594B1 (ko
Inventor
신지 히모리
다이스케 하야시
아키타카 시미즈
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110076815A publication Critical patent/KR20110076815A/ko
Application granted granted Critical
Publication of KR101739594B1 publication Critical patent/KR101739594B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Coating By Spraying Or Casting (AREA)

Abstract

본 발명은 플라즈마 생성에 소비되는 고주파의 전기장 강도 분포를 제어하는 것이 가능한 플라즈마 처리 장치를 제공한다. 이러한 플라즈마 에칭 장치(10)는 내부에서 피처리체를 플라즈마 처리하는 처리용기(100)와, 처리용기(100)의 내부에서 서로 대향하고, 그 사이에 플라즈마 생성공간을 형성하는 상부 전극(105) 및 하부 전극(110)과, 하부 전극(110)에 접속되고, 처리용기(100)내에 고주파 전력을 출력하는 제 1 고주파 전원(150)을 갖는다. 상부 전극(105) 및 하부 전극(110)의 적어도 어느 하나는 판형상의 금속으로 형성된 기재와, 내부에 금속의 플레이트 전극을 매설한 상태에서 상기 기재에 끼워 넣어지고, 일부가 상기 기재로부터 노출된 유전체를 포함한다.

Description

플라즈마 처리 장치 및 그것에 이용되는 전극 {PLASMA PROCESSING APPARATUS AND ELECTRODE USED IN SAME}
본 발명은 내부에서 플라즈마에 의해 소정의 플라즈마 처리를 실시하는 플라즈마 처리 장치에 관한 것이다. 더욱 상세하게는 플라즈마의 생성에 소비되는 고주파에 의한 전기장 강도 분포를 제어하기 위한 전극 구조를 갖는 플라즈마 처리 장치 및 그 전극 구조에 관한 것이다.
플라즈마의 작용에 의해 피처리체 상에 에칭이나 성막 등의 미세 가공을 실시하는 장치로서는 용량 결합형(평행 평판형) 플라즈마 처리 장치, 유도 결합형 플라즈마 처리 장치, 마이크로파 플라즈마 처리 장치 등이 실용화되고 있다. 이 중, 평행 평판형 플라즈마 처리 장치에서는 서로 대향하는 상부 전극 및 하부 전극의 적어도 어느 하나에 고주파 전력을 인가하고, 그 전기장 에너지에 의해 가스를 여기시켜 플라즈마를 생성하고, 생성된 방전 플라즈마에 의해서 피처리체를 미세 가공한다.
최근 미세화의 요청에 수반하여, 비교적 높은 주파수를 갖는 전력을 공급하고 고밀도 플라즈마를 생성하는 것이 요구되고 있다. 도 9에 나타낸 바와 같이, 고주파 전원(915)으로부터 공급되는 전력의 주파수가 높아지면, 표피 효과에 의해 고주파의 전류는 하부 전극(910)의 표면을 전파해서, 하부 전극(910)의 상부 표면을 가장자리로부터 중앙부를 향해 전파한다. 이것에 의하면, 하부 전극(910)의 중심측의 전기장 강도가 하부 전극(910)의 가장자리측의 전기장 강도보다 높아지고, 하부 전극(910)의 중심측에서는 가장자리측보다 가스의 전리나 해리가 촉진된다. 그 결과, 하부 전극(910)의 중심측의 플라즈마의 전자 밀도는 가장자리측의 플라즈마의 전자 밀도보다 높아진다. 플라즈마의 전자 밀도가 높은 하부 전극(910)의 중심측에서는 플라즈마의 저항율이 낮아지기 때문에, 대향하는 상부 전극(905)에 있어서도 상부 전극(905)의 중심측에 고주파에 의한 전류가 집중하여, 더욱 플라즈마 밀도의 불균일이 높아진다.
이에 대해, 플라즈마의 균일성을 높이기 위해, 도 2c에 도시된 바와 같이, 상부 전극(905)의 하부 중심에 평탄한 유전체(920a)를 매설하는 방법이 제안되고 있다(예를 들면, 특허문헌 1 참조). 이것에 의하면, 유전체(920a)의 작용에 의해, 유전체(920a)의 아래쪽에서 전기장 강도 분포 E/Emax를 저하시킬 수 있다.
또한, 플라즈마의 균일성을 더욱 높이기 위해, 도 2b에 나타낸 바와 같이 유전체(920)를 테이퍼형상으로 형성하는 방법도 제안되었다. 이것에 의하면, 유전체(920)의 가장자리에서는 중심부보다 캐패시턴스 성분이 커지기 때문에, 도 2c에서와 같이 평탄한 유전체를 마련한 경우보다 유전체(920)의 가장자리에서 전기장 강도 분포 E/Emax가 지나치게 저하하지 않는다. 그 결과, 더욱 전기장 강도 분포 E/Emax의 균일성을 높일 수 있다.
그러나, 상부 전극(905)의 기재에 테이퍼형상의 유전체(920)를 매립하는 경우, 유전체(920)와 기재의 접합에는 접착제나 나사가 이용된다. 이 때, 기재는, 예를 들어, 알루미늄 등의 금속으로 형성되고, 유전체(920)는 세라믹스 등으로 형성되기 때문에, 선 열팽창차가 생긴다. 이것을 고려하여 부재간에 적당한 간극을 마련할 필요가 있다.
그런데, 유전체(920)가 테이퍼형상이면, 기계 가공상의 정밀도에 의해 테이퍼 부분에서의 치수 정밀도가 나빠지고, 그 결과, 열팽창 차에 의한 응력 집중이 발생한다. 이것에 부가해서, 접합 계면의 치수 공차의 편차나 유전체의 두께의 차에 의해 열전도차가 생기는 것에 의해서도 응력 집중이 발생한다. 이 응력 집중에 의해 접합 계면의 접착제가 박리한다. 이와 같이 해서 박리한 접착제가 간극으로부터 나오는 것에 의해 챔버내의 오염이 발생한다.
상기 문제를 감안해서, 본 발명은 플라즈마 생성에 소비되는 고주파의 전기장 강도 분포를 제어하는 것이 가능한 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해, 본 발명의 일 측면에 의하면, 내부에서 피처리체가 플라즈마 처리되는 처리용기와, 상기 처리용기의 내부에서 서로 대향하고, 그 사이에 플라즈마 생성공간을 형성하는 대향 전극 및 인가 전극과, 상기 인가 전극에 접속되고 상기 처리용기내에 고주파 전력을 출력하는 고주파 전원을 구비하는 플라즈마 처리 장치로서, 상기 대향 전극 및 상기 인가 전극의 적어도 어느 하나는 금속으로 형성된 기재와, 내부에 금속의 플레이트 전극을 매설한 상태에서 상기 기재에 끼워 넣어진 유전체를 구비하는 플라즈마 처리 장치가 제공된다.
이것에 의하면, 금속의 플레이트 전극의 금속 표면을 흐르는 전류량은 기재의 금속 표면을 흐르는 전류량과 대략 동일하게 된다고 고려된다. 그 결과, 플라즈마로부터는 기재와 플레이트 전극은 일체로 보인다. 이것에 의해, 플레이트 전극의 플라즈마측과 반대측에 위치하는 유전체의 부분은 존재하지 않는 것처럼 된다. 즉, 플라즈마로부터 보아 유전체 중 플레이트 전극의 배면측에 있는 부분은 플레이트 전극에 의해 차단된다. 따라서, 플레이트 전극이 그라운드 전위로 제어되어 있는 경우에는 유전체의 가장자리측에서는 중심측보다 캐패시턴스 성분이 커진다. 그 결과, 유전체의 가장자리에서 전기장 강도 분포 E/Emax가 너무 낮아지지 않고, 균일한 전기장 강도 분포를 얻을 수 있다. 이것에 의해, 도 2b에 나타낸 유전체를 테이퍼형상으로 한 경우와 유사한 효과를 얻을 수 있다.
상기 플레이트 전극은 상기 유전체의 내부에서 서로 간격을 두고 복수개로 적층되어 있어도 좋다.
상기 복수개의 플레이트 전극은 패턴화되어 있어도 좋다.
상기 복수개의 플레이트 전극은 상기 유전체의 중심에 대해 동심원형상으로 크기가 다른 개구를 갖고 있어도 좋다.
상기 복수개의 플레이트 전극의 개구는 플라즈마 생성 공간측에 위치하는 플레이트 전극일수록 크게 형성되어 있어도 좋다.
구동부를 갖고, 해당 구동부를 구동하는 것에 의해 상기 복수개의 플레이트 전극의 각각과의 물리적 접속 또는 전기적 접속을 제어하는 구동 기구를 더 갖고 있어도 좋다.
상기 구동 기구는 상기 구동부로서의 링 전극을 구동하는 것에 의해 상기 복수개의 플레이트 전극의 각각과 상기 링 전극의 물리적 접속 또는 전기적 접속을 제어해도 좋다.
상기 구동 기구는 상기 구동부를 회전시키지 않고 승강시키거나, 상기 구동부를 회전시키면서 승강시키거나, 또는 승강시키지 않고 회전시키는 것 중의 어느 하나에 의해 상기 복수개의 플레이트 전극의 각각과의 물리적 접속 또는 전기적 접속을 제어해도 좋다.
상기 복수개의 플레이트 전극은 동일 금속으로 형성되어 있어도 좋다.
상기 플레이트 전극의 시트 저항율은 2Ω/□ 이하이어도 좋다.
상기 유전체의 플라즈마 생성 공간측의 면의 적어도 일부는 상기 기재로부터 노출되어 있어도 좋다.
상기 유전체의 플라즈마 생성 공간측의 면은 용사에 의해 덮여 있어도 좋다.
상기 플레이트 전극이 매립된 전극은 상부 전극이며, 상기 상부 전극에는 복수의 가스 도입관이 관통되어 있어도 좋다.
상기 상부 전극의 유전체 위쪽에는 상기 복수의 가스 도입관과 연통하고, 가스를 확산하는 가스 확산부가 마련되어 있어도 좋다.
상기 플레이트 전극이 매립된 전극은 하부 전극이며, 상기 하부 전극의 정전 척 기구에 마련된 금속시트부재의 아래쪽에서 상기 하부 전극의 유전체에 상기 플레이트 전극이 매설되어 있어도 좋다.
상기 과제를 해결하기 위해, 본 발명의 다른 측면에 의하면, 인가된 고주파 전력에 의해 가스로부터 플라즈마를 생성하고, 생성된 플라즈마를 이용해서 피처리체를 플라즈마 처리하는 플라즈마 처리 장치용의 전극으로서, 상기 전극은 서로 대향하고, 그 사이에 플라즈마 생성공간을 형성하는 대향 전극 및 인가 전극 중의 적어도 어느 하나이며, 금속으로 형성된 기재와, 내부에 금속의 플레이트 전극을 매설한 상태에서 상기 기재에 끼워 넣어진 유전체를 구비하는 플라즈마 처리 장치용의 전극이 제공된다.
본 발명에 따르면, 플라즈마 처리 장치에 있어서 플라즈마 생성에 소비되는 고주파의 전기장 강도 분포를 제어할 수 있다.
도 1은 본 발명의 일실시형태에 따른 RIE 플라즈마 에칭 장치의 종단면도이다,
도 2a는 본 발명의 일실시형태에 따른 상부 전극의 종단면도이고, 도 2b와 2c는 종래의 상부 전극의 종단면도이다.
도 3a와 3b는 본 발명의 일실시형태에 따른 복수층의 플레이트 전극을 상부 전극에 매설시킨 경우의 전기장 강도 분포의 시뮬레이션 결과를 나타낸 도면이다.
도 4a와 4b는 본 발명의 일실시형태에 따른 구동 기구의 접속방식을 개념적으로 나타낸 도면이다.
도 5는 본 발명의 일실시형태에 따른 구동 방법의 일예를 나타낸 도면이다.
도 6a, 6b1과 6b2는 본 발명의 일실시형태에 따른 구동 방법의 다른 예를 나타낸 도면이다.
도 7은 본 발명의 일실시형태에 따른 복수층의 플레이트 전극을 하부 전극에 매설시킨 경우의 종단면도이다.
도 8은 본 발명의 일실시형태의 변형예에 따른 상부 전극의 종단면도이다.
도 9는 일반적인 플라즈마 장치에 인가되는 고주파의 전류를 설명하기 위한 도면이다.
이하에 첨부 도면을 참조하면서, 본 발명의 실시형태에 대해 상세하게 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 또는 구성을 갖는 구성요소에 대해서는 동일한 부호를 붙이는 것에 의해 중복 설명을 생략한다.
(플라즈마 처리 장치의 구성)
우선, 본 발명의 일실시형태에 관한 플라즈마 처리 장치의 구성에 대해, 도 1을 참조하면서 설명한다. 도 1에는 본 발명의 일실시형태에 관한 전극을 상부 전극에 이용한 RIE 플라즈마 에칭 장치(평행 평판형 플라즈마 처리 장치)가 도시되어 있다. RIE 플라즈마 에칭 장치(10)는 피처리체에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 장치의 일예이다.
RIE 플라즈마 에칭 장치(10)는 감압 가능한 처리용기(100)를 갖는다. 처리용기(100)는 상대적으로 작은 직경을 가진 상부 챔버(100a)와 상대적으로 큰 직경을 가진 하부 챔버(100b)로 형성되어 있다. 처리용기(100)는, 예를 들면, 알루미늄 등의 금속으로 형성되고, 접지되어 있다.
처리용기(100)의 내부에서는 상부 전극(105) 및 하부 전극(110)이 대향하여 배치되고, 이것에 의해, 한 쌍의 평행 평판 전극이 구성되어 있다. 웨이퍼 W는 게이트밸브 V로부터 처리용기(100)의 내부에 반입되고, 하부 전극(110)에 탑재된다. 상부 전극(105) 및 하부 전극(110) 사이의 플라즈마 생성 공간에서는 처리 가스를 도입해서 고주파 전력의 파워에 의해 플라즈마가 생성된다. 웨이퍼 W는 그 플라즈마에 의해 에칭 처리된다.
상부 전극(105)은 상부 기재(105a), 및 상부 기재(105a) 바로 위에서 상부 기재(105a)와 함께 샤워헤드를 형성하는 가스 확산부(도전체의 베이스 플레이트)(105b)를 갖고 있다. 상부 기재(105a)에는 복수의 가스 도입관이 관통하고 있다. 가스는 가스 공급원(116)으로부터 공급되고, 가스 확산부(105b)에서 확산된 후, 가스 확산부(105b)에 형성된 복수의 가스 통로로부터 상부 기재(105a)의 가스 도입관을 통과하여 복수의 가스 구멍(105c)을 통해 처리용기(100)로 도입된다.
상부 전극(105)에는 상부에 개구된 링형상의 홈부(105d)가 마련되고, 홈부(105d)에는 링 전극(105e)이 마련되어 있다. 이 링 전극(105e)는 대기공간에 배치된 모터(105f)의 동력에 의해 승강하도록 되어 있다. 링 전극(105e)을 포함한 구동 기구는 적절하게 배치된 도시하지 않은 절연 부재에 의해 다른 부재와 절연되어 있다. 또, 상부 전극(105)의 구조에 대해서는 후에 상세하게 설명한다.
하부 전극(110)은, 예를 들어, 알루미늄 등의 금속으로 형성된 하부 기재(110a)가 절연층(110b)을 사이에 두고 지지대(110c)에 지지되어 있다. 이것에 의해, 하부 전극(110)은 전기적으로 들뜬 상태로 되어 있다. 지지대(110c)의 아래쪽 부분은 커버(115)로 덮여 있다. 지지대(110c)의 하부 외주에는 배플판(120)이 마련되어 있어 가스의 흐름을 제어한다.
하부 전극(110)에는 냉매실(110a1)이 마련되어 있어, 냉매 도입관(110a2)을 통해 도입된 냉매가 냉매실(110a1)을 순환하고, 냉매 배출관(110a3)을 통해 배출된다. 이것에 의해, 하부 전극(110)을 원하는 온도로 제어한다.
하부 전극(110) 바로 위의 정전 척 기구(125)에서는 절연 부재(125a)에 금속시트부재(125b)가 매립되어 있다. 금속시트부재(125b)에는 직류 전원(135)이 접속되고, 직류 전원(135)으로부터 출력된 직류 전압이 금속시트부재(125b)에 인가되는 것에 의해, 웨이퍼 W는 하부 전극(110)에 정전 흡착된다. 정전 척 기구(125)의 외주에는, 예를 들면, 실리콘으로 형성된 포커스 링(130)이 마련되어 있어, 플라즈마의 균일성을 유지하는 역할을 하고 있다.
하부 전극(110)은 제 1 급전봉(140)을 거쳐서 제 1 정합기(145) 및 제 1 고주파 전원(150)에 접속되어 있다. 처리용기내의 가스는 제 1 고주파 전원(150)으로부터 출력된 고주파의 전기장 에너지에 의해 여기되고, 이것에 의해 생성된 방전형의 플라즈마에 의해서 웨이퍼 W에 에칭 처리가 실시된다.
하부 전극(110)은 제 1 급전봉(140)으로부터 분기된 제 2 급전봉(155)을 거쳐서 제 2 정합기(160) 및 제 2 고주파 전원(165)에 접속되어 있다. 제 2 고주파 전원(165)으로부터 출력된, 예를 들면, 3.2㎒의 고주파는 바이어스 전압으로서 하부 전극(110)으로의 이온의 인입에 사용된다.
처리용기(100)의 저면에는 배기구(170)가 마련되고, 배기구(170)에 접속된 배기 장치(175)를 구동하는 것에 의해, 처리용기(100)의 내부를 원하는 진공 상태로 유지하도록 되어 있다.
상부 챔버(100a)의 주위에는 멀티폴 링 자석(180a, 180b)이 배치되어 있다. 멀티폴 링 자석(180a, 180b)은 복수의 이방성 세그먼트 주상자석이 링형상의 자성체의 케이싱에 부착되어 있어, 인접하는 복수의 이방성 세그먼트 주상자석끼리의 자극의 방향이 서로 반대방향이 되도록 배치되어 있다. 이것에 의해, 자력선이 인접하는 세그먼트 자석간에 형성되고, 상부 전극(105)과 하부 전극(110)의 사이의 플라즈마 생성공간의 주변부에만 자장이 형성되고, 플라즈마 생성공간에 플라즈마를 감금하도록 작용한다.
또, 이상에서 설명한 본 실시형태에 관한 플라즈마 처리 장치에서는 인가 전극이 하부 전극(110), 대향 전극이 상부 전극(105)으로 되어 있지만, 고주파 전력은 상부 전극(105)과 하부 전극(110) 중 어느 전극에 인가되어도 좋고, 인가 전극이 상부 전극(105), 대향 전극이 하부 전극(110)으로 되어도 좋다.
(전극 구조)
이하, 본 실시형태에 따른 전극 구조에 대해 도 2a를 참조하면서 상세하게 설명한다. 상부 전극(105)은 전술한 바와 같이, 상부 기재(105a) 및 가스 확산판(105b)을 갖고 있다. 상부 기재(105a)는 판형상의 금속으로 형성된 기재의 일예이다.
상부 기재(105a)의 홈부(105d)는 링형상으로 파여져 있다. 상부 기재(105a)의 중앙부에는 원주형상의 평탄한 유전체(205)가 끼워 넣어져 있다. 유전체(205)의 하면은 플라즈마 생성공간에 노출되어 있다. 유전체(205)는 석영, 세라믹스 등의 유전물질로 형성되어 있다.
유전체(205)의 내부에는 3개의 금속의 플레이트 전극(210a, 210b, 210c)이 매설되어 있다. 플레이트 전극(210a, 210b, 210c)은 유전체(205)의 내부에서 서로 간격을 두고 적층되어 있다. 여기서는 플레이트 전극의 적층 개수는 3개이지만, 이것에 한정되지 않고, 1개라도 좋고, 2개라도 좋으며, 4개 이상이어도 좋다.
플레이트 전극(210a, 210b, 210c)은 패턴화되어 있다. 즉, 3개의 플레이트 전극(210a, 210b, 210c)은 유전체(205)의 중심에 대해 동심원형상으로 크기가 다른 개구를 갖고 있다. 각 플레이트 전극의 개구는 플라즈마 생성 공간측에 위치하는 플레이트 전극일수록 크게 형성되어 있다. 이것에 의해, 유전체(205)의 중앙부에서 플레이트 전극(210a, 210b, 210c)에 단차를 마련할 수 있다.
플레이트 전극(210a, 210b, 210c)은 금속이면 어떤 소재를 이용해도 좋다. 예를 들면, 플레이트 전극에 카본, 알루미늄, 텅스텐 등을 이용할 수 있다. 플레이트 전극(210a, 210b, 210c)은 모두 동일 금속으로 형성된다. 플레이트 전극(210a, 210b, 210c)은 그 바깥가장자리부에 두께를 갖는 커넥터 C를 갖고, 후술하는 링 전극(105e)과 전기적으로 커플링하기 쉽도록 구성되어 있다. 플레이트 전극을 유전체에 매립하는 제조 방법의 일예로서는, 예를 들면, 석영의 유전체(205)에 카본의 플레이트 전극(210a, 210b, 210c)을 각각 끼워 넣고, 압착하는 방법이 고려된다.
(구동 기구)
홈부(105d)에 마련된 링 전극(105e)은 도 1에 나타낸 모터(105f)의 동력에 의해 승강한다. 이것에 의해, 링 전극(105e)과 각 플레이트 전극(210a, 210b, 210c)의 전기적 접속 상태가 제어된다. 또, 링 전극(105e) 및 모터(105f)는 구동 기구의 일예이며, 그 중, 링 전극(105e)은 구동부의 일예이다. 구동부의 다른 예로서는 봉형상의 전극을 들 수 있다.
링 전극(105e)의 승강에 의해 각 플레이트 전극(210a, 210b, 210c)의 커넥터 C와 링 전극(105e)의 거리를 제어하는 것에 의해서, 각 플레이트 전극(210a, 210b, 210c)과의 전위가 제어된다. 예를 들면, 링 전극(105e)이 상부의 플레이트 전극(210a)의 근방까지 내려간 경우, 링 전극(105e)이 플레이트 전극(210a)의 커넥터 C에 근접하고, 플레이트 전극(210a)과 링 전극(105e)이 전기적으로 접속된다. 본 실시형태에서 링 전극(105e)은 도시되지 않았지만 그라운드 전위로 유지되어 있다. 따라서, 본 실시형태에서 전기적으로 접속된다고 하는 것은 플레이트 전극(210a)이 그라운드 전위에 근접하고, 고주파 전류가 플레이트 전극(210a)의 표피를 흐르는 상태를 말한다.
즉, 링 전극(105e)에서 플레이트 전극(210a)의 커넥터 C까지의 간격이 고주파 전력의 스킨 깊이보다 작아졌을 때, 플레이트 전극(210a)과 링 전극(105e)이 전기적으로 접속된다. 스킨 깊이는 도전체의 표면 부분을 실질적으로 고주파의 전류가 통과하는 표피의 두께를 나타낸다. 따라서, 본 실시형태와 같이 링 전극(105e)에서 플레이트 전극까지의 거리가 스킨 깊이보다 작으면, 고주파의 전류는 플레이트 전극(210a)의 표면을 흐른다. 한편, 링 전극(105e)에서 플레이트 전극까지의 거리가 스킨 깊이를 넘으면, 고주파의 전류는 플레이트 전극의 표면을 흐를 수 없다. 그 결과, 플레이트 전극은 그라운드 전위보다 어느 정도 높은 플로팅 전위가 된다. 이와 같이 해서, 플레이트 전극의 전위를 제어한다.
스킨 깊이는 다음의 식으로부터 정의된다.
δ=(2/ωσμ)1/2
단, ω=2πf(f: 주파수), σ:도전율, μ:투자율
그 후, 링 전극(105e)이 중앙의 플레이트 전극(210b)의 근방까지 내려가고, 링 전극(105e)이 플레이트 전극(210b)의 커넥터 C에 근접하면, 플레이트 전극(210a) 및 플레이트 전극(210b)과 링 전극(105e)이 전기적으로 접속된다. 따라서, 이 상태에서는 플레이트 전극(210a) 및 플레이트 전극(210b)이 그라운드 전위로 제어된다.
그 후, 링 전극(105e)이 하부의 플레이트 전극(210c)의 근방까지 내려가고, 링 전극(105e)이 플레이트 전극(210c)의 커넥터 C에 근접하면, 플레이트 전극(210c)과 링 전극(105e)이 전기적으로 접속된다. 따라서, 이 상태에서는 모든 플레이트 전극(210a, 210b, 210c)이 그라운드 전위로 제어된다.
이와 같이 해서 각 플레이트 전극의 전위를 제어하기 위해, 커넥터 C의 단부에서 링 전극(105e)까지의 거리 P1은 플레이트 전극(210a, 210b, 210c) 중 상부의 플레이트 전극(210a)에서 유전체(205)의 상면까지의 거리 P2보다 충분히 작게 형성되어 있다. 이것에 의해, 플레이트 전극(210a)과 유전체(205)상의 상부 기재(105a)의 금속이 전기적으로 접속되어 버리는 것을 회피하고, 각 플레이트 전극(210a, 210b, 210c)을 그라운드 전위 및 플로팅 전위로 정밀도 좋게 제어할 수 있다.
즉, 본 실시형태에서는 거리 P2를 거리 P1보다 충분히 길게 하는 것에 의해서, 플레이트 전극(210a)과 상부 기재(105a)의 사이(거리 P2 부분)에 생기는 임피던스 Z2를, 링 전극(105e)과 각 커넥터 C의 사이(거리 P1 부분)에 발생하는 임피던스 Z1보다 충분히 크게 한다. 이 상태에서, 링 전극(105e)과 각 커넥터 C의 사이의 거리 P1을 제어하는 것에 의해, 각 전극(210a, 210b, 210c)을 그라운드 전위 또는 플로팅 전위로 제어한다.
또한, 본 실시형태에서는 플레이트 전극의 커넥터 C의 부분을 플레이트의 부분보다 두껍게 하는 것에 의해서 커플링하기 쉽도록 고안하고 있다. 링 전극(105e)과 각 커넥터 C의 사이의 유전체에 유전율이 높은 물질을 이용하면 더욱 커플링하기 쉬워지고, 각 플레이트 전극의 전위 제어의 정밀도를 높일 수 있다.
(플레이트 전극과 전기장 강도 분포의 관계)
다음에, 플레이트 전극의 상기 전위 제어와 전기장 강도 분포의 관계에 대해 설명한다. 도 2c에 나타낸 바와 같이, 상부 전극(905)의 중심에 평탄한 유전체(920a)를 매설한 경우, 전극 중앙에서의 전기장 강도 분포 E/Emax가 저하한다. 고주파의 전류가 상부 전극(905)의 금속 표면을 흐를 때, 상부 전극(905)의 중심부에 마련된 유전체(920a)의 용적에 따른 캐패시턴스 성분과 상부 전극(905)의 하면 근방에 형성된 시스(Sheath) 영역의 캐패시턴스 성분에 의해 분압이 발생하고, 유전체(905)의 하부에서 고주파의 전기장 강도에 분산이 발생하기 때문이다.
전기장 강도 분포를 개선하기 위해, 도 2b에 나타낸 바와 같이, 유전체(920)를 테이퍼형상으로 하면 상부 전극(905)의 단부에서 중앙을 향해 전기장 강도 분포 E/Emax의 균일성이 높아진다. 유전체(920)의 단부에서는 중심부보다 캐패시턴스 성분이 커지기 때문에, 평탄한 유전체(920a)를 마련한 경우보다 유전체(920)의 가장자리에서 전기장 강도 분포 E/Emax가 너무 저하하지 않고, 균일한 전기장 강도 분포가 얻어지기 때문이다.
그러나, 유전체(920)가 테이퍼형상이면, 기계 가공상의 정밀도에 의해 테이퍼 부분에서의 치수 정밀도가 나빠진다. 그 결과, 열 팽창에 의한 응력 집중이 발생하고, 접합 계면의 접착제가 박리하여 챔버 내의 오염의 원인으로 된다.
따라서, 본 실시형태에서는 플레이트 전극(210a, 210b, 210c)을 유전체(205)내에 매립하는 것에 의해, 전기장 강도 분포 E/Emax의 균일성을 높인다. 이하, 도 3a와 3b의 시뮬레이션 결과에 의거하여 플레이트 전극의 배치와 그 작용 및 효과에 대해 상술한다.
시뮬레이션 조건으로서는 유전체(205)의 중앙에서 각 플레이트 전극(210a, 210b, 210c)까지의 거리(각 플레이트 전극(210a, 210b, 210c)의 개구의 반경) r을 40㎜, 80㎜, 120㎜로 각각 설정하였다. 또, 유전체(205)의 반경은 150㎜이며, 석영으로 형성되어 있다.
유전체(205)의 두께 a는 4mm, 10mm, 16㎜로 가변으로 하였다. 유전체(205)의 두께 a가 4㎜인 경우, 인접하는 플레이트 전극의 중심간의 거리 b(및 유전체 상면에서 상부 플레이트 전극(210a)의 중심까지의 거리 b)는 1㎜, 유전체 하면에서 하부 플레이트 전극(210c)의 중심까지의 거리 c는 1㎜이다. 플레이트 전극의 두께는 0.8㎜로 설정했으므로, 플레이트 전극간의 거리는 0.2㎜로 된다.
유전체(205)의 두께 a가 10㎜인 경우, 인접하는 플레이트 전극의 중심간의 거리 b(및 유전체 상면에서 상부 플레이트 전극(210a)의 중심까지의 거리 b)는 3㎜, 유전체 하면에서 하부 플레이트 전극(210c)의 중심까지의 거리 c는 1㎜이다. 이 경우에도 플레이트 전극의 두께는 0.8㎜이므로, 플레이트 전극간의 거리는 2.2㎜로 된다.
유전체(205)의 두께 a가 16㎜인 경우, 인접하는 플레이트 전극의 중심간의 거리 b(및 유전체 상면에서 상부 플레이트 전극(210a)의 중심까지의 거리 b)는 5㎜, 유전체 하면에서 하부 플레이트 전극(210c)의 중심까지의 거리 c는 1㎜, 플레이트 전극간의 거리는 4.2㎜로 된다.
각 플레이트 전극(210a, 210b, 210c)의 시트 저항율은 2Ω/□ 이하의 저저항으로 할 필요가 있다. 각 플레이트 전극(210a, 210b, 210c)의 바깥가장자리부와 안가장자리부를 대략 등전위로 하는 것에 의해, 플레이트 전극(210a, 210b, 210c)의 금속 표면을 흐르는 전류량을, 상부 기재(105a)의 금속 표면을 흐르는 전류량과 대략 동일하게 하기 위함이다. 그 결과, 플라즈마측에서 보면, 상부 기재(105a)와 각 플레이트 전극(210a, 210b, 210c)은 일체로서 보인다. 이것에 의해, 각 플레이트 전극(210a, 210b, 210c)의 플라즈마측과 반대측에 위치하는 유전체(205)의 부분은 존재하지 않는 것처럼 보인다. 즉, 플라즈마에서 보아 유전체(205) 중 각 플레이트 전극의 배면측에 있는 부분은 각 플레이트 전극에 의해 차단된다.
따라서, 각 플레이트 전극(210a, 210b, 210c)의 개구 직경을 바꾸어 플라즈마측에서 플레이트 부분의 단차가 보이도록 각 플레이트 전극을 배치하는 것에 의해, 모든 플레이트 전극(210a, 210b, 210c)이 그라운드 전위로 제어되어 있는 경우에는 유전체(205)의 단부측에서는 중심측보다 3단계의 단차를 갖고 캐패시턴스 성분이 변화한다. 그 결과, 도 3b의 그래프의 GND4(0.2)(즉, 모든 플레이트 전극(210a, 210b, 210c)의 전위가 그라운드이며 유전체의 두께가 4㎜, 전극간 거리가 0.2인 경우), GND10(2.2), GND16(4.2)로 나타낸 바와 같이, 도 2(c)의 평탄한 유전체(920)를 마련한 경우보다 유전체의 단부에서 전기장 강도 분포 E/Emax가 너무 저하하지 않고, 균일한 전기장 강도 분포를 얻을 수 있다. 이것에 의해, 본 실시형태에 의해서, 도 2b에 나타낸 유전체를 테이퍼형상으로 한 경우와 유사한 효과를 얻을 수 있다.
또한, 그래프의 결과로부터 모든 플레이트 전극이 대략 그라운드 전위인 경우의 그래프의 GND4(0.2), GND10(2.2), GND16(4.2)에서는 유전체(205)의 두께가 클수록 전기장 강도 분포 E/Emax를 저하시키는 효과는 큰 것을 알 수 있다.
또한, 모든 플레이트 전극(210a, 210b, 210c)이 플로팅 전위인 경우의 그래프의 GND4(0.2), GND10(2.2), GND16(4.2)에서는 모든 플레이트 전극(210a, 210b, 210c)이 그라운드 전위의 경우보다 전기장 강도 분포 E/Emax를 저하시키는 효과는 작은 것을 알 수 있다.
단, 모든 플레이트 전극(210a, 210b, 210c)이 그라운드 전위 또는 플로팅 전위의 어느 경우에도, 플레이트 전극이 매설되어 있지 않은 유전체의 경우(all Qz)보다 전기장 강도 분포 E/Emax를 저하시키는 효과가 있는 것을 알 수 있다.
본 실시형태에 의하면, 고주파의 전류가 상부 전극(105)측의 상부 기재(105a)의 금속 표면을 흐를 때, 상부 기재(105a)에 끼워 넣어진 유전체(205)에 따른 캐패시턴스에 의해 고주파의 에너지에 분산이 발생한다. 따라서, 유전체 표면에서는 기재 표면에 비해 고주파의 전기장 강도 분포를 저하시킬 수 있다. 이것에 부가해서, 본 발명에 관한 전극에 의하면, 상기 유전체내에 플레이트 전극이 매설되어 있다. 고주파의 전류는 도전성 커버의 금속 표면을 흐르는 동시에 유전체내에 플레이트 전극의 표피에도 흐르도록 전위 제어된 경우, 각 플레이트 전극의 플라즈마측과 반대측에 위치하는 유전체의 부분은 존재하지 않는 것과 같아진다. 이것에 의해, 고주파의 전기장 강도 분포를 균일화할 수 있다.
또한, 본 실시형태에 의하면, 상부 전극(105)이 플라즈마에 의해 깎였을 때에도 플레이트 전극(210a, 210b, 210c)이 플라즈마에 노출되어 있지 않기 때문에, 플레이트 전극의 손상을 막을 수 있다. 또한, 유전체내에 플레이트 전극(210a, 210b, 210c)을 매설하는 것에 의해, 복수개의 플레이트 전극에 단차를 갖게하는 구조를 실현할 수 있다.
플레이트 전극(210a, 210b, 210c)은 1개라도 좋지만, 본 실시형태와 같이 복수개 배치되어 있으면, 프로세스 조건이 변경되어 전기장 강도 분포가 변경되었을 때에도 대응할 수 있다. 즉, 프로세스 조건에 따라 구동 기구를 움직여 각 플레이트 전극의 전위를 그라운드 전위 또는 플로팅 전위로 전환한다. 예를 들면, 상부 전극(105)의 중앙의 전기장 강도가 매우 높은 경우에는 3개의 플레이트 전극을 커플링해서, 3개의 플레이트 전극(210a, 210b, 210c)의 전위를 그라운드로 한다. 한편, 중앙의 전기장 강도가 그다지 높지 않은 경우에는 가장 상부의 플레이트 전극(210a)만 커플링한다. 이와 같이 해서, 각 플레이트 전극의 전위의 상태를 제어하는 것에 의해, 플레이트 전극끼리의 오버랩량으로 정전 용량을 가변으로 할 수 있기 때문에, 프로세스 조건이 변화해도, 그것에 따라 고주파의 전기장 강도 분포를 균일화할 수 있다.
(구체적인 구동 방법)
다음에, 링 전극(105e)과 플레이트 전극(210a, 210b, 210c)의 접속방식에 대해 도 4a와 4b를 참조하면서 개념적으로 설명하는 동시에, 링 전극(105e)의 구체적인 구동 방법에 대해 도 5 ~ 6b에 의거하여 몇 개의 예를 설명한다.
링 전극(105e)과 플레이트 전극(210a, 210b, 210c)의 접속방식으로서는 접촉 방식이라도 좋고 비접촉 방식이라도 좋다. 예를 들면, 전술한 바와 같이 도 4a의 커넥터 접속방식 이외에, 도 4(b)의 접촉 접속방식이 있다. 도 4b의 접촉 접속방식에서는 도 4a와 같이 플레이트 전극(210a, 210b, 210c)의 단부에 커넥터 C는 필요없고, 링 전극(105e)의 승강 상태에 의해 각 플레이트 전극의 단부 Q가 링 전극(105e)과 직접 접촉하거나, 비접촉이 되는 것에 의해 각 플레이트 전극과의 물리적 접속을 제어한다. 이것에 의해, 각 플레이트 전극의 전위의 상태를 제어한다.
링 전극(105e)의 구체적인 구동 방법으로서는 (1) 링 전극(105e)을 회전시키지 않고 승강시키는 경우, (2) 링 전극(105e)을 회전시키면서 승강시키는 경우, (3) 링 전극(105e)을 승강시키지 않고 회전시키는 경우를 들 수 있다. 어느 경우라도, 도 4a의 커넥터 접속방식 및 도 4b의 접촉 접속방식에 사용할 수 있다.
예를 들면, 도 4a와 4b에 나타낸 (1)의 승강 제어에서는 링 전극(105e)으로서 3등배 이상의 직동 가이드 기구(Linearly Moving Guide Mechanism)를 설치하고, 직동 가이드 기구에 동력을 전달하는 것에 의해, 직동 가이드 기구를 승강시킨다. 동력 발생원은 직동 변환을 갖는 모터(예를 들면, 서보·스테핑) 이외에, 압축공기에 의해 동작하는 액추에이터나 전자 솔레노이드 액추에이터 등을 들 수 있다. 자기적인 구동이라도 좋고 전기적인 구동이라도 좋다.
직동 변환을 갖는 모터나 전자 솔레노이드 액추에이터를 사용한 경우, 링 전극(105e)을 3개의 위치에 각각 제어하는 것에 의해, 모든 플레이트전극(210a. 201b, 210c)의 접속, 플레이트 전극(210a) 및 플레이트 전극(210b)의 접속, 플레이트 전극(210a)의 접속의 링 전극(105e)의 3개의 포지션을 제어할 수 있다. (1)의 승강 제어에서는 링 전극(105e)은 회전하지 않는다.
도 5에 나타낸 (2)의 회전 승강 제어에서는 링 전극(105e)의 외주(내주라도 좋음)에 나사 형상의 나선형 홈을 형성하고, 볼 나사 기구 R을 거쳐서 링 전극(105e)에 모터(예를 들면, 서보·스테핑)의 동력을 전달하는 것에 의해, 링 전극(105e)을 회전시키면서 승강시킨다. 이것에 의하면, 링 전극(105e)의 위치 제어에 의해, 모든 플레이트전극(210a. 201b, 210c)의 접속, 플레이트 전극(210a) 및 플레이트 전극(210b)의 접속, 플레이트 전극(210a)만의 접속이 가능하다.
(3)의 회전 제어에서는 도 6a와 6b1, 6b2에 나타낸 바와 같이, 링 전극(105e)의 내벽측에 움푹패임부(105e1)를 마련하는 동시에, 유전체(205)의 외벽측에 이빨형상(Tooth shape)으로 돌출부(205a)를 형성한다. 도 6a는 상부 기재(105a)를 생략하고, 링 전극(105e)과 유전체(205)만을 나타낸 사시도이며, 도 6b1과 6b2는 도 6a의 VIB-VIB단면도로서 전극(105e)의 움푹패인부(105e1)과 유전체(205)의 돌출부(205a)와의 상대적인 위치변화를 나타낸 도면이다.
링 전극(105e)의 내벽측의 움푹패임부(105e1)는 등간격으로 형성되어 있다. 또한, 유전체(205)의 이빨형상의 돌출부(205a)도 움푹패임부(105e1)와 동일한 등간격으로 형성되어 있다. 링 전극(105e)에 모터(예를 들면, 서보·스테핑)의 동력을 전달해서 링 전극(105e)을 회전하는 것에 의해, 링전극(105e와 플레이트전극(210b)와의 전기적인 접속 모드와 비접속 모드로 전환할 수 있다.
접속 모드는 도 6b1에 나타낸 바와 같이, 움푹패임부(105e1)와 돌출부(205a)가 대향하지 않고, 링 전극(105e)의 내벽과 돌출부(205a)가 대향하는 위치에 링 전극(105e)을 회전시킨 경우이다. 이 접속 모드에서는 플레이트 전극(210a, 210b, 210c)과 링 전극이 전기적으로 접속되고, 플레이트 전극(210a, 210b, 210c)은 그라운드 전위가 된다.
비접속 모드는 도 6b2에 나타낸 바와 같이, 움푹패임부(105e1)와 돌출부(205a)가 대향하는 위치에 링 전극(105e)을 회전시킨 경우이다. 이 비접속 모드에서는 플레이트 전극(210a, 210b, 210c)과 링 전극(105e)이 전기적으로 비접속이 되고, 플레이트 전극(210a, 210b, 210c)은 플로팅 전위가 된다.
(3)의 회전 제어는 특히 커넥터 접속방식에 적합하다. 이것에 의하면, (1)의 승강 제어 및 (2)의 회전 승강 제어에서는 불가능한 접속, 예를 들면, 전체 접속, 플레이트 전극(210a) 및 플레이트 전극(210b)의 접속, 플레이트 전극(210a)만의 접속에 한정되지 않고, 플레이트 전극(210a) 및 플레이트 전극(210c)의 접속이나, 플레이트 전극(210b) 및 플레이트 전극(210c)의 접속도 가능하게 된다. 그러나, 접속점은 균등 등배 접속으로는 되어도 전체 둘레 접속으로는 되지 않는 경우가 있다.
또, (3)의 회전 제어에서는 링 전극(105e)은 승강하지 않는다. 또한, 링 전극(105e) 및 유전체(205)에 요철을 형성하는 대신, 링 전극(105e)과 유전체(205)의 형상은 서로 전기적으로 연결 및 분리 가능하다면 어떠한 형상이라도 좋다.
또한, 이상의 (1)∼(3)의 제어 방법에서는 링 전극측이 회전 또는 승강했지만, 링 전극측을 고정으로 하고, 전극측을 회전 또는 승강시키도록 해도 좋다.
이상, 첨부 도면을 참조하면서 본 발명의 바람직한 실시형태에 대해 상세하게 설명했지만, 본 발명은 이러한 예에 한정되지 않는다. 본 발명이 속하는 기술의 분야에 있어서의 통상의 지식을 갖는 자라면, 특허청구의 범위에 기재된 기술적 사상의 범주내에서, 각종의 변경예 또는 수정예가 가능한 것은 명확하며, 이들에 대해서도, 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
예를 들면, 상기 실시형태에서는 상부 전극을 예로 들어 설명했지만, 본 발명은 이러한 예에 한정되지 않는다. 예를 들면, 본 발명에서는 플레이트 전극은 하부 전극에 마련되어 있어도 좋다. 도 7에 플레이트 전극(210a, 210b, 210c)을 하부 전극(110)에 매립한 구성을 나타낸다. 이 경우, 정전 척 기구(125)에 마련된 금속시트부재(125b)의 아래쪽에서 유전체(500)에 플레이트 전극(210a, 210b, 210c)이 매설된다.
본 발명에 따른 상부 전극은 도 8에 나타낸 구성을 가져도 좋다. 도 8에 나타낸 상부 전극에서는 유전체(205)의 기재의 하면 중앙 이외의 표면 전체가 도전성 커버(300)로 덮여 있다. 도전성 커버(300)는, 예를 들면, 알루미늄, 카본, 티탄, 텅스텐 등의 금속으로 형성되어 있다. 도전성 커버(300)는 용사, 테이프 또는 시트형상 부재의 점착, 이온 플레이팅, 도금 중의 어느 하나에 의해, 유전체(205')의 기재에 밀착시켜 수 십 ㎛의 두께로 형성된다. 이것에 의하면, 고주파의 전류가 도전성 커버(300)의 금속 표면을 흐를 때, 도전성 커버(300)의 개구부에 있는 유전체(205')의 기재에 따른 캐패시턴스에 의해 고주파의 에너지에 분산이 발생한다. 따라서, 유전체(205')에서 기재를 형성한 경우, 금속으로 기재를 형성한 경우에 비해 도전성 커버(300)의 개구부에서 고주파의 전기장 강도 분포를 저하시킬 수 있다. 이것에 부가해서, 유전체(205')의 기재에는 플레이트 전극(210a, 210b, 210c)이 매립되어 있다. 이것에 의해, 플라즈마에서 보아 플레이트 전극(210a, 210b, 210c)의 배면측에 있는 부분은 각 플레이트 전극(210a, 210b, 210c)에 의해 차단된다. 따라서, 플레이트 전극이 그라운드 전위로 제어되어 있는 경우에는 유전체(205')의 가장자리측에서는 중심측보다 캐패시턴스 성분이 커진다. 그 결과, 플레이트 전극(210a, 210b, 210c)의 가장자리에서 전기장 강도 분포 E/Emax가 너무 저하하지 않고, 균일한 전기장 강도 분포를 얻을 수 있다.
또한, 기재(105a)의 표면 전체를 내플라즈마성이 높은 산화이트륨에 의해 용사하는 것에 의해서(FC 코트), 표면 용사층(310)을 형성할 수도 있다. 표면 용사층(310)의 두께는 100∼200㎛ 정도가 좋다.
또한, 표면 용사층(310)을 박리한 후, 재용사하는 것에 의해, 간단하게 전극을 재생할 수 있다.
본 발명에 관하는 플라즈마 처리 장치는 상기 실시형태에 나타낸 평행 평판형의 플라즈마 처리 장치에 한정되지 않고, ICP(Inductively Coupled Plasma) 플라즈마 처리 장치 등의 장치에 사용할 수 있다. 또한. 본 발명은 에칭 처리 장치, CVD 처리 장치, 태양 전지용의 플라즈마 처리 장치에 적용 가능하다.
또한, 예를 들면, 상기 실시형태에서는 고주파 전력은 하부 전극에 인가되었지만, 본 발명에 관한 플라즈마 처리 장치에서는 상부 전극 및 하부 전극의 어느 하나에 고주파 전력을 인가해도 좋고, 쌍방의 전극에 인가해도 좋다.
10: 플라즈마 에칭 장치 100: 처리용기
105: 상부 전극 105a: 상부 기재
105b: 가스 확산부 105c: 가스 구멍
105d: 홈부 105e: 링 전극
105e1: 움푹패임부 105f: 모터
110: 하부 전극 110a: 하부 기재
125: 정전 척 기구 150: 제 1 고주파 전원
205, 500, 920: 유전체 205a: 돌출부
210a, 210b, 210c: 플레이트 전극
E/Emax: 전기장 강도 분포 C: 커넥터

Claims (16)

  1. 내부에서 피처리체가 플라즈마 처리되는 처리용기와,
    상기 처리용기의 내부에서 서로 대향하고, 그 사이에 플라즈마 생성공간을 형성하는 대향 전극 및 인가 전극과,
    상기 인가 전극에 접속되고 상기 인가 전극에 고주파 전력을 출력하는 고주파 전원을 구비하되,
    상기 대향 전극 및 상기 인가 전극 중 적어도 어느 하나는 금속으로 형성된 기재와, 내부에 하나 또는 복수개의 금속의 플레이트 전극을 매설한 상태에서 상기 기재에 끼워 넣어진 유전체를 구비하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 플레이트 전극은 상기 유전체의 내부에서 서로 간격을 두고 복수개 적층되어 있는 플라즈마 처리 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 복수개의 플레이트 전극은 패턴화되어 있는 플라즈마 처리 장치.
  4. 제 3 항에 있어서,
    상기 복수개의 플레이트 전극은 상기 유전체의 중심에 대해 동심원형상으로 크기가 다른 개구를 갖고 있는 플라즈마 처리 장치.
  5. 제 4 항에 있어서,
    상기 복수개의 플레이트 전극의 개구는 플라즈마 생성 공간측에 위치하는 플레이트 전극일수록 큰 플라즈마 처리 장치.
  6. 제 2 항 내지 제 5 항 중 어느 한 항에 있어서,
    구동부를 갖고, 해당 구동부를 구동하는 것에 의해 상기 복수개의 플레이트 전극의 각각과의 물리적 접속 또는 전기적 접속을 제어하는 구동 기구를 더 구비하는 플라즈마 처리 장치.
  7. 제 6 항에 있어서,
    상기 구동 기구는 상기 구동부로서의 링 전극을 구동하는 것에 의해 상기 복수개의 플레이트 전극의 각각과 상기 링 전극의 물리적 접속 또는 전기적 접속을 제어하는 플라즈마 처리 장치.
  8. 제 6 항 또는 제 7 항에 있어서,
    상기 구동 기구는 상기 구동부를 회전시키지 않고 승강시키거나, 상기 구동부를 회전시키면서 승강시키거나, 또는 승강시키지 않고 회전시키는 것 중의 어느 하나에 의해 상기 복수개의 플레이트 전극의 각각과의 물리적 접속 또는 전기적 접속을 제어하는 플라즈마 처리 장치.
  9. 제 2 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 복수개의 플레이트 전극은 동일 금속으로 형성되어 있는 플라즈마 처리 장치.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 플레이트 전극의 시트 저항율은 2Ω/□ 이하인 플라즈마 처리 장치.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 유전체의 플라즈마 생성공간측의 면의 적어도 일부는 상기 기재로부터 노출되어 있는 플라즈마 처리 장치.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 유전체의 플라즈마 생성공간측의 면은 용사층에 의해 덮여 있는 플라즈마 처리 장치.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 플레이트 전극이 매립된 전극은 상부 전극이며,
    상기 상부 전극에는 복수의 가스 도입관이 관통되어 있는 플라즈마 처리 장치.
  14. 제 13 항에 있어서,
    상기 상부 전극의 유전체 위쪽에는 상기 복수의 가스 도입관과 연통하고, 가스를 확산하는 가스 확산부가 마련되어 있는 플라즈마 처리 장치.

  15. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 플레이트 전극이 매립된 전극은 하부 전극이며,
    상기 하부 전극의 정전 척 기구에 마련된 금속시트부재의 아래쪽에서 상기 하부 전극의 유전체에 상기 플레이트 전극이 매설되어 있는 플라즈마 처리 장치.
  16. 인가된 고주파 전력에 의해 가스로부터 플라즈마를 생성하고, 생성된 플라즈마를 이용해서 피처리체를 플라즈마 처리하는 플라즈마 처리 장치용의 전극으로서,
    상기 전극은 서로 대향하고, 그 사이에 플라즈마 생성공간을 형성하는 대향 전극 및 인가 전극 중의 적어도 어느 하나이며,
    금속으로 형성된 기재와,
    내부에 금속의 플레이트 전극을 매설한 상태에서 상기 기재에 끼워 넣어진 유전체를 구비하는 플라즈마 처리 장치용의 전극.
KR1020100136159A 2009-12-28 2010-12-28 플라즈마 처리 장치 및 그것에 이용되는 전극 KR101739594B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2009-297687 2009-12-28
JP2009297687A JP5606063B2 (ja) 2009-12-28 2009-12-28 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20110076815A true KR20110076815A (ko) 2011-07-06
KR101739594B1 KR101739594B1 (ko) 2017-05-24

Family

ID=44174694

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100136159A KR101739594B1 (ko) 2009-12-28 2010-12-28 플라즈마 처리 장치 및 그것에 이용되는 전극

Country Status (5)

Country Link
US (1) US9245776B2 (ko)
JP (1) JP5606063B2 (ko)
KR (1) KR101739594B1 (ko)
CN (1) CN102110574B (ko)
TW (1) TWI518774B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210098522A (ko) * 2018-12-06 2021-08-10 도쿄엘렉트론가부시키가이샤 샤워 플레이트, 플라스마 처리 장치 및 플라스마 처리 방법
US11629398B2 (en) * 2018-12-20 2023-04-18 Oerlikon Surface Solutions Ag, Pfäffikon Cathodic arc ignition device

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5265700B2 (ja) * 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013131485A (ja) * 2011-11-22 2013-07-04 Kobe Steel Ltd プラズマ発生源の冷却機構及び冷却方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103915304B (zh) * 2014-03-18 2016-08-17 京东方科技集团股份有限公司 一种等离子体刻蚀装置及干法刻蚀设备
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102487342B1 (ko) * 2016-06-14 2023-01-13 삼성전자주식회사 정전척 어셈블리 및 이를 구비하는 플라즈마 처리장치
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018129224A (ja) * 2017-02-09 2018-08-16 東京エレクトロン株式会社 プラズマ処理装置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10460978B2 (en) * 2017-03-08 2019-10-29 Lam Research Corporation Boltless substrate support assembly
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6595002B2 (ja) 2017-06-27 2019-10-23 キヤノンアネルバ株式会社 スパッタリング装置
CN110800377B (zh) 2017-06-27 2022-04-29 佳能安内华股份有限公司 等离子体处理装置
TWI693864B (zh) * 2017-06-27 2020-05-11 日商佳能安內華股份有限公司 電漿處理裝置
KR102421625B1 (ko) 2017-06-27 2022-07-19 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
SG11201912566WA (en) 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI829367B (zh) * 2017-11-16 2024-01-11 日商東京威力科創股份有限公司 電漿處理裝置、溫度控制方法及溫度控制程式
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN109876751B (zh) * 2017-12-06 2020-12-01 中国科学院大连化学物理研究所 一种等离子体反应器及其应用
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
SG11202009122YA (en) 2018-06-26 2020-10-29 Canon Anelva Corp Plasma processing apparatus, plasma processing method, program, and memory medium
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN114203506B (zh) * 2020-09-18 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及其方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1350279A (en) * 1918-02-07 1920-08-17 Western Union Telegraph Co Adjustable condenser
US3341671A (en) * 1966-03-14 1967-09-12 Hamilton Watch Co Multiple radio frequency switch with improved slidable contact structure
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JPH0235438U (ko) * 1988-08-29 1990-03-07
EP0585229B1 (en) * 1991-05-21 1995-09-06 Materials Research Corporation Cluster tool soft etch module and ecr plasma generator therefor
US5660744A (en) * 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5401974A (en) * 1993-03-18 1995-03-28 Fujitsu Limited Charged particle beam exposure apparatus and method of cleaning the same
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JP2659919B2 (ja) * 1994-01-13 1997-09-30 インターナショナル・ビジネス・マシーンズ・コーポレイション プラズマの不均一性を補正するプラズマ装置
US5932116A (en) * 1995-06-05 1999-08-03 Tohoku Unicom Co., Ltd. Power supply for multi-electrode discharge
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6056848A (en) * 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
JP3731792B2 (ja) * 1997-01-24 2006-01-05 豊丸産業株式会社 遊技機
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6273958B2 (en) * 1999-06-09 2001-08-14 Applied Materials, Inc. Substrate support for plasma processing
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6228438B1 (en) * 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
JP4493756B2 (ja) * 1999-08-20 2010-06-30 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
JP4718093B2 (ja) * 2000-03-28 2011-07-06 東京エレクトロン株式会社 複合セグメント電極に供給される電力を制御するための方法並びにシステム
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
KR20040070008A (ko) * 2003-01-29 2004-08-06 쿄세라 코포레이션 정전척
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
CN100432024C (zh) * 2003-10-31 2008-11-12 株式会社德山 氮化铝接合体及其制造方法
US7421973B2 (en) * 2003-11-06 2008-09-09 Axcelis Technologies, Inc. System and method for performing SIMOX implants using an ion shower
US7748344B2 (en) * 2003-11-06 2010-07-06 Axcelis Technologies, Inc. Segmented resonant antenna for radio frequency inductively coupled plasmas
JP4364667B2 (ja) * 2004-02-13 2009-11-18 東京エレクトロン株式会社 溶射部材、電極、およびプラズマ処理装置
US7393432B2 (en) * 2004-09-29 2008-07-01 Lam Research Corporation RF ground switch for plasma processing system
JP4642528B2 (ja) 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US8157952B2 (en) * 2005-06-03 2012-04-17 Tokyo Electron Limited Plasma processing chamber, potential controlling apparatus, potential controlling method, program for implementing the method, and storage medium storing the program
US7829463B2 (en) 2006-03-30 2010-11-09 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20080073032A1 (en) * 2006-08-10 2008-03-27 Akira Koshiishi Stage for plasma processing apparatus, and plasma processing apparatus
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
JP5029089B2 (ja) * 2007-03-26 2012-09-19 東京エレクトロン株式会社 プラズマ処理装置用の載置台及びプラズマ処理装置
US8980049B2 (en) * 2007-04-02 2015-03-17 Charm Engineering Co., Ltd. Apparatus for supporting substrate and plasma etching apparatus having the same
JP5315796B2 (ja) * 2007-06-18 2013-10-16 株式会社村田製作所 積層セラミックコンデンサ
US8962101B2 (en) * 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
JP5683822B2 (ja) * 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
JP5361457B2 (ja) * 2009-03-06 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210098522A (ko) * 2018-12-06 2021-08-10 도쿄엘렉트론가부시키가이샤 샤워 플레이트, 플라스마 처리 장치 및 플라스마 처리 방법
US11629398B2 (en) * 2018-12-20 2023-04-18 Oerlikon Surface Solutions Ag, Pfäffikon Cathodic arc ignition device

Also Published As

Publication number Publication date
TW201135835A (en) 2011-10-16
JP5606063B2 (ja) 2014-10-15
US9245776B2 (en) 2016-01-26
US20110155322A1 (en) 2011-06-30
KR101739594B1 (ko) 2017-05-24
CN102110574A (zh) 2011-06-29
JP2011138907A (ja) 2011-07-14
TWI518774B (zh) 2016-01-21
CN102110574B (zh) 2016-08-17

Similar Documents

Publication Publication Date Title
KR20110076815A (ko) 플라즈마 처리 장치 및 그것에 이용되는 전극
CN102067737B (zh) 具有不同高度的内外电极的阴极
US9202675B2 (en) Plasma processing apparatus and electrode for same
KR101741062B1 (ko) 플라즈마 처리 장치
CN106486335B (zh) 利用二次等离子体注入的等离子体蚀刻系统及方法
KR101854937B1 (ko) 플라즈마 처리 챔버들에서 기생 플라즈마 방지
KR101995099B1 (ko) 플라즈마 처리 장치
CN102077328B (zh) 半导体设备中的射频功率传输系统
EP2490245A2 (en) Upper electrode and plasma processing apparatus
JP2009170509A (ja) ヒータ内蔵静電チャックを備えたプラズマ処理装置
JP5674328B2 (ja) 電極及びプラズマ処理装置
WO2000075970A1 (en) Substrate support for plasma processing
CN111354672A (zh) 静电卡盘及等离子体加工装置
JP5814430B2 (ja) プラズマ処理装置およびプラズマ処理装置用電極
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber
KR20180078067A (ko) 하이브리드 바이폴라 정전척
CN114628212A (zh) 一种等离子体处理腔室以及半导体制造设备

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant