TW200952071A - Plasma process with photoresist mask pretreatment - Google Patents

Plasma process with photoresist mask pretreatment Download PDF

Info

Publication number
TW200952071A
TW200952071A TW098115837A TW98115837A TW200952071A TW 200952071 A TW200952071 A TW 200952071A TW 098115837 A TW098115837 A TW 098115837A TW 98115837 A TW98115837 A TW 98115837A TW 200952071 A TW200952071 A TW 200952071A
Authority
TW
Taiwan
Prior art keywords
mask
layer
photoresist
deposition
gas
Prior art date
Application number
TW098115837A
Other languages
English (en)
Other versions
TWI496212B (zh
Inventor
Dong-Ho Heo
Ji-Soo Kim
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200952071A publication Critical patent/TW200952071A/zh
Application granted granted Critical
Publication of TWI496212B publication Critical patent/TWI496212B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

200952071 六、發明說明: 【發明所屬之技術領域】 士發_關於半導體元件之形成。具體而言 透過光阻(PR)遮罩在侧層钮刻特徵部而形成半導係關於 【先前技術】 在半導體晶圓處理期間,利用熟知的圖宰 1半導體元件讀徵較_社。
Ph〇二晶圓上,接著將其暴露遮以心 ❹ ❹ 之先源下。此初縮遮罩可為以阻擋光透& ^早 特徵部幾何形狀加以圖案化的玻射通過购遮單之例示性 光阻1ΐ=ίΓί罩後,光將接觸光阻材料之表面。光會改變 阻材料而言’曝光區域將被移除; ^ ° 區域將被移除。之後,晶圓香到斜如貝九阻材科而吕,未曝光 之區③移除下層材料’從而於晶圓1定材料保護 波長為193 nm之氟化氬(ArF)準分子帝二 級別的積體電路,如次0〇4元徠二射已被用來製造最高 ,技術)之上述技術能使製; 之聚焦深度(景深)關,如此小的電 為圖案化影像 更薄的光阻。例如,丨些DRAM製飽更糾解析度及 使用厚度低於l〇〇nm Bltlme)之ArF微影製程 且非期望地被蝕刻或受損宝。 /專弱之光阻遮罩會輕易 【發明内容】 為實現上述且依據本發明之目 罩在介電層飿刻特徵部的 ^ ^供-種絲光阻㈣遮 光,將光阻遮罩加以圖宰/ $不超過193 rnn之雷射 理’接著提供複數循環之體電I將PR遮罩預處 电水衣耘母個循環包含:沉積階段, 200952071 ’該沉積層覆蓋伙遮罩之遮罩特徵 以塑形。 成形,將沉積於PR遮罩上方之沉積層加 理可性驗可包含a—罩之預處 體流。在電Ϊ形成惰性氣體形成、及停止惰性氣 之實施樣態中,魏形成"並&6()ΜΗζ或更高之高頻。在本發明 在本發明之t實供;率低於50廳之处能量。 介電層姓刻特徵種透過光阻㈣遮罩在 光阻遮罩加以圖宰化 長不超過193™之雷射光,將 包含:-室壁,开Μ㈣二傷包含一電漿處理室’該電漿處理室 襞處理室之外殼‘之理二外殼;一基板支座,支持在電 室之外殼内的壓力,至3二昼力調節器’用以調節電漿處理 外殼以維持H 電極,顯提供電力至電漿處理室之 外殼;一氣體出口 提供氣體至電裝處理室之 更包含-氣體源,麵電水處理至之外殼排出氣體。該設備 氣體源、—沉積二二入口流體連通,該氣體源包含一蝕刻 該控制器包含至少連、'、0至軋肢源以及至少一電極, 含:(她惰性 <體^^=可^媒體’電腦可讀媒體包 從預處理氣體源撻遮罩預處理之電腦可讀碼,其包含 2之電腦可讀碼、及二口232了1,性氣體形成電 數循環之電腦可讀碼,备個抵t二之,可及⑼提供複 該電腦可讀碼(b)⑽.〃^^―沉麵段及-成形階段。 罩上方沉積一沉積之電腦可讀瑪,俾能於PR遮 ,壁;停止沉積^段之遮罩特徵部之頂部 鈥供成形階段氣體之雷電項碼,從成形階段氣體源 電腦可讀瑪,俾能將^ 絲階段氣體獅成電終 止成形階段氣體流之^腦可讀碼、。罩上方之沉積層加以塑形;及停 200952071 其他+ _發Μ上述及 【實施方式】 ^發明現在將參照一些較佳的實施例及舉例性附圖詳細地敘 J。,了要提供本發明之全面性的了解,許多的具體的細節會在 接下來的敘述巾提丨。然而賴悉本技藝者,本發明在沒有 的情況下仍可實施。在其他情況下,為了避免 〜洧本發明,熟知的製程步驟及/或結構並未詳細地描述。
為了幫助了解,圖1為依據本發明之實施例之包含光阻遮罩 預處理之電賴程的高階流糊。圖案化之姐鮮係設置 刻層上方(步驟102)。 ' 圖2A為依據本發明之實施例加工之堆疊細範例的橫叫面 圖。堆疊2。00包含形成於阻障層212上方的蝕刻層22〇,阻g層係 形成^晶圓210之上。在此例子中,層2〇8係配置於阻障層212 及,圓210之間,雖然顯示層2〇8形成於晶圓21〇上,可有任何 數量之層形成於钱刻層220與晶圓210之間。在此例子中,阻障 層212可為碳化石夕(SiC)層,或者其亦可為SiN。钱刻層⑽可為 low^k—介電質(如有機矽酸鹽介電質及多孔介電質),包含:來自加 州聖荷西(San Jose)之Novellus的CORAL™ ;來自加州聖克拉拉 (Santa Clara)之 Applied Materials 的 Black Diamond™ ;可從荷蘭之 ASM International N.V·得到的Aurora™ ;可從加州聖克拉拉之
Sumitomo Chemical America,Inc.得到的 Sumika Film® ;來自新澤 西(New Jersey)之 Allied Signal of Morristown 的 HOSP™ ;來自 DOW Chemical Company 的 SiLK™或先進多孔 SiLK ;來自 TrikQn 的 Orion®Fl〇wfillTM ;及來自 JSR 公司的 LKD™。 遮罩特徵部224之生成可藉由在蝕刻層22〇上方形成抗反射 層(ARL,anti-reflective layer)216來執行,可藉由旋塗式沉積來形成 ARL216 ’光阻遮罩232係設置於ARL 216上方(步驟1〇2)。夢由 將光阻層曝光於圖案化光源,接著將光阻層232顯影以將光阻9遮 200952071 罩232加以圖案化’而在光阻層得到遮罩特徵部故 浸潤式微影技術結合之ArF(193 nm)光阻來形成pR遮 /、 技術)。ArF PR適合於製造最高級別_ 微影 可職細— 遮罩為薄弱,隨後的電漿製程 離子此罝的面密度電漿,以避免视遮曰使=具有低 漿$程。然而,在上述之高密度低 3 電 子轟擊PR遮罩俾能增加PR材料中=中許首夕低能量離 漿製程中之PR縣之&=翔鍵理,斜地降倾後之電 粒問題,在了縮減起泡作用及最終的微 用惰性氣體電漿預先處_)之前,利 '=氣體最好為純_,但=°=二 在相同的錄^歸魏製辩驟106),最好 環,每個循環包含沉積電漿衣程(步驟106)提供複數之循 PR遮罩上方沉積—沉積白層又,冗8二成,,J10。沉積階段應在 部的頂部及側壁^成彡 /二曰/復盍PR遮罩之遮罩特徵 以塑形。在多循^ί,ί = ΐ積於视遮罩上方的沉積層加 驟m),且可利用任可執行額外的侧製程(步 在顯示於圖2B-2D 去除PR遮罩(步驟114)。 發月之貫靶例中,藉由多循環電漿製 200952071 程106在蝕刻層220選擇性地蝕刻特徵部234。 ,之多循環電漿製程(選擇性蝕刻製程106a): 3a=闡! 積階段108為沉積-蝕刻階段108a,发 ;"匕 ===刻,•積層==== _皆段隱之狀堆_ _ ^可 1 ίίί 3";:^ ~ - ^ 知祆合物層236。上述之沉積-蝕刻階鉛爭林钽 ❹ 二 反二於ί述?段絲刻層22°力補刻而不姓刻 人物i 如w 3Α戶斤示,成形階段m為移除被沉積的聚 橫剖面圖。可能已執行—個以上的兩階段製程 Ξΐί ί f °聚合物清理階段_將被_ 及遮罩特徵部224上的沉積聚合物说移 徵部说之底部合物清潔階段11〇a可在特 電浆製程腿最好執行10〜100次循環;多循環電漿 讀壬環為ΪΓ5〜5〇次循環為較佳;多循環電職程執行㈣ 剖面丨擇^刻製程(步驟106a)之後之堆疊200的橫 光阻遮罩232 f徵部234穿過蝕刻I 220而被完全蝕刻。 尤阻遮罩232未被綱,提供無限的選擇性。 接著剥除光阻遮罩232(圖1 ,步驟114)。 成部特徵部234之側壁最好為垂直。垂直的側壁最好從 合物清88°〜w之Pb1的角度。應注意沒有聚 加更多聚合物“徵部刻;== 200952071 自錄^繼嫩細刻,其會 钱刻層220最好為介電層;餘刻層為1〇w_k介電層為較佳 1置切氧化物基介電層。在本發明之較佳實施例中,基 為矽晶圓且介電蝕刻層22〇為有機矽酸鹽玻璃 =an〇smcateglass)或Coral。在較佳的實施例中,轉層212含有 二了用AmrpR)光阻來形_罩(步驟1〇4)。在^1佳實 轭例中,ARC層為底部抗反射塗層(BARC,bc)tt()mantkefleetive coating) ° 圖4為雜本發明一實施例的電聚處理室4〇〇之示音、圖,兮 電水處理室400可用來進行钱刻與剥除。電漿處理室400【含·〆 Ϊ電=、下電極4〇8、氣體源410、及排氣泵420。 氣體源418。於繼理室400之内,將 U 電極408上。下電極獅包含用以夾住基板训 構(如靜電、機械式夾頭等)。反應器蓋428包含 正對面之上電極撕。上電極4〇4、下電極408、 及限制%402疋義*限之電漿容積44〇。經 電裝容積440,並經由排氣泵42〇將氣體由此㈡ ^谷積440通過限制環4〇2與排氣口而排出。第一即電源物 ^電極404電性相連,第二虾電源448與下電極4 至壁452包圍限制環搬、上電極4G4及下電極·。第一 ^ 源二44與弟:RF電源448兩者皆可包含2?MHz之電源 、及2 MHz之電源。例如,c〇啊此⑽之介 ^貝银刻糸統(如由位於加州佛利蒙(Frem〇nt^ lam如
Corporation™所製造的Exdan⑧系列可用在本發明之較佳實 t 結T功率與電極之不同組合皆有可能。在本發明之較佳實 電賴來碱理作為連結至下電極的第二 电源448,而上龟極係為接地。控制器 結至㈣源屬及、排氣泵42〇、及氣體源= 200952071 置能夠調節腔室壓力、氣流、氣體組合、RF電源、及每個階段之 持續時間。 圖5A及5B說明一電腦系統500,其適合用來執行於本發明 之實施例中所使用的控制器435。圖5A顯示電腦系統可能的士體 形式。^然’尾知糸統可能有許多種貫體形式’範圍從積體電路: 印刷電路板、小型手提裝置上至龐大的超級電腦。電腦系統 包含螢幕502、顯示器504、機殼506、磁碟機508、鍵盤51〇及 滑鼠512。磁碟514為電腦可讀媒體,用來傳送資料來回電腦 ❹ ❹ 圖5B為-個電腦系統5〇〇的方塊圖範例。附屬 520上者為各種子系統。處理器522(也稱為中央處理單元 與,含記憶體524之儲存裝置相連接。記憶體524包含隨機存取) 記憶體(RAM,read access memory)及唯讀記憶體〇 memory)。如同技術中所熟知者,R〇M單向地傳輸資 / ’而讓—般以雙向方式傳輸龍與齡。這兩種型Γ的i k、體可以包含任何町所描述的適當電腦可讀 、 5二也雙向地連接在_上;它提供額外的資料二= 腦可讀媒體。狀式磁碟526可用來儲 t為要媒體更慢速之辅助儲存媒二 开入記憶體524中作為虛擬記憶體。卸匕 猶M4可採取以下所描述的電腦可讀媒體之形式。 ”式 亦將CPU 522連接至各種輪^於 盤训、滑鼠M2及揚聲器53G ^衣504、鍵 列任-:視訊顯示器、執跡球、出裝置可為下 示器、轉換讀卡機、讀磁帶或紙^ ’ ’觸摸式顯 寫辨識器、生物讀取機或其他•機、輸人板K聲音或手 網路介面540連接至另腦=。PU 522也可選擇性地使用 預期mi在執行上述方法步路。^此—網路介面, 出資訊到娜。再者,本發明、,可從麟接收資訊或輪 乃的方法貫施例可單獨在CPU 522上 200952071 5行,或透侧如結合科料處理之遠端cpu之崎網路來執 行0 此外’本發明的實施例進-步與有電腦可讀媒體之 =相關,,腦可讀媒體上具有用以執行各種電腦執行運匕 =碼。^體與電網可為本發明之贿哺別設計及建構,或 j精於電腦軟體技術之人士而言,它們乃為熟知且可用。實體的 笔腦可讀媒體之例子包含但不限於:磁性媒體,如硬碟、磁^及 磁帶;光學媒,,如唯讀光碟(CD_ROM,c〇mpactdiscread
及之1先直=,如軟磁光碟;及專用於儲存與執 仃,T硬體裝置’如專用積體電路(ASIC,_ica e碰C fgra=cl^;可程式化邏輯元件(PLD, programmabl 譯器所產生者 > 及包含利用譯碼器而由電dt馬(如由、為 ΐΐΐΐί貫現在載波上的電腦資料信號加以 傳运且表不-連串可由處理器來執行之指令的電腦碼。 r 要ίΐ明:R遮罩之預處理(步驟104)之詳細處理流 权。典型地’具有圖案化PR遮罩於其上之層之堆 理室中(步驟132),如上述之電聚處理室;。提供 ΐ ίΐ f=4i,自惰性氣體形成電漿(步驟136)以處理 罩接耆停止U性氣體流(步驟138)。藉由變更處理〶轉立: 漿條隨後的^環電裝製程而不“: 最好如供/、有低離子能量之高密度電漿,以R 理期間不被細或損害’但视遮罩被一些低能’、『 、外 PR_更硬且"^有更結實的‘。預 處理乳體取好含Ar ;預處理翕濟僅人 seem Ar翁辦,县虹b ιλα 、體僅3 ^為較佳0例如,100〜1000 气I*可人、Γ τί好疋冗啦& 4體可被提供。或者,預處理 氣體可含Ar、He、及域Xe、或其混合物。 勤里 自預纽氣體形成錄綱(倾 100〜500 W提供60 MHZ以上之高頻,圍 漿形成步驟i36不提供頻率低於5〇廠的奸能量。當離子能| 10 200952071 ίίίί ίΐΐ時’300 W之功率範圍及60驗以上之高頻嫁保 = Τ °賴腔室可被設定在1GGmlbrr或更大;壓力最 好设疋在約200mTorr。 Μ ^般以低能量惰性氣體離子輕拍PR鮮之頂部,以按廢 绨ρβ 堅固。上述引起物理反應,即藉由壓擠而物理地改 =罩材料之性質以使之變堅固,但不引起 處理應在石夕侧製程前於⑼—叹上使用^電漿預 声α備1.气的矽蝕刻製程後降低被蝕刻之特徵部的線寬粗糙 又’ me width roughness)或線邊緣粗糙度邮艮㈤ 之轉包含si基板、叫硬_罩、底部抗 程期^利L、jPR。然* ’在特定的多循環兩階段侧製 Ϊ/U 度低能量電聚之々電裝預處理僅控制视遮罩 及/或刻之矽特徵部的形狀,並非解決關於微粒污染之問題。 ^所述,多循環選擇性钱刻製程包含複數之循環,每個循 =3二積階段(步驟刚)及成形階段(步驟ιΐ0)。依據本發明之實 包例,沉積階段並不提供頻率低於50MH 二二 上之=低於50職之戰量;成形階段最好提供 如圖3A所示,此例中之多循環電漿製程1〇6
製程觸a而選擇性地在侧層22〇蝕刻特徵 :J 沉積-磁情段l〇8a,其對於视遮罩 ^ ^白^ 8為
刻,且將聚合物(沉積層)沉積在被钱==_層加以钱 遮星卜太。·μ/ / 特徵部之側壁上以及pR 段UOa 為移除被沉積之聚合物的聚合物清潔階 μ在上述之選雜韻彳抛腕巾,啸侧 =乾=製程配方如下··提供具有4〇 s_之C&及奶二之Η 的沉積-餘刻階段氣體,腔室壓力設定為9〇mT〇订,由W紐心 11 200952071 ^電源提供mo W而由2 MHz之Rp電源提供4〇〇 w。在此例 m、’同,,打沉積’侧如—單—步驟。聚合物清潔階段(步驟 〒〇a)之範例製程配方如下:提供具有3〇〇 _之〇2的聚|物驟 ^段氣體,腔室壓力設定為25()mTc)rr,由27廳之即電源 棱供100 W,而無功率由2 mhz之即電源提供。 ” 接者剝除PR遮罩(步驟114,圖丨)。遮罩剝除 处電源或2 一 之-電源之 ❹ 遮罩-例,,- 段108b於遮罩特徵部314之底部3 不上 302 〇 11〇 徵邻之底邛332移除沉積層3〇2且留 ^ 電層,俾能縮減PR遮罩312_^CDHf4而不侧下層介 ^ « 7C ^ 7D , 接著利用被塑形的PR遮罩d望CD, 遮罩具有藉由提供複數力;^刻,被塑形的PR _製程之後可剝除PR J二 =二(圖1 ’步驟叫。在 ❹ 力下子可為CF4沉積作用:其在70 mT〇1T之壓 75IC= CF ί: _ 1〇00 所建立)利用 6〇 s_ 之 Ar、 提供瓦的功率;此階段維2 ^脸之处電源 子可在25mT〇rr之壓力下(由讯定珮趴杉成形階段110b之例 利用87 _之CF4的化又泵之Vat閱到麵所建立) 接著利用成職段職的循環製程。勤之_〉儿積W又108b 控制沉積階段l〇8b及輪廓成^階 乃提供另-個控制變數。合適的比匕率的能力 側壁。上述之沉積層亦能保護光阻 12 200952071 能被用來控制沉積輪廓之由本發明提供的控制參數為:循環 目、整體域_、沉積/輪廓成形時間比 ^ cF4/H2)。可制魏__,如防 cf4/h2、ch3f/o2 或 ch3F/N2代替 CF4 等等。 2 代替 地Γ月多猶環電裝製程1〇6C之另一例子,於盆中 PR 4罩被制減。在此例子中,沉積階段職於 之 y又110c ’自遮罩#寸徵部之底部及側壁移除沉積 層,俾能削減遮罩特徵部之側壁。_ xj;, ❹ 円既要地闡明每個循環之遮罩特徵部314的橫剖面 圖。如圖8A所不,圖案化遮罩312形成於介電層上。並型地, ARL310設置於介電層之項部且暴露於遮罩特徵部314之底 332。在這個例子中,如圖8B所示,沉積階段 特t ,330覆_‘罩特徵部314之底_ 332及遮罩特徵部3i4之 15。沉積層可為一聚合物。在每個沉積階段1〇8c中,一:, 沉^在遮罩312之頂部之沉積層顶的厚度及沉積在遮罩特徵。部’ ί 5 。33()糧,嫩蝴難部之側壁 e 如圖8C所不,削減-钱刻階段ll〇c自遮罩特徵部之底部332 ^壁315移除沉積層33〇而不細介電層,俾能削減遮罩特徵 壁。遮罩312之頂部334上的沉積層330大體上在削1钱 xjp白段110c被移除。由於沉積層33〇在侧壁上比在遮罩之頂部上 及,罩特徵部之底部上來的薄,從側壁移除沉積層之後,側 壁沉積物被過度糊至遮罩特徵部之側翻。遮罩之頂部及 遮罩特徵部之底部,削減-兹刻階段最好僅移除前-沉積階段所沉 積之沉積層(使之平坦),而不蝕刻遮罩312或八虹31〇。藉由控制 兩階段,遮罩特徵部之側* 315被臟以擴大其CD,且在每個循 環中大體上維持遮罩312之厚度。 圖8D概要地闡明遮罩削減製程之複數循環後之遮罩特徵部 13 200952071 314的橫剖面圖。藉由重複沉積階段丨 ii〇c取好在孤立區域選擇性地回钱遮 棚=密集區域綱徵部大二之側壁 *«ϊ( ,2循職雜供紐的輪_整。
卜符徵邛甚至可此被夾止(bepinchedoff)。错P © = 又有麵包塊之較佳輪廓控做較直的側壁。 =包狀(剝洛(peeImg_ofi))。例如,超過1〇〇〇A之厚 ,ΐ別在遮罩特徵部之邊緣。此外,應注意由於i計法 則之要求,並不期望或實際去變更原始遮罩之CD。 包含ί力:Ίϊ,段至每個循環中。多循環遮罩削減製程最好 舰’該遮補賴程包含四到五健環為較佳。 ❹ 八物成,ΐίϊϊΐ關,沉雜段_職则包含碳氫化 二^刀之》儿積氣體;沉積氣體最好包含CA;沉積氣體更包含 體孔(2)為較佳。削減-姓刻階段(步驟ll〇c)使用含氧之侧氣 况積階段中(步驟108c)之範例沉積氣體提供具有1〇〇_5〇〇 Γί ί cf4、100·500 sccm 之N2、及 10-200 sccm 之Ar 的調整 調整氣體可選擇性地提供至晶_邊緣部份,以控制 二'心,之,勾性’因為沉積階段在晶圓邊緣之沉積通常比中央 办丨二f壓力被设疋在350-750mTorr。基板溫度維持在0-60oc, J足if在20 〇C。第二即電源提供20(M00瓦(頻率為60 MHz)。 ϋνί、列子中,沉積階段提供腔室具有500 SCCm之C2H4、150 sccm 2及150 seem之Ar的調整氣體流,740 mT〇rr之屢力及200 14 200952071 瓦或400瓦之功率(頻率為6〇 mhz)。 削減-姓刻階段(步驟11〇c)之範例提供1〇〇_1〇〇〇之〇。 此I中敫^^擇性讎皆段提供之唯一氣體(不提供調i氣 f ρ、=2调正乳體可用於削減侧階段,且其能依據製程及化 ,,提供於邊緣或巾央部份。提供挪75QmTGrr之壓力至 ^。第:RF電源提供2〇〇_4〇〇瓦(頻率為6〇腿2)。例如,^ 卷明之貫施例,削減_蝕刻階段提供腔室75〇 sccm 壓力及細瓦之功率_為⑻廠)。依 車又仏貝轭例,削減-钕刻階段提供腔室75〇 sccm之氧氣流、% ❹ 力及4〇0瓦之功率(頻率為6〇碰)。依據本發明之又 另一較佳貫施例’削減-蝕刻階段提供腔室2〇〇 sccm 氣 mlbrr之動及瓦之功率(頻率為6〇MHz)。 佩机勘 回到圖1 ’在遮罩削減後,透過被削減遮罩 徵部_ m)。接賴除料312及胤31(^=蝴寸 纽為多循環電聚製程106之又另一例,其削減遮罩亦將形 ^於遮罩特徵部之底部上的抗反射層(arl)加以開口。在此例子 ^如圖9A所示’圖案化遮罩312形成於介電層上方之胤31〇 ❹ 31包含一有機的BARC 311及一無機的 ARC 311暴露於遮罩特徵部之底部332。 在此例子中,成形階段11〇為削減_姓刻階段n〇d ii=p’3L4同之底部3 -32姓刻皿310且在每個循環中削 =兜所不’即’在此例子中’削減-賴階段110d 於沉積-蝕刻階段108d。在削減侧階段110d中,於一 =辰中ARL 310被部分侧且並非被完全移除。遮罩312之頂部 1及遮罩特徵部314之側壁315亦被部分移除,如圖9B所示^ | =積-蝕刻階段1_在每個循環中將沉積層33G沉積於pR遮 ‘闻η上方且在遮罩特徵部314之底部332更侧肌310, 特二二=不:?i賣層330覆蓋遮軍特徵部314之頂部334及遮罩 Λ " ^之側土 315 ’同時在遮罩特徵部314之底部332更餘刻 概310。遮罩削減及胤開口製程包含兩個以上之猶環,最好】 15 200952071 至少二個循% ’§亥製程包含四或+二.猫卢达壬 六到七個循環為較佳。 一 一衣為更佳, ·該製程重複 依據本發明之實施例,在遮罩312之 侧p皆段刚d所_之沉· 33G的大〜儿積· ,的取後,遮罩312之原始厚度大體上 然而:關於遮罩特徵部314之側壁,遮罩特徵部 =維夺。 上的沉積層330(尤其在孤立區域中)並不足以6入 ^ 減侧階段_期間所損失的側壁。因此,圖9C所^ 3 〇 ΐ電Λ製 =刪_遮罩之繼,且大體上詩料之原始ί 度致遮罩之淨削減。此外’在遮罩特徵部之底部% 斤刻階及沉積-蝴皆段_持續被侧。因此, S 刻製程,將位於遮罩特徵部314之底細 、泰1 ’在多循環電漿製程(步驟1〇6)之削減及開口之後, 〇 依據本發明之實施例,削減_姓刻階段(步驟110d)使用含 的削減,,體。削減-侧氣體最好更包含一載氣,如叫及/或3
Ar。在這個實施例中,沉積_钱刻階段(步驟⑽幻使用含有❿的 沉積也刻氣體,且沉積—聚合物材料作為沉積層。沉積姻^ 可為CT4、、H2及Ar之組合,或CF4、H2、&及Ar之組合。含有 NF"3的削減-钕刻氣體在孤立區域之姓刻率比密集區域高,俾使孤 立區域之遮罩特徵部之侧壁之縮減多於密集區域之遮罩特徵部之 側壁。沉積層可為包含碳氫化合物的聚合物(主成分為碳氫化合物 之^^合物)。 削減·姓刻階段(步驟ll〇d)之例子提供具有100-500 sccm,最 好為300 sccm之观3氣流,壓力設定為14〇 mT〇rr,基板之溫度維 16 200952071 最好提供_瓦_為6_z)。在另 ω議瓦(頻ίί 27=可Z10曰讀瓦(頻率為蘭Z)或 中,nf3為削減於晶圓及應用°在一較佳實施例 在每個削減-钱成分壬何載氣或調整氣體。 後,從而形成削減销電被通入腔室之 如上所述。停止胸ϋ i選擇性地削減遮罩並侧肌, 之期望數量部「之鋪縮減一循環 及ARL之厚产)而t (如乳體流速、壓力、及处電源、 ❹ 之時間週期來^口以&制?。、·〆、之側壁的數量可藉由削減侧階段 ㈣之例子提供:含❿之氣體(如 含獅⑽之刻氣f ’及 60 sccm , CF4 . 70 sccm ^ H2 &有120 SCCm之心之载氣。提供4〇 2〇〇祕 ❹ _為2购或购⑻瓦(頻率10=)瓦 2施例中’每個循環更包含額外的沉積及 之後,從而形錢漿以沉積-沉積在層通入腔室 停业含CF4之钮刻氣流以使沉積層彌補前一,述。 厚度曰。對於一組給定參數(如氣體流速、麋力、及白i戶it失 ^之厚度)而言,循環之淨削減數量可_由削姑处電源、 沉積-侧階段之時間週期來加以控制。二t钱刻PJ1段及 越長’沉積姻階段越長。藉由控制每個^環^兩m钱刻階段 :及和频絲時,轉遮罩特徵部之數 =應區域之特徵部之纖顧以酬 在這些例子中,電漿處理室應可調整腔室勤、氣體流量、 200952071 氣體組成、RF功率、及每個階段之持續時間。 J然本發明已參照數個較佳之實細綱,仍具有於 之修改、變更及各種替代之等效設計。應注意有許ίΐ ^發明之方法及設備的替代方法。因此隨狀巾請u 神及$㈣狀做、變更及各^ 【圖式簡單說明】 明,圖之圖式中加以說 〇 程的i階i程ϊ本發月之實婦,J之包含光阻遮罩預處理之電漿製 制矛口:人當地閣明利用依據本發明之實施例之多循環帝將 衣私之;丨電層中特徵部生成的橫剖面圖。 夕循衣包水 漿製i之例^概要地闡明依據本發明之實施例之多猶環'兩階段電 之電Siipr遮罩預處理、隨後之製程、及剝除 例中m咖—電魏統,其適合絲實_於本發明之實施 〇 ^罩特徵部的概要‘= 輪呈加以 加n之^罩ΖΐϊϊΓϋΐ圖實施例之多猶環電襞製程 程加以處私循輸製 【主要元件符號說明】 02於飿刻層上方設置光阻遮罩 18 200952071 104 利用惰性氣體電漿預先處理光阻遮罩 106 多循環電漿製程 106a 多循環選擇性蝕刻製程 106b 多循環CD縮減製程 106c 多循環遮罩削減製程 106d 多循環遮罩削減及ARL開口製程 108 沉積階段 108a 沉積-钱刻階段 108b 沉積階段 ❹ 108c 沉積階段 108d 沉積-餘刻階段 110 成形階段 110a 清潔階段 110b 輪廓成形階段 110c 削減-蝕刻階段 llOd 削減-蝕刻階段 112 額外的蝕刻製程 114 剝除遮罩 132 將基板置於處理室中 ❹ 134 提供惰性氣體 136 由惰性氣體形成電漿 138 停止惰性氣體流 200 堆疊 208 層 210 晶圓 212 阻障層 216 抗反射層 220 钱刻層 224 遮罩特徵部 232 光阻遮罩 19 200952071 234 特徵部 236 聚合物層 302 沉積層 304 側壁 310 抗反射層 311 BARC 312 圖案化遮罩 313 DARC 314 遮罩特徵部 315 側壁 330 沉積層 332 底部 334 頂部 400 電漿處理室 402 限制環 404 上電極 408 下電極 410 氣體源 412 蝕刻氣體源 414 沉積階段氣體源 416 成形階段氣體源 418 預處理氣體源 420 排氣泵 428 反應器蓋 435 控制器 440 電漿容積 444 第一 RF電源 448 第二RF電源 452 室壁 500 電腦糸統 200952071 502 螢幕 504 顯示器 506 機殼 508 磁碟機 510 鍵盤 512 滑鼠 514 磁碟 520 糸統匯流排 522 處理器 524 記憶體 © 526 固定式磁碟 530 揚聲器 540 網路介面

Claims (1)

  1. 200952071 七、申請專利範圍: 1.-種透過光阻㈣遮罩在介電層_特徵 超過]93 nm之雷射光將該光阻遮罩加以圖尹万法,利用波長不 视遮罩預處理步驟,利用惰性氣體電=法包含: 複數循環提供步驟,提供複數之循環,复 遮罩預處理;及 -沉積階段,於該PR遮罩上方沉猶環包含: 蓋該PR遮罩之遮罩特徵部之頂部及側壁層,該沉積層覆 一成形階段,將沉積於該PR遮罩上 形。 万之該沉積層加以塑 2·如申請專利翻第!項之透過光阻㈣遮 部的方法,其中該惰性氣體包含 電層蝕刻特徵 Ο !.如申請專利範圍第丨項之透過光阻(pR)遮罩 柏方法,其中該PR遮罩預處理步驟包含·· 特徵 惰性氣體提供步驟,提供該惰性氣體; ,漿形成步驟,由該惰性氣體形成電漿;及 如止步驟,停止該惰性氣體流。 第3項之透過光阻(PR)遮罩在介電独刻特抒 方法,其中該電漿形成步驟包含: 4特徵 提供60 MHz或更高之高頻。 之RF 〇 圍第4項之透過光阻㈣遮罩在介電賴刻特徵 ^ '、中該電襞形成步驟並不提供頻率低於5〇 ivm^ + ^ 範圍第4項之透過絲_鮮在介電賴刻特抒 相方法’其巾該電_彡成步歡包含: 梅破 叔供低於500瓦之功率。 22 200952071 7.如申請專利範圍第3項之透過光阻(PR)遮罩在介電層蝕刻 部的方法,其中該惰性氣體之氣流具有100 sccm或更大。" ,8.如申請專利範圍第i項之透過光阻(PR)遮罩在介電層蝕刻 部的方法,其中該沉積階段提供60MHz或更高之高頻。 文 9.如申請專利範圍第8項之透過光阻(pR)遮罩在介電層蝕刻 部的方法,其中該沉積階段並不提供頻率低於50 MHZ之郎。 如申請專利範圍第1項之透過光阻(PR)遮罩在介電層蝕 的方法’其中§亥成形階段提供6〇 mHz或更高之高頻。 ' U.如申請專利範圍第10項之透過光阻(PR)遮罩在介電芦 1部的方法,其中該成形階段並不提供頻率低於5〇 之^、炉 12.如申請專利範圍第1 部的方法, 項之透過光阻(PR)遮罩在介電層蝕刻特徵 且更於被蝕刻之特 々Α其中該沉積階段亦在該介電層蝕刻特徵部 徵部之側壁上沉積該沉積層,及 其中該成形階段將該沉積層移除。 娜圍第12項之透過綱PR)鮮在介電層钱刻特 ft該沉積階段相對於該PR遮罩選擇性地餘刻該介電声 沉浐展中該成形階段相對於該介電層選擇性地移除該側壁上i該 14’如申請翻範圍第π項之透過光阻(pR)遮罩在介電層餘刻特 23 200952071 徵部的方法,其中該沉積-蝕刻階段並不移除該 限之選擇性。 趑罩,提供無 15.如申請專利範圍第丨項之透過光阻 部的方法, 牡彡丨电層蝕刻特徵 ,中該沉積階段更於該遮罩特徵部之底部上沉 ”中該成形階段更自該遮罩特徵部之該底部ϋ二’ 不侧其下之該介電層,留下讎以縮減該層而 其中該方法更包含: 之CD’及 提供該複數循環而被縮減之該CD。 如申請專利範圍帛i項之透過光阻(pR)遮罩在 邻的方法, 利用該PR遮罩將該介電層加以钱刻,該p 冬該禎螯雜捲而妯始β &旱有错由 〇 電層钱刻特徵 =該沉積·更於該遮科徵部之底部上沉積該沉 祕展中該成獅段更自麵科徵部找底部及該側壁^节 儿積層而秘難下之該介電層,魏職該儀,^夕除遠 其中該方法更包含: 將該介電 利用藉由提供該複數循環而被削減之該pR 層加以蝕刻。 〇 3的申3 H16項之透過光阻(PR)遮罩在介電層蝕刻特 在孤ί區ΐ、ϋί 段相對於該遮罩特徵部之密集區域而 &域選擇性地回蝕該遮罩特徵部之側壁。 部^^專利範圍帛1項之透過光阻㈣遮罩在介電層钱刻特徵 罩之下中2几反射層(ARL)形成於該介電層之上、該圖案化视遮 其中在每個循環中,該成形階段首先在該遮罩特徵部之底雜 24 200952071 刻該ARL並削減該pr遮罩 該沉積層,城在㈣罩概部^罩上沉積 19. —種透過光阻(PR)遮罩在介電居 不超過193脑之雷射光將該光阻9遮罩備長 —電漿處理室,包含: 乂圖水化,該δ又備包含: —室壁,形成一電漿處理室外殼; —基板支座,支承該電漿處理 -壓力調節器,用以調節;k内之—基板’ Ο ❿ 至少-電極,用以提冗=;室外殼内之壓力; —電漿; 八電力至該電漿處理室外殼,以維持 口,供11體至該電裝處理室外殼;及 氧體源,與該氣體入口流體連 ^, 一蝕刻氣體源; 、这孔體源包含. -沉積階段氣體源; —成形階段氣體源;及 —預處理氣體源;及 極,包含:x可&制之方式連結至該氣體源以及該至少一電 至少一處理器;及 電腦可讀媒體,包含: 包含:1 ^生氣版电褒將該PR遮罩預處理之電腦可讀碼, ίίΐΐ理氣_提供惰性氣體之電腦可讀碼; ==體形成電滎之電腦可讀碼;及 摇ϋ 氣體流之電腦可讀碼;及 及一成形階段、,腦可讀碼,每侧環包含-沉積階段 … 細可磧碼包含: 〜^積卩自心氣體源提供沉積階段氣體之電腦可讀 25 200952071 石馬, 從該沉積階段氣體形成電漿之電腦可讀碼,俾能於 該PR遮罩上方沉積一沉積層,該沉積層覆蓋PR遮罩 之遮罩特徵部之頂部及侧壁; 停止該沉積階段氣體流之電腦可讀碼; 從該成形階段氣體源提供成形階段氣體之電腦可讀 石馬, 從該成形階段氣體形成電漿之電腦可讀碼,俾能將 沉積於該PR遮罩上方之該沉積層加以塑形;及 停止該成形階段氣體流之電腦可讀碼。 八、圖式. 26
TW098115837A 2008-05-13 2009-05-13 包含光阻遮罩預處理之電漿製程 TWI496212B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/120,059 US8277670B2 (en) 2008-05-13 2008-05-13 Plasma process with photoresist mask pretreatment

Publications (2)

Publication Number Publication Date
TW200952071A true TW200952071A (en) 2009-12-16
TWI496212B TWI496212B (zh) 2015-08-11

Family

ID=41316586

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098115837A TWI496212B (zh) 2008-05-13 2009-05-13 包含光阻遮罩預處理之電漿製程

Country Status (5)

Country Link
US (1) US8277670B2 (zh)
KR (1) KR101555397B1 (zh)
CN (1) CN102027578B (zh)
TW (1) TWI496212B (zh)
WO (1) WO2009140139A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI630653B (zh) * 2012-12-14 2018-07-21 蘭姆研究公司 具有預蝕刻暫態調節之蝕刻過程
TWI658508B (zh) * 2013-10-15 2019-05-01 日商東京威力科創股份有限公司 電漿處理方法

Families Citing this family (511)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
WO2010141257A2 (en) * 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102117737B (zh) * 2009-12-30 2015-01-07 中国科学院微电子研究所 减小半导体器件中ler的方法及半导体器件
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5589692B2 (ja) * 2010-09-08 2014-09-17 富士通セミコンダクター株式会社 半導体装置の製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9082719B2 (en) * 2012-10-19 2015-07-14 Infineon Technologies Ag Method for removing a dielectric layer from a bottom of a trench
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
GB201322931D0 (en) * 2013-12-23 2014-02-12 Spts Technologies Ltd Method of etching
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6331452B2 (ja) 2014-02-19 2018-05-30 愛知製鋼株式会社 有機膜のエッチング方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105355538A (zh) * 2014-08-21 2016-02-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6600480B2 (ja) * 2015-04-20 2019-10-30 東京エレクトロン株式会社 被処理体を処理する方法
US9922839B2 (en) * 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017151622A1 (en) * 2016-03-04 2017-09-08 Tokyo Electron Limited Trim method for patterning during various stages of an integration scheme
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
CN107611026B (zh) * 2016-07-11 2020-10-13 北京北方华创微电子装备有限公司 一种深硅刻蚀工艺
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10727075B2 (en) * 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN108417583B (zh) * 2018-03-09 2021-10-29 惠科股份有限公司 一种阵列基板的制造方法和阵列基板
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019195105A1 (en) * 2018-04-03 2019-10-10 Lam Research Corporation In situ inverse mask patterning
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043381B2 (en) * 2019-01-27 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Directional patterning method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210138119A (ko) 2019-04-08 2021-11-18 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트 프로파일들을 개질하고 임계 치수들을 튜닝하기 위한 방법들
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
JP7493400B2 (ja) * 2019-09-13 2024-05-31 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び基板処理システム
US11476123B2 (en) * 2019-09-13 2022-10-18 Tokyo Electron Limited Etching method, plasma processing apparatus, and substrate processing system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A4 (en) 2020-07-03 2024-08-28 Applied Materials Inc AEROSPACE COMPONENT REFURBISHMENT PROCESSES
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024024922A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4253888A (en) 1978-06-16 1981-03-03 Matsushita Electric Industrial Co., Ltd. Pretreatment of photoresist masking layers resulting in higher temperature device processing
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
SG152920A1 (en) * 2002-10-11 2009-06-29 Lam Res Corp A method for plasma etching performance enhancement
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7241683B2 (en) 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7427458B2 (en) 2005-06-30 2008-09-23 Lam Research Corporation System and method for critical dimension reduction and pitch reduction
US7390753B2 (en) * 2005-11-14 2008-06-24 Taiwan Semiconductor Mfg. Co., Ltd. In-situ plasma treatment of advanced resists in fine pattern definition
US20070181530A1 (en) 2006-02-08 2007-08-09 Lam Research Corporation Reducing line edge roughness
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
WO2009114244A2 (en) * 2008-03-11 2009-09-17 Lam Research Corporation Line width roughness improvement with noble gas plasma

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI630653B (zh) * 2012-12-14 2018-07-21 蘭姆研究公司 具有預蝕刻暫態調節之蝕刻過程
TWI658508B (zh) * 2013-10-15 2019-05-01 日商東京威力科創股份有限公司 電漿處理方法

Also Published As

Publication number Publication date
TWI496212B (zh) 2015-08-11
CN102027578B (zh) 2013-03-20
KR101555397B1 (ko) 2015-09-23
CN102027578A (zh) 2011-04-20
WO2009140139A3 (en) 2010-02-25
KR20110014989A (ko) 2011-02-14
WO2009140139A8 (en) 2010-12-09
US20090286400A1 (en) 2009-11-19
US8277670B2 (en) 2012-10-02
WO2009140139A2 (en) 2009-11-19

Similar Documents

Publication Publication Date Title
TW200952071A (en) Plasma process with photoresist mask pretreatment
TWI375991B (en) Method for multi-layer resist plasma etch
TWI400749B (zh) 利用氣體化學之週期性調節及烴類之添加進行電漿剝除的方法
TWI353019B (en) Method of preventing damage to porous low-k materi
CN101779276B (zh) 掩模修整
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
CN101523567B (zh) 去氟化工艺
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP4733214B1 (ja) マスクパターンの形成方法及び半導体装置の製造方法
TW200908138A (en) Hardmask open and etch profile control with hardmask open
TW394989B (en) Semiconductor device manufacturing and reaction room environment control method for dry etching device
TW201123299A (en) Fine pattern forming method
TW200931513A (en) Photoresist double patterning
TW201220004A (en) Mask pattern forming method, fine pattern forming method, and film deposition apparatus
TW201241915A (en) Method of etching silicon nitride films
JP2009500811A (ja) クリティカルディメンション低減およびラフネス抑制
US20140120727A1 (en) Method of tungsten etching
TW201133618A (en) Low damage method for ashing a substrate using CO2/CO-based process
TWI244136B (en) Low oxygen content photoresist stripping process for low dielectric constant materials
CN109390216A (zh) 一种半导体器件的形成方法
TW201137971A (en) Etching method and etching apparatu
TWI689007B (zh) 蝕刻方法
JP4681217B2 (ja) 層間絶縁膜のドライエッチング方法
JP2006108484A (ja) 層間絶縁膜のドライエッチング方法
JP4651956B2 (ja) 層間絶縁膜のドライエッチング方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees