TW200834925A - Transistor device and method of manufacturing such a transistor device - Google Patents

Transistor device and method of manufacturing such a transistor device Download PDF

Info

Publication number
TW200834925A
TW200834925A TW096147371A TW96147371A TW200834925A TW 200834925 A TW200834925 A TW 200834925A TW 096147371 A TW096147371 A TW 096147371A TW 96147371 A TW96147371 A TW 96147371A TW 200834925 A TW200834925 A TW 200834925A
Authority
TW
Taiwan
Prior art keywords
gate
source
drain region
region
transistor component
Prior art date
Application number
TW096147371A
Other languages
English (en)
Inventor
Gilberto Curatola
Sebastien Nuttinck
Original Assignee
Nxp Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nxp Bv filed Critical Nxp Bv
Publication of TW200834925A publication Critical patent/TW200834925A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

200834925 九、發明說明: 【發明所屬之技術領域】 發明領域 本發明之係有關於一電晶體元件。 5 本發明進一步係有關於一種製造一電晶體元件之方 法。 【先前】 發明背景 一 FinFET(鰭片式場效電晶體)能夠提供一適當的驅動 10電流,並且能夠避免限制一FET的性能之所謂的短通道效 應。 美國專利第6,413,802號揭露一種使用習用平面式 MOSFET(金屬氧化物半導體場效電晶體)技術所製成之 FinFET元件。該元件係在一覆蓋一絕緣層(例如,注氧隔離 15技術(SIM〇X))之矽層中加以製造,並使從該絕緣層延伸之 元件成為一ϋ片。雙閘極係設置在通道之側面上,以提供 加強的驅動電流,並且有效地抑制短通道效應。複數個通 道能夠設置在一源極以及一沒極之間,用以增加電流容 量。兩個電晶體能夠堆疊在一鰭片中,以提供具有一共用 20 閘極之一互補金屬氧化物半導體(CMOS)電晶體對。
Bhuwelka,Κ·Ν·等人於2005年在IEEE電子元件會刊第 52冊,第5期,第909到917頁所發表的「以穿隧頻帶間隙調 變以及閘極運作功能工程縮小垂直穿隧式場效電晶體的尺 寸(Scaling the Vertical Tunnel FET With Timnel Bandgap 5 200834925
Modulation and Gate Workfunction Engineering)」揭露一種 垂直式場效電晶體(FET),其包含一閘極p-i-n二極體,顯示 用於一重度摻雜源極到一本質通道之閘極控制的頻帶對娘 帶穿隨效應(tunneling)。 . 5 此習用之系統可能不適用於高度整合性且同時具有適 當性能的需求。 【發明内容】 發明概要 ® 本發明之一目的係在於提供一種電晶體元件,其係適 10用於高度整合,同時將該元件之性能維持在一高強度。 欲達成此目的,根據申請專利範圍獨立項提供一種電 曰曰體元件以及製造一電晶體元件之方法。 根據本發明之一示範性實施例提供一種電晶體元件, 該電晶體元件包含一基板;一沿著該基板上之一水平方向 15對齊的鰭片;在鰭片中之一第一傳導類型的一第一源極/汲 極區域;在鰭片中之一第二傳導類型的一第二源極/汲極區 域,其中该第一傳導類型係不同於第二傳導類型;在鰭片 中位於該第一源極/汲極區域以及第二源極/汲極區域之間 的通迢區域,位於該通道區域上之閘極絕緣體,以及位 2〇於該閘極絕緣體上的一閘極區域,其中該第一源極/沒極區 域、第二源極/汲極區域之順序係沿著水平方向對齊。 根據本發明之其他示範性實施例提供一種製造一電晶 體元件的方法,該方法包含沿著_基板上之一水平方向對 齊-鰭片;在鰭片中形成-第一傳導類型之一第一源極級 6 200834925 極區域’·補片中形成-第二傳導類型之一第二源極/汲極 區域,其中該第-傳導類型係不同於第二傳導類型;在鱗 片中的第-源極/汲極區域以及第二源極/汲極區域之間^ 成一通道區域;在該通道區域上形成一閘極絕緣體;在該 5閘極絕緣體上形成一閘極構造,以及沿著水平方向對齊幵7 成第一源極/汲極區域、第二源極/汲極區域之順序。 在此申請案之内容中,術語「鰭片能夠 條狀構造,其能夠大雜上沿著一方向延伸,且=: -(例如半導體、特別是梦)基板之一平坦表面上,或者是平 10行於此一表面。此一續片可為一矩形構造,該構造沿著一 方向之-延伸物係能夠顯著長於沿著其餘兩個方向的 物0 τ 丞伋上之水平方向」 #在該(平面)基板之表面平面中 15 _一術語「第一傳導類型」以及「第二傳導類型」能夠表 :能二雜區域!及一ρ摻雜區域’或是反之亦然。摻 ” D曰耆植入諸如二氫化砰(arsine)之VI族材料所, 而P型摻雜魏夠藉著植人諸如族材料所獲得: 術语「源極/汲極區域」能夠表示一鄰接通道之 其能夠加以換点金日/ $ ·宙 品3 一「且/或運作作為—源極或是作為—沒極。 不同的傳導性」能夠表示—不同的摻 -不同的摻雜類型(即為-N型摻雜以及一 子與空洞(=Γ包括藉由不同電荷載體的傳導,即為電 20 200834925 本發明之示範性實施例係特別基於以下確認:由於一 方面鱗片構造容許佔用一小面積,同時能夠降低短通道效 應,故該FinFET構造結合一TFET(通道場效電晶體)係非常 適用於高度整合。使用一多重鳍片構造能夠容許一較高的 5驅動電流。將一TFET併入該鰭片元件中意味著,如果沒有 施加閘極偏壓,則該元件包含一逆偏壓二極體。以此方式, 靜態浅漏電流便能夠變得非常低,如此能夠適用於高度整 合性元件中。一TFET亦能夠展現出一非常良好的短通 能。此外,一FinFET構造能夠容許該元件輕易地提供一雙 1〇閘極功能。如此能夠容許輕易將—N型摻雜以及一p型摻雜 整合併入-單獨縛片中,而如此能夠容許進—步降低每個 電晶體所佔用的面積。 根據本發明之-示範性實施例的一元件之一優點係在 於,該元件能夠適用於需要降低每個單獨電晶體之晶片佔 15用面積’同時不會損及其性能優勢的高度整合性需求。 根據本發明之-元件的一第一示範性實施例,其特徵 係在於一本質半導體區域形成通道區域。如此能夠容許 N-TFET與P-TFET兩者同時存在,並且能夠改進通道區域中 的載體運$ ° 高度)N型摻雜半導體區域能夠作為用於 N TFET之;及極區域’且在此一案例中,一(高度)p型摻雜半 體區域能夠作為源極區域。在一p_TFET之案例中,這些 區域能夠依序作為源極以及祕區域。 在一進一步的修改案例中,該元件能夠包含沿著條狀 半導體區域之-第一垂直側存在的一第一間極區域、以及 200834925 沿著條狀㈣It區域之―第二垂直侧(其無第—垂直側 :反)的-第二閘極區域。如此能夠容許在一單獨鰭片中使 侍N_TFET以及p_TFET良好運作。 、/因此/根據本發明之-實施例,使用單獨的閑極區域 亚非僅早為實現一元件,其亦能夠提供用以運作在一 N-TFET或疋一P_TFET中之經過改進的閘極區域之材料。
10 因此’-進一步的示範性實施例其特徵係在於,該第 一問極區域包含—第-材料,且第二閘極則包含-不同於 該第-材料之第二材料,該第一材料之運作功能係適用於 -N型通道場效電晶體,且該第二㈣之運作魏則適用於 一 P型通道場效電晶體。 在一修正案例中,兩個閘極區域係存在於該條狀半導 體區域的-水平(上方)側表面上。如此容許使用直接沈積程 序用以形成兩個閘極區域,單獨製造—根據本發明之一示 15 範性實施例的元件。
在根據本發明之一示範性實施例的一半導體元件中, 該半導體本體包含一些互相平行的條狀半導體區域。由於 上述原因,以一矽晶絕緣體構造實現半導體本體能夠有所 優點。此一實現方式能夠藉著選擇一矽基板設置一埋入式 20 氧化物區域作為製造之起點。 在一示範性實施例中,該元件之作用係提供一邏輯功 儘管在根據本發明之一實施例的一元件中,其兩個閘 極區域能夠設置單獨的電子連接,在一簡單且容易製造的 9 200834925 案例中,該兩個閘極區域係彼此連接,且因而共用相同的 閘極電壓。結果在一特定時間内僅能夠開啟一個電晶體(在 相同ϋ片中)。然而,·共用閘極電壓並不會成為問題,因為 在大多數的數位電路的案例中皆為如此。參看作為數位電 5路之基本組件的非與(NAND)閘極以及反向器 (INVERTER)。 任何的邏輯功能能夠由一非與(NAND)或是非或(n〇r) 建立。基本NAND電路(兩個輸入,一個輸出)能夠包含四個 電晶體、兩個P-MOSFET(金屬氧化物場效電晶體)以及兩個 10 N-MOSFET。然而,就㈣面積以及電力龍而論,此一 方式並非最有效率。為了適當地選擇這兩個參數,能夠使 用一包含標準胞體之胞體庫。此一胞體庫能夠包含6〇〇或更 多個胞體,但是僅使用20個或更少的胞體便能夠達到8〇% 之任何功能。這些胞體係針對驅動強度、輸入以及功能性 15加以分類,且不過較簡易NAND之基板組合具有更為整齊 的電路拓樸。例如,在需要較少的電晶體時,一種四個輸 入NAND能夠使用三個NANE^ws,或者是以標準NAND閘 極為主的較小拓樸「無效率地」加以實現。 根據本發明之一示範性實施例,提供一種製造帶有一 2〇基板以及包含一條狀之矽半導體區域(場效電晶體係形成 中)的半導體本體之一半導體元件的方法·,其中以條狀 半V體區域之縱向或水平方向觀視,一源極區域、一通道 區域以及一汲極區域係依序加以佈置;且其中該通道區域 係a又置一閘極電介質,一閘極係沿著條狀半導體區域之垂 10 200834925 . 錢形成在該閘極電介質上,根據-示紐實施例其特徵 係在於,該等源極區域以及汲極區域係由設置成具有相反 傳導類型之半導體區域所形成。 一本質半導體區域能夠形成通道區域。在此方式中, _ 5 N-TFET及P-TFET二者皆能夠以-單獨薄膜加以實行。為此 目的,該元件能夠沿著條狀半導體區域之一第一垂直側設 置一第一閘極區域,並在該條狀半導體區域之與該第一垂 直側相反的一第二垂直侧設置一第二閘極區域。 _ 從兩個相反方向進行一方向性沈積程序能夠形成該第 10 一與第二閘極區域。源極與汲極區域能夠藉著兩次植入加 以形成,元件之剩餘部分在各次植入期間係設置一遮罩。 根據本發明之一示範性實施例,能夠設置内嵌在一單獨鰭 片中的N與P穿隧式電晶體。此一積體電路能夠在一單獨鰭 片中結合N與P穿隧式電晶體,致使降低用於數位IC所佔用 、 15 的矽面積。 * 用於此一裝置之一種適當的半導體技術係為CMOS(互 補金屬氧化物半導體)技術(以矽為主的技術)。此技術係適 用於數位/邏輯電路。此技術之方案成功的一觀點係在於其 成功地縮小電晶體之尺寸,並且提供連帶的增加電晶體密 20 度。然而,典型的CMOS縮小尺寸已經漸告結束,且非典型 元件則為持續進行尺寸縮小趨勢的後繼者。 本發明之示範性實施例結合一鰭片式場效電晶體元件 (參看例如E· Nowak等人提出之「調整矽之邊緣(Turning Silicon on its edge)」,2004年1月/2月號IEEE電路與元件雜 11 200834925 5 誌,第20頁)以及穿隧式場效電晶體(參看T. Nirschl等人所 著「穿隧式場效電晶體(TFET):溫度依存性;模擬模型及 其應用(The tunneling field effect transistor(TFET): the temperature dependence, the simulation model, and its application)」,ISCAS 2004年,第713頁;W Hansch著「矽 中之垂直式MOS閘極江崎(Esaki)穿隧式電晶體(A vertical MOS gated Esaki tunneling transistor in silicon)」Thin Solid Films 369 (2000年版),第 387〜389頁,Elsevier出版社;W. Reddick所耆「砍表面穿隨式電晶體Surface Tunnel 10 Transistor)Appl. Phys· Lett. 67(4)號,1995年7月,第 494頁」) 之觀念。根據一示範性實施例,能夠設置一種由適當結合 兩種元件所產生之電晶體。能夠將1^與|>型穿隧式電晶體結 ' 15 • 合在一單獨鰭片中,使得降低用於數位IC所佔用的石夕面積。 此一系統能夠具有TFET之優點,也就是若沒有施加閘 極偏壓的逆偏壓pin二極體。此二極體能夠將靜態洩漏電流 之強度降低一百倍與更多。同時能夠獲得finFET電晶體之 優點,特別是能夠使通道保持未經摻雜而改進載體運送, 且杈大型平面電晶體能夠更佳地控制短通道效應(經由鰭 片幾何外型且由於存在多重閘極)。 20 根據本發明之一示範性實施例,將一 以及一 P-TFET(對於互補邏輯而言係為必要者)二者結合在相同元 件中之一元件能夠設置成為一鰭片式(FET)的形狀,從而能 夠降低佔用面積。 隨意地,能夠將特定的程序步驟添加到此觀念,以便 12 200834925 使N-FET以及Ρ-FET具有一不同的閘極作用功能,從而能夠 獨立地對於元件進行適當的調整。 根據一示範性實施例,N電晶體以及P電晶體能夠共用 相同的閘極,且從而共用相同的閘極電壓。結果,在同一 5時間僅能開啟一個電晶體(位在相同鰭片中)。由於共享閘極 電壓在許多數位電路的情形中(參看NAND閘極以及反向器) 皆是如此,故共用閘極電壓並不會成為問題。 根據本發明之一示範性實施例,閘極下方之區域能夠 保持本質性。如此能夠容許使用此區域作為一用於N_元件 10以及p-兀件二者的通道。源極與汲極接點能夠進行相反摻 雜’例如N++與P++。此一反對稱性容許在N_TFET之應用 中能夠將該N++區域作為一汲極接點,並且在p_TFET之應 用中能夠作為一源極接點。 根據一示範性實施例,位於鰭片之不同側面部分上的 15閘極材料(覆蓋一閘極電介質)可為不同材料,以便對於 N-TFET以及P-TFET之適當的性能選擇合適的運作功能。因 此’能夠以一適當方式應用運作功能工程。 一元件能夠提供成為基於江崎效應之一穿隧式FET。根 據本發明之一示範性實施例,能夠提供一種位於一鰭片式 2〇 FET構造中的雙重閘極構造,其中該閘極能夠位於鱗片之右 橫向側與左橫向側,且N++與p++區域能夠(實質上)位於相 同的水平兩度,形成第一與第二源極/汲極區域。 如此之效果係為,元件最終能夠使用標準finFET技術 私序加以處理。一雙重閘極特性能夠容許較佳的短通道控 13 200834925
制。使閘極位於籟片之相反側上表示能夠將其加以對齊, 對於-平面雙重闊極而言,如此係非常困難/不可能達成。 N++與P++位於相目水平高度(與一垂直元件相反)能夠使其 在此區域中非常容易具有適當的摻雜。閘極及源極/及極區 5域二者皆能夠由頂部加以觀視之效果使其能夠使用一雙重 隔件製造技術,以便仙++/本質介面與㈣本質介面 當地控制摻雜程度與摻雜梯度。如此對於適當地調整穿随 式機構而言係有所助益。在平面雙重閘極結構或是在一垂 直式雙重閘極構造中可能無法獲得此一效果。 、接㈣說明該電晶體元件之進-步的示範性實施例。 然而’这些實施例亦適用於製造-電晶體元件之方法。 ▲第#導性類型能夠與第二傳導性類型相反。具體而 吕,其中一種傳導性類型可為〆p型,且另一者可為一 N型。 15 20 閘極構造可為一雙重閘極構造。此雙重閘極構造依照 不同的運:功能能夠包含兩個部分,且能夠位於-閘極絕 緣體上’ %效應能夠透過該閘極絕緣體加以調解。一雔會 閘極構造能夠且右楚 又 匕幻具有一弟一閘極部分,其至少部分地沿 閘極絕緣體之_横向 八, 主罝表面對月、以及一弟二閘極部 f面至少部分地沿著閘極絕緣體的—第二横向垂直 2對A此方式_容許以兩種運作功能操作一妒 轉:3的可調整_區域,如此能夠提供元件在不同又 呆作㈣巾的細密控制。 第閘極部分之_材料能夠不同於第二閑一 材料。例如 丨刀97 甲木^分其中任何—者、二者或更多者能夠 14 200834925 一氣化釕、氮 由石夕、釕、鈮、钽、矽化鉬、硫化鈕、鎳、 化鉬或氮化鈕所製成。 第一閘極部分之一運作功能能夠加以修改,以便提供 一 N型雜式場效電晶體之特徵。第二_部分之材料的運 5作功能能夠加以修改,以便提供一 ?型穿隨式場效電晶體的 特徵。所以,便能夠提供一種高度整合的〇]^〇§狀構造。 弟閘極α卩刀與弟一閘極部分之一部分或二者能夠部
分地沿著閘極絕緣體的一垂直表面對齊,因此本質上係為l 形。具體而言,該兩個閘極部分能夠部分地彼此重疊設置, 10以致於在該構造之頂部上能夠獲得一個硬遮罩、閘極氧化 物、第一閘極部分與第二閘極部分的三明治構造。此幾何 外型可由用以形成閘極部分之傾斜/方向性沈積程序所產 生。
通道區域可為製成該鰭片之一半導體材料的一本質 15 (其並未進行外部摻雜)部分。此一本質部分對於用以作為N 型以及P型場效電晶體而言能夠具有加強的雙重功能,使得 元件在尺寸方面減小,並具有高度整合性。 電晶體元件能夠形成為一邏輯電路且/或成為一記憶 電路。在兩種電路類型中,兩種傳導性之電晶體皆能夠加 20以使用。參考—邏輯電路之範例,如此能夠包括,反向器、 一AND閘極、一〇R閘極、一互斥(xor)閘極,或者是依照 一布林邏輯函數之任何其他的邏輯閘極。 接著將說明該方法之示範性實施例。然而,這些實施 例亦能夠應用於電晶體元件。 15 200834925 電晶體元件能夠形成在一矽晶絕緣體(S0I)構造之一 基底上。此一矽晶絕緣體基板能夠具有一種由一結晶矽基 板埋入式氧化物層以及一薄石夕層表面所形成的三明治 構造。以SOI技術形成電晶體能夠具有之優點係在於,僅有 5上方矽層必須進行處理,以便產生鰭片。然而,另擇地, 亦能夠使用一結晶矽晶圓。 第一源極/汲極區域能夠藉著以一第一傳導性類型之 摻雜物摻雜該鰭片的一對應部分,同時使一第一遮罩層覆 蓋住第二源極所形成。如此能夠容許以1^或1>摻雜物選擇性 10地例如藉由離子植入摻雜該第一汲極區域。第一遮罩層可 為一光阻劑層等等。 以類似方式,弟二源極/没極區域能夠藉著以一第二 傳導性類型之摻雜物摻雜鰭片的一對應部分,同時使一第 二遮罩層覆蓋住該第一源極/汲極區域所形成。此外,該第 15二遮罩層可為一光阻劑或類似物,避免已經摻雜之第一源 極/汲極區域由於第二源極/汲極區域的互補摻雜之雜質而 衰減。 、 閘極構造之一第一閘極部分能夠藉著實行一第一方向 性沈積程序,以至少部分沿著閘極絕緣體的一第一垂直表 20特齊的方式選擇性地形成。該閘極構造之一第二閘極部 ,能夠藉著實行-第二方向性沈_序,以少部分沿著 ,閘極絕緣體的-第二垂直表面對齊的方式選擇性地形 二:語「方向性沈積程序」能夠表示一種程序,欲沈積 在叹置於鰭片上之閘極絕緣體的壁部上之材料能夠以一傾 16 200834925 ' 斜方式照射(imPinge)在層構造上,也就是說對於基板之一 主要表面的一法線方向成一個大於零度(〇。)之角产。如此 能夠使用鰭片作為-屏蔽構造,用以有效率地產生該兩個 問極部分。 - 5 對於任何的方法步驟而言,其能夠實行任何半導體技 _ 術所知的程序。形成層或是組件能夠包括沈積技術,像是 CVD(化學蒸汽沈積)、PECVD(電装加強化學蒸汽沈積)、 ALD(原子層沈積),或是噴濺。移除層或是組件則能夠包括 蝕刻技術,像是濕式蝕刻、蒸汽蝕刻等;以及圖案形成技 10術,像是光學微影、UV微影、電子光束微影等等。 本發明之貫施例並非限定於特定材料,故能夠使用許 多不同的材料。對於傳導構造而言,其能夠使用金屬化構 造、矽化物構造或是聚矽構造。對於半導體區域或組件而 言,則能夠使用結晶矽。對於絕緣部分而言,能夠使用氧 15 化矽或是氮化矽。 u亥構夠形成在一純結晶碎晶圓或是一碎晶絕緣體 (SOI)晶圓上。 能夠實行諸如CMOS、雙極性(BIPOLAR)或是雙 CMOS(BICMOS)之任何的加工技術。 20 該元件能夠以一矽技術(Silicon Technology)使用單晶 體方式加以整合。至於矽技術之一另擇方式方面,亦能夠 以其他半導體技術製造該電晶體元件,諸如任何第IV族半導 體技術(例如錯)或是任何第m族-第V族技術(例如砷化鎵)。 本發明之以上所界定以及進一步的觀點係由以下所述 17 200834925 ^ 的實施例之範例,並且參考實施例的這些範例進行說明而 變得顯而易見。 圖式簡單說明 以下將參考實施例之範例,更為詳細地描述本發明, _ 5 但本發明並非限定於該等實施例。 第1圖顯示根據本發明之某些實施例的一半導體感應 器元件之一實施例的一俯視圖; 第2圖顯示第1圖之該半導體感應器元件沿著線段Π -# Π且垂直於厚度方向的一橫剖面; 10 第3圖到第8圖係為第1圖之該半導體感應器元件的一 部份在其藉由根據本發明之一示範性實施例的一種方法加 以製造的不同製造階段之剖面圖; 第9圖到第11圖係為第1圖之該半導體感應器元件的一 部份在其藉由根據本發明之一示範性實施例的一種方法加 ’ 15 以製造的不同製造階段之俯視圖; 第12圖顯示用於一電晶體元件之頻帶曲線的一切割 春 線; 第13圖顯示用於一電晶體元件之頻帶曲線。 【實施方式3 20 較佳實施例之詳細說明 圖式係為概略圖,且並未按尺寸加以繪出,為求更為 清晰起見,厚度方向之尺寸係特別加以誇大顯示。各個圖 式中,對應之部件一般係賦予相同的參考數字以及相同的 陰影區域。 18 200834925 第1圖顯示根據本發明之一示範性實施例的一半導體 感應态元件之一實施例的一俯視圖,且第2圖顯示垂直於厚 度方向,並沿著第1圖之半導體感應器的線段Π-η之一橫 剖面圖。此範例之元件10包含一基板U,在本質矽之案例 5中,該基板係以一個二氧化矽的電子絕緣層14加以塗佈, 邊絕緣層之頂部上係存在一形成半導體本體12之一部份的 矽區域33。後者包含一源極區域4(其亦能夠表示為一第一 源極/汲極區域),該源極區域於此為ρ型傳導性、以及一相 反傳導性之汲極區域5(其亦能夠表示為一第二源極/汲極區 域)’該汲極區域於此為Ν型傳導性,該等區域係藉由一條 狀半導體區域加以互連,其於此係為複數個條狀半導體區 或3、3Α或籍片,其形成此範例之的一本質(也就是最 低摻雜的)半導體通道區域33。此處源極區域4與沒極區域5 之部分亦存在於該等條狀半導體區域3、3Α中。 15 . 在一垂直於條狀半導體區域3、3Α之長度方向的方向中 係存在兩個閘極區域7、8。一第一閘極區域7係沿著條狀半 $體區域3、3Α之一第一垂直側表面存在,以及位於其上方 水平侧表面上,該側表面係以一閘極電介質6(其亦能夠表 示為閘極纟巴緣體)加以覆蓋,於此包含一個二氧化石夕層。 第二閘極區域8係沿著條狀半導體區域3、3a之一第二垂 直側表面(其與第一垂直側表面相反)存在,以及位於其上方 水平侧表面上。 此範例之電晶體形成一種多重FinFET,其中各個續片 3、3A包含一N型TFET以及一P型TFET。結果,元件1〇係變 19 200834925 得非常袖珍,且因而佔用相當少的面積,同時例如由於消 除了短通道效應以及一低靜態洩漏電流而保持優異性能。 閘極區域7、8在此範例中係於條狀半導體區域3、3A之上方 側表面上互連,該表面於此範例中係藉由一硬遮罩區域HM 5 加以覆盖’該硬遮罩區域包含例如二氧化石夕或氮化石夕。
第一閘極區域7包含一材料,其運作功能係使該閘極區 域適合或是最理想作為一NS(T)FET使用,而閘極區域8則 包含一種不同材料,其運作功能係在於適合或最理想作為 P型(T)FET中的一閘極區域。適以作為閘極區域7使用之 1〇金屬或是金屬合金的範例係為具有運作功能範圍屬於 4·2+/-〇·5 ev(電子伏特)内的材料,例如釕、锆、鈮、釦、 夕化銷與石夕化组。適用於第二閘極區域8之材料係為具有運 作功能範圍屬於5.2+/-0.5eV内的材料,其範例係為錄、二 氧化釕氮化鉬與氮化鈕。 15 20 、在此範例中,例如為二氧化石夕之隔件16係沿著問極區 域7、8的橫向側表面存在。在此範例中,各個通道區域^ 之橫向尺寸約為1()奈米(寬度),以及約為6〇奈米(各 個條狀區域3、3A之長度係約為75奈米,其包含源^祕 與間極部分4、5、7與8各25奈米。鄰接的條狀區域3、3A ⑽的關係約為5G奈米。此_之元件_進二步之細 藉由根據本發明之一種方法製造該元件1二的說 第3圖到第8圖係為第旧之該半導體感應器元件的一 部份在藉由_本發明之—實施儀—種方法加以製造之 20 200834925 ^ 不同階段的剖面圖。第9圖到第11圖係為第工圖之一半導體 感應器元件在其藉由根據本發明之一實施例的一種方、去力 以製造之不同階段的俯視圖。 製造程序之起點係為(參看第3圖)形成一 s〇I構造U, ' 5其包含一矽基板11,其中一埋入式二氧化矽區域14係藉著 - 例如氧離子植入所形成。在此方式中,半導體本體12:上 方部分形成-本質石夕區域/層13,其中係使用(光)微影與兹 ^ 刻形成一鰭片構造以及源極與汲極區域。 為此目的(參看第4圖)係使用硬遮罩層HM,該遮罩層包 1〇含81办4(氮化矽),其係使用光微影與蝕刻形成圖案。在此 乾例中,姓刻係使用一諸如腿(反應離子餘刻)之乾式钱刻 程序達成,亦能夠使用濕式蝕刻。 蝕刻之後(參看第5圖),通道區域33係形成於一條狀半 導體區域3、3A(鰭片)中。 15 接著(參看第6圖),鰭片區域3、3A係設置一採用二氧 • 切層之形式的閉極電介質6。後者能夠藉由沈積(第6圖中 ^建議者)加以形成,但其亦能夠由矽區域33之一熱氧化所 製成。 2〇 接著(參看第7圖)係使用一第-方向性程序D卜用以將 、閑極區域8沈積在條狀半導體區域3、3A的-上方側表面 、 垂直侧表面上,該閘極區域包含例如氮化鉬(m〇N)。 ' 、卜方向丨生程序D1方面,則能夠使用例如一喷錢法。 (參看第8圖)此步驟接著係在_第二方向性沈積程序 匕積另個閘極區域7。在此案例中,此第二方向性沈 21 200834925 積私序D2係、由—個與第—方向性沈積巾所使用的方向相反 的方向進仃。在此方式中’閘極區域7係形成覆蓋住區域3、 从之上方水平側表面以及後者的一垂直側表面,然而,與 .亥垂直侧表面相反之一垂直側表面係藉由閘極區域8加以 5 覆蓋。 在此範例中(參看第9圖),隔件16係形成於第-閘極區 域7與第二閘極區域8的兩個柄反橫向側表面上。這些包含 例如二氧化石夕或是氮化石夕之隔件祕此處係藉由例如藉著 CVD(化學蒸汽沈積)沈積_層此_材料,之後藉絲刻去除 10 此一層之水平部分所形成。 接著(參看第10圖),-遮罩M2係設置於元件1〇覆蓋住 欲形成源極區域以及閘極區域7、8之左半部上。該遮罩⑽ 能夠藉著光微影所形成,且能夠包含一阻抗層。沒極區域5 接著係藉著一植入步驟12所形成,例如砷或麟之^^型雜質係 15 藉由該步驟植入。 接著(參看第11圖)且在去除遮罩M2之後,元件1〇之一 互補部分係藉由類似且與遮罩…丨相同方式所形成的一遮 罩Ml加以覆蓋。現在汲極區域5係藉著使用相反傳導類型 之摻雜離子的植入12所形成,也就是說諸如硼之一p型雜 20貝。在移除遮罩M1之後,元件係藉由例如在約為l〇i5°C之 一溫度進行約15秒的處理(從而在所謂的快速熱退火步驟 中)加以退火。 在一修正方式中,通道區域與源極且/或沒極之間的摻 雜輪廓係藉著以稍微不同於先前所述之一方法使用一個或 22 200834925 兩個隔件純建構。在形成隔件之前係預先形成一淺源極/ 及極該等隔件在此一案例中係於兩個或是四個額外步驟 中形成’意指_在例如_之右側部分係以遮罩加以遮蔽時 -在-淺源極級極植人之後係形成左侧隔件,且在形 5成该弟-左侧隔件以後係實行—(較)深之源極/沒極植入。 在移除遮罩以及元件的左側部分之後,一第一右側隔件係 在一淺沒極/源極植入之後形成,且在形成該第-右側隔件 之後係實行-(較)深之祕/源極植人。如果使用—第二左 側與第二右側隔件,該等隔件之形成係藉著一中間植入所 10進行,該中間植人之深度係位在例如淺以及(較)深植入的深 度之間。健地’至少接近料區_輕極/祕區域之 間形成-穿隨接合的過渡區域之摻雜輪廉係提供此一單獨 或雙重隔件處理。在籍片包含—單獨TFET之案例(意指通道 區域係為P或N型摻雜)中,隔件之形成能夠只限定於元件的 15左側或右側,也就是說存在穿隨結合之側。從而能夠以該 方式減少製造步驟之數目。 最後係實行通常會返回的結束程序步驟(圖式中未顯 示),其包括供應賴導歡_圖案,以及❹—分離技 術’諸如鑛開或餘刻,以便根據本發明之一實施例獲得個 2〇 別的元件10。 第12圖顯示根據本發明之-示範性實施例的一元件的 一概略圖。 在此非常概略的圖式中係顯示—閘極電壓%、一欲施 加到第二源極/汲極區域5之電壓Vn,以及一參考電位 23 200834925 ^ 1201(例如一接地電位)。該圖係顯示埋入式氧化物層u,且 亦顯示具有例如5 X ’ em-2之p濃度的… 該圖亦顯示出第13圖中所示之用於頻帶曲線圖的一切割線 1202。 5 第13 ®顯7F — Ρ++/⑦/Ν++間元件之不同偏壓情況的不 • 同頻f曲線®。_細而言,能量(單位為電子伏特eV)對於通 迢距離(單位為微米)之個別的標繪圖係對於Vg=-2 v、 一〇 V、VG = 2 V各者顯示Vn二_2 v、Vn = 〇 v以及Vn = 2 v。 參 4等才示圖之刻度為顯示從_4eV到+4eV的-能量範圍,以 10及從·0·04微米到+0.04微米的一距離範圍(理解到的是,該距 離係為對中在閘極區域之中間,如第12圖中所示者)。 顯而易見的是’本發明並非限定於文中所述之範例, 且對於熟請此技藝之人士而言,則能夠實現出許多屬於本 叙明之範驚的變化與修正形式。 15 例如’注意到的是,能夠使用不同於範例中所述之其 他尺寸以及其他材料。此外注意到的是,對於個別的製造 驟能夠進行許多修正。例如,能夠選用其他的沈積技術 取代範例中所使用的那些技術。 【圖武簡單說明】 20 第1圖顯示根據本發明之某些實施例的一半導體感應 器元件之一實施例的一俯視圖; 第2圖顯示第1圖之該半導體感應器元件沿著線段Ε-Π且垂直於厚度方向的_橫剖面; 第3圖到第8圖係為第i圖之該半導體感應器元件的一 24 200834925 ^ 部份在其藉由根據本發明之一示範性實施例的一種方法加 以製造的不同製造階段之剖面圖; 第9圖到第11圖係為第1圖之該半導體感應器元件的一 部份在其藉由根據本發明之一示範性實施例的一種方法加 、 5 以製造的不同製造階段之俯視圖; 第12圖顯示用於一電晶體元件之頻帶曲線的一切割 線; 第13圖顯示用於一電晶體元件之頻帶曲線。 Φ 【主要元件符號說明】 HM…硬遮罩區域 5…沒:極區域 D1···第一方向性程序 6··•閘極電介質 D2…第二方向性沈積程序 7…問極區域 Ml…遮罩 8…間極區域 M2···遮罩 10…元件 11…植步驟 11 … 12…植入步驟 12…半導體本體 Vg…閘極電壓 13…本質碎區域^層 VN…施加到第二源極/没極區域 14…電子絕緣層 之電壓 16…隔件 3…半導體區域 33 ··矽區域/半導體通道區域 3A·.·半導體區域 1201…參考電位 4…源極區域 1202…切割線 25

Claims (1)

  1. 200834925 . 5 十、申請專利範圍: 1. 一種電晶體元件,該電晶體元件包含: 一基板; 一沿著該基板上之一水平方向延伸的鰭片; 位於該鰭片中之一第一傳導類型的一第一源極/汲 極區域, • 位於該鰭片中之一第二傳導類型的一第二源極/汲 極區域,其中該第一傳導類型係不同於第二傳導類型; 在於該鰭片中位於第一源極/汲極區域以及第二源 10 極/汲極區域之間的一通道區域; 一閘極絕緣體,其位於該通道區域上; 一閘極構造,其位於該閘極絕緣體上; 其中,在水平方向中,該通道區域延伸在第一源極 /汲極區域以及第二源極/汲極區域之間。 15 2. 如申請專利範圍第1項之電晶體元件,其中該第一傳導 類型係與第二傳導類型相反。 3. 如申請專利範圍第1項之電晶體元件,其適合做為一半 導體電晶體元件,特別是作為一CMOS電晶體元件。 4. 如申請專利範圍第1項之電晶體元件,其中該閘極構造 20 係為一種雙重閘極構造。 5.如申請專利範圍第4項之電晶體元件,其中該雙重閘極 構造包含一第一閘極部分,其至少部分地沿著該閘極絕 緣體之一第一垂直表面加以設置、並包含一第二閘極部 分,其至少部分地沿著該閘極絕緣體之一第二垂直表面 26 200834925 加以設置。 6. 如申請專利範圍第5項之電晶體元件,其中該第一閘極 部分之一材料係不同於第二閘極部分的一材料。 7. 如申請專利範圍第5項之電晶體元件,其中該第一閘極 5 部分之材料的一運作功能係適合提供一N型穿隧式場效 電晶體之一特徵,且該第二閘極部分之材料的一運作功 能係適合提供一 P型穿隧式場效電晶體之一特徵。 8. 如申請專利範圍第5項之電晶體元件,其中該第一閘極 部分與第二閘極部分至少其中一者係部分地沿著該閘 10 極絕緣體之一水平表面加以設置。 9. 如申請專利範圍第1項之電晶體元件,其中該通道區域 係為一製造鰭片之一半導體材料的本質部分。 10. 如申請專利範圍第1項之電晶體元件,其形成為由一邏 輯電路以及一記憶電路所構成的群組其中一者。 15 11.如申請專利範圍第1項之電晶體元件,其中該第一源極/ 汲極區域以及第二源極/汲極區域係以水平方向設置在 相同高度。 12. 如申請專利範圍第1項之電晶體元件,其中該通道區 域、第一源極/汲極區域以及第二源極/汲極區域係沿著 20 該水平方向橫向地鄰接。 13. —種製造一電晶體元件之方法,該方法包含: 形成一鰭片,該鰭片沿著一基板上之一水平方向延 伸; 在鰭片中形成一第一傳導類型之一第一源極/汲極 27 200834925 區域; 在鰭片中形成一第二傳導類型之一第二源極/汲極 區域,其中該第一傳導類型係不同於第二傳導類型; 在於鰭片中於第一源極/汲極區域以及第二源極/汲 5 極區域之間形成一通道區域; 在該通道區域上形成一閘極絕緣體; 在該閘極絕緣體上形成一閘極構造; 沿著水平方向對齊,形成第一源極/汲極區域、通 道區域以及第二源極/汲極區域之順序。 10 14.如申請專利範圍第13項之方法,其中該電晶體元件係基 於一石夕晶絕緣體基板加以製造。 15. 如申請專利範圍第13項之方法,其中該第一源極/汲極 區域係藉著以第一傳導類型之一摻雜物摻雜該鰭片的 一對應部分,而同時第二源極/汲極區域係藉由一第一 15 遮罩層加以覆蓋所形成;且/或該第二源極/汲極區域係 藉著以第二傳導類型之一摻雜物摻雜鰭片的一對應部 分,而同時第一源極/汲極區域係藉由一第二遮罩層加 以覆蓋所形成。 16. 如申請專利範圍第11項之方法,其中該閘極構造之一第 20 一閘極部分係藉著實行一第一方向性沈積程序,至少部 分地沿著閘極絕緣體的一第一垂直表面延伸所選擇性 地形成;且/或該閘極構造之一第二閘極部分係藉著實 行一第二方向性沈積程序,至少部分地沿著閘極絕緣體 的一第一垂直表面延伸所選擇性地形成。 28
TW096147371A 2006-12-15 2007-12-12 Transistor device and method of manufacturing such a transistor device TW200834925A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP06026030 2006-12-15

Publications (1)

Publication Number Publication Date
TW200834925A true TW200834925A (en) 2008-08-16

Family

ID=39185677

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096147371A TW200834925A (en) 2006-12-15 2007-12-12 Transistor device and method of manufacturing such a transistor device

Country Status (5)

Country Link
US (1) US8362561B2 (zh)
EP (1) EP2122687A1 (zh)
CN (1) CN101558497B (zh)
TW (1) TW200834925A (zh)
WO (1) WO2008072164A1 (zh)

Families Citing this family (492)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8026509B2 (en) 2008-12-30 2011-09-27 Intel Corporation Tunnel field effect transistor and method of manufacturing same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8405420B2 (en) * 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US20110031997A1 (en) * 2009-04-14 2011-02-10 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US8754533B2 (en) * 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8384426B2 (en) * 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8110467B2 (en) * 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
CN101819975B (zh) * 2010-04-28 2011-12-07 复旦大学 垂直沟道双栅隧穿晶体管及其制备方法
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
WO2012015550A2 (en) * 2010-07-30 2012-02-02 Monolithic 3D, Inc. Semiconductor device and structure
US8659072B2 (en) * 2010-09-24 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Series FinFET implementation schemes
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
CN102169901B (zh) * 2011-03-01 2012-10-10 清华大学 具有异质栅极功函数的隧穿场效应晶体管及其形成方法
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
CN102184955B (zh) * 2011-04-07 2012-12-19 清华大学 互补隧道穿透场效应晶体管及其形成方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE112012003083B4 (de) * 2011-07-22 2016-09-22 Globalfoundries Inc. Tunnel-Feldeffekttransistor, Verfahren zur Herstellung und Verwendung
GB2506831B (en) * 2011-08-16 2015-09-02 Fox Int Group Ltd A method feeder
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101805634B1 (ko) * 2011-11-15 2017-12-08 삼성전자 주식회사 Ⅲ-ⅴ족 배리어를 포함하는 반도체 소자 및 그 제조방법
US8723223B2 (en) * 2011-11-30 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid Fin field-effect transistors
US8354320B1 (en) 2012-02-09 2013-01-15 Globalfoundries Inc. Methods of controlling fin height of FinFET devices by performing a directional deposition process
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
CN103383965B (zh) * 2012-05-04 2016-01-20 台湾积体电路制造股份有限公司 混合鳍式场效应晶体管
CN103579002B (zh) * 2012-08-03 2016-08-31 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9209265B2 (en) * 2012-11-15 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. ESD devices comprising semiconductor fins
US8890120B2 (en) 2012-11-16 2014-11-18 Intel Corporation Tunneling field effect transistors (TFETs) for CMOS approaches to fabricating N-type and P-type TFETs
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
CN103151390B (zh) * 2013-03-15 2015-07-29 南通大学 一种隧穿场效应晶体管
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
CN103413828A (zh) * 2013-07-18 2013-11-27 清华大学 多边形沟道层多栅结构隧穿晶体管及其形成方法
US9373720B2 (en) * 2013-10-14 2016-06-21 Globalfoundries Inc. Three-dimensional transistor with improved channel mobility
CN103558280A (zh) * 2013-11-15 2014-02-05 中国科学院上海微系统与信息技术研究所 一种基于隧穿场效应晶体管的生物传感器及其制备方法
US9419114B2 (en) 2014-01-17 2016-08-16 Imec Vzw Tunnel field-effect transistor
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105336772B (zh) * 2014-05-26 2021-11-30 中芯国际集成电路制造(上海)有限公司 鳍式tfet及其制造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9514997B2 (en) 2015-03-25 2016-12-06 International Business Machines Corporation Silicon-germanium FinFET device with controlled junction
US9627508B2 (en) 2015-04-14 2017-04-18 Globalfoundries Inc. Replacement channel TFET
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10026830B2 (en) * 2015-04-29 2018-07-17 Stmicroelectronics, Inc. Tunneling field effect transistor (TFET) having a semiconductor fin structure
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US9601621B1 (en) 2015-08-25 2017-03-21 International Business Machines Corporation Semiconductor device including dual spacer and uniform epitaxial buffer interface of embedded SiGe source/drain
US9362383B1 (en) 2015-09-17 2016-06-07 International Business Machines Corporation Highly scaled tunnel FET with tight pitch and method to fabricate same
US9508597B1 (en) 2015-09-18 2016-11-29 Globalfoundries Inc. 3D fin tunneling field effect transistor
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
EP3185301A1 (en) 2015-12-22 2017-06-28 IMEC vzw Multi-gate tunnel field-effect transistor (tfet)
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9947586B2 (en) 2016-02-12 2018-04-17 International Business Machines Corporation Tunneling fin type field effect transistor with epitaxial source and drain regions
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10002795B1 (en) 2017-04-12 2018-06-19 International Business Machines Corporation Method and structure for forming vertical transistors with shared gates and separate gates
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) * 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN108074979A (zh) * 2017-11-30 2018-05-25 中国科学院上海微系统与信息技术研究所 基于垂直隧穿的场效应晶体管、生物传感器及其制备方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5179037A (en) 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
JPH0787245B2 (ja) 1992-12-09 1995-09-20 日本電気株式会社 トンネルトランジスタ
US6443609B2 (en) * 1998-10-21 2002-09-03 Precision Venturi Ltd. Fluid inductor system and apparatus having deformable member for controlling fluid flow
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6433609B1 (en) 2001-11-19 2002-08-13 International Business Machines Corporation Double-gate low power SOI active clamp network for single power supply and multiple power supply applications
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
WO2004001801A2 (en) * 2002-06-19 2003-12-31 The Board Of Trustees Of The Leland Stanford Junior University Insulated-gate semiconductor device and approach involving junction-induced intermediate region
US6853020B1 (en) * 2002-11-08 2005-02-08 Advanced Micro Devices, Inc. Double-gate semiconductor device
US7173310B2 (en) * 2002-12-03 2007-02-06 International Business Machines Corporation Lateral lubistor structure and method
US6815738B2 (en) * 2003-02-28 2004-11-09 International Business Machines Corporation Multiple gate MOSFET structure with strained Si Fin body
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6911697B1 (en) * 2003-08-04 2005-06-28 Advanced Micro Devices, Inc. Semiconductor device having a thin fin and raised source/drain areas
US20050077574A1 (en) * 2003-10-08 2005-04-14 Chandra Mouli 1T/0C RAM cell with a wrapped-around gate device structure
US20070029623A1 (en) * 2003-12-05 2007-02-08 National Inst Of Adv Industrial Science And Tech Dual-gate field effect transistor
DE102005007822B4 (de) * 2005-02-21 2014-05-22 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Tunnel-Feldeffekttransistor
US7329937B2 (en) * 2005-04-27 2008-02-12 International Business Machines Corporation Asymmetric field effect transistors (FETs)
US7432122B2 (en) * 2006-01-06 2008-10-07 Freescale Semiconductor, Inc. Electronic device and a process for forming the electronic device

Also Published As

Publication number Publication date
CN101558497A (zh) 2009-10-14
EP2122687A1 (en) 2009-11-25
US20100025766A1 (en) 2010-02-04
US8362561B2 (en) 2013-01-29
WO2008072164A1 (en) 2008-06-19
CN101558497B (zh) 2011-09-07

Similar Documents

Publication Publication Date Title
TW200834925A (en) Transistor device and method of manufacturing such a transistor device
US10923399B2 (en) Hybrid integrated semiconductor tri-gate and split dual-gate FinFET devices and method for manufacturing
TWI234283B (en) Novel field effect transistor and method of fabrication
CN100499168C (zh) 半导体器件
JP4947902B2 (ja) 高密度二重平面デバイス
JP4493343B2 (ja) 歪みフィンfet構造および方法
CN108231562B (zh) 逻辑单元结构和方法
KR102088647B1 (ko) 핀펫 내의 반도체 구조체 형성 방법
CN101894842B (zh) 场效应晶体管反相器以及制造方法
US7365372B2 (en) Semiconductor device and method for manufacturing semiconductor device
US20050056892A1 (en) Fully-depleted castellated gate MOSFET device and method of manufacture thereof
US20150041858A1 (en) 3d transistor channel mobility enhancement
TW200807629A (en) CO-integration of multi-gate fet with other fet devices in CMOS technology
US11631671B2 (en) 3D complementary metal oxide semiconductor (CMOS) device and method of forming the same
CN101740568A (zh) 集成电路
WO2011066730A1 (zh) 混合晶向反型模式全包围栅cmos场效应晶体管
WO2011066728A1 (zh) 混合材料积累型全包围栅cmos场效应晶体管
TW201240092A (en) Field effect transistor
KR20050017395A (ko) 반도체장치
WO2011066729A1 (zh) 混合材料反型模式圆柱体全包围栅cmos场效应晶体管
JP2010258124A (ja) 半導体装置及び半導体装置の製造方法
TWI590447B (zh) 具有三維電晶體之半導體結構及其製程
TW200532918A (en) Method for fabricating self-aligned source and drain contacts in a double gate fet with controlled manufacturing of a thin Si or non-Si channel
US11688741B2 (en) Gate-all-around devices with isolated and non-isolated epitaxy regions for strain engineering
CN116569321A (zh) 使用堆叠的n型和p型纳米片的具有互补电容匹配的ncfet