PT2891174T - Sistema e método para correcção automática do desalinhamento rotational de pastilhas em molduras de filme - Google Patents

Sistema e método para correcção automática do desalinhamento rotational de pastilhas em molduras de filme

Info

Publication number
PT2891174T
PT2891174T PT138335781T PT13833578T PT2891174T PT 2891174 T PT2891174 T PT 2891174T PT 138335781 T PT138335781 T PT 138335781T PT 13833578 T PT13833578 T PT 13833578T PT 2891174 T PT2891174 T PT 2891174T
Authority
PT
Portugal
Prior art keywords
wafers
automatically correcting
film frames
rotational misalignment
misalignment
Prior art date
Application number
PT138335781T
Other languages
English (en)
Inventor
Lin Jing
Original Assignee
Semiconductor Tech & Instruments Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Tech & Instruments Pte Ltd filed Critical Semiconductor Tech & Instruments Pte Ltd
Publication of PT2891174T publication Critical patent/PT2891174T/pt

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/06Gripping heads and other end effectors with vacuum or magnetic holding means
    • B25J15/0616Gripping heads and other end effectors with vacuum or magnetic holding means with vacuum
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/06Gripping heads and other end effectors with vacuum or magnetic holding means
    • B25J15/0616Gripping heads and other end effectors with vacuum or magnetic holding means with vacuum
    • B25J15/065Gripping heads and other end effectors with vacuum or magnetic holding means with vacuum provided with separating means for releasing the gripped object after suction
    • B25J15/0658Pneumatic type, e.g. air blast or overpressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/06Gripping heads and other end effectors with vacuum or magnetic holding means
    • B25J15/0616Gripping heads and other end effectors with vacuum or magnetic holding means with vacuum
    • B25J15/065Gripping heads and other end effectors with vacuum or magnetic holding means with vacuum provided with separating means for releasing the gripped object after suction
    • B25J15/0666Other types, e.g. pins or springs
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/02Mechanical
    • G01N2201/025Mechanical control of operations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
PT138335781T 2012-08-31 2013-09-02 Sistema e método para correcção automática do desalinhamento rotational de pastilhas em molduras de filme PT2891174T (pt)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261696051P 2012-08-31 2012-08-31

Publications (1)

Publication Number Publication Date
PT2891174T true PT2891174T (pt) 2019-11-20

Family

ID=50184004

Family Applications (3)

Application Number Title Priority Date Filing Date
PT138330451T PT2891175T (pt) 2012-08-31 2013-09-02 Estrutura de mesa de bolachas única ultra-planar para bolachas e quadros de filmes
PT13832999T PT2891173T (pt) 2012-08-31 2013-09-02 Sistema multifuncional de manuseamento de bolachas e de molduras de película
PT138335781T PT2891174T (pt) 2012-08-31 2013-09-02 Sistema e método para correcção automática do desalinhamento rotational de pastilhas em molduras de filme

Family Applications Before (2)

Application Number Title Priority Date Filing Date
PT138330451T PT2891175T (pt) 2012-08-31 2013-09-02 Estrutura de mesa de bolachas única ultra-planar para bolachas e quadros de filmes
PT13832999T PT2891173T (pt) 2012-08-31 2013-09-02 Sistema multifuncional de manuseamento de bolachas e de molduras de película

Country Status (13)

Country Link
US (3) US10262885B2 (pt)
EP (3) EP2891173B1 (pt)
JP (3) JP6267203B2 (pt)
KR (3) KR102190334B1 (pt)
CN (3) CN104620371B (pt)
HK (3) HK1210543A1 (pt)
IL (3) IL237255A (pt)
MY (3) MY178002A (pt)
PH (3) PH12015500443B1 (pt)
PT (3) PT2891175T (pt)
SG (3) SG11201501085TA (pt)
TW (3) TWI601193B (pt)
WO (3) WO2014035347A1 (pt)

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5999972B2 (ja) * 2012-05-10 2016-09-28 株式会社ディスコ 保持テーブル
JP6049485B2 (ja) * 2013-02-13 2016-12-21 新電元工業株式会社 半導体ウェーハの検査方法
USD751773S1 (en) * 2013-04-26 2016-03-15 Robert Gailen Feeding platter for pets
MY179130A (en) 2013-06-07 2020-10-28 Semiconductor Tech & Instruments Pte Ltd Systems and methods for automatically verifying correct die removal from film frames
US9330955B2 (en) 2013-12-31 2016-05-03 Applied Materials, Inc. Support ring with masked edge
CN104181723B (zh) * 2014-08-26 2018-03-06 深圳市华星光电技术有限公司 平板玻璃用对组系统及对组方法
JP6422805B2 (ja) * 2015-03-27 2018-11-14 株式会社ディスコ 切削装置
KR102022475B1 (ko) * 2015-06-15 2019-09-18 한화정밀기계 주식회사 플립 칩의 범프 인식 보정 방법
DE102015113956B4 (de) * 2015-08-24 2024-03-07 Meyer Burger (Germany) Gmbh Substratträger
US9929121B2 (en) * 2015-08-31 2018-03-27 Kulicke And Soffa Industries, Inc. Bonding machines for bonding semiconductor elements, methods of operating bonding machines, and techniques for improving UPH on such bonding machines
TW201714243A (zh) * 2015-10-05 2017-04-16 Els System Technology Co Ltd 承載裝置
US10186438B2 (en) * 2015-11-05 2019-01-22 Infineon Technologies Ag Method and apparatus for use in wafer processing
TWI565377B (zh) * 2015-11-06 2017-01-01 You-Long Weng Surface metal layer cutting processing equipment for circuit boards
CN105299023B (zh) * 2015-12-10 2017-09-29 北京中电科电子装备有限公司 一种真空陶瓷吸盘
JP6605946B2 (ja) * 2015-12-24 2019-11-13 株式会社ディスコ チップ収容トレイからチップをピックアップする方法
USD894498S1 (en) 2016-04-04 2020-08-25 Macneil Ip Llc Single-bowl pet water/food station
USD802853S1 (en) * 2016-04-04 2017-11-14 Macneil Ip Llc Pet feeding system
USD887650S1 (en) * 2016-04-04 2020-06-16 Macneil Ip Llc Pet water station
USD873502S1 (en) 2016-04-04 2020-01-21 Macneil Ip Llc Double bowl low-profile pet feeding station
USD873504S1 (en) 2016-04-04 2020-01-21 Macneil Ip Llc Compact mat for pet feeding system
USD873503S1 (en) 2016-04-04 2020-01-21 Macneil Ip Llc Pet feeding system
CN105881079B (zh) * 2016-05-28 2018-03-23 郑招才 一种pcb板搬运机器人
US10315286B2 (en) 2016-06-14 2019-06-11 Axus Technologi, Llc Chemical mechanical planarization carrier system
TWI804224B (zh) 2016-08-12 2023-06-01 美商英培雅股份有限公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
JP6815138B2 (ja) * 2016-09-06 2021-01-20 株式会社ディスコ 吸引保持システム
US10340173B2 (en) 2016-10-11 2019-07-02 Micron Technology, Inc. System for handling semiconductor dies
KR20180045666A (ko) * 2016-10-26 2018-05-04 삼성전자주식회사 기판 제조 장치
US10424553B2 (en) 2016-10-31 2019-09-24 Micron Technology, Inc. Semiconductor devices with underfill control features, and associated systems and methods
JP6804146B2 (ja) * 2016-11-10 2020-12-23 株式会社ディスコ 搬送装置、加工装置及び搬送方法
CN106783694A (zh) * 2017-02-06 2017-05-31 广东工业大学 一种晶圆级芯片倒装定位平台
US11201078B2 (en) * 2017-02-14 2021-12-14 Applied Materials, Inc. Substrate position calibration for substrate supports in substrate processing systems
JP6598807B2 (ja) * 2017-03-13 2019-10-30 株式会社Screenホールディングス 検査方法および検査装置
TWI639906B (zh) * 2017-06-16 2018-11-01 中原大學 主動式組裝系統、主動式組裝之方法及其定位組裝裝置
JP6955933B2 (ja) * 2017-08-31 2021-10-27 株式会社ディスコ 加工装置
TWI628731B (zh) * 2017-11-22 2018-07-01 孫建忠 晶圓框架取回與清潔之系統及方法
US10500738B2 (en) 2017-11-28 2019-12-10 Amazon Technologies, Inc. Mechanism for exchanging concentric suction cups
CN108181020A (zh) * 2018-01-17 2018-06-19 浙江大学昆山创新中心 真空室活动片架在线测温系统
US11468590B2 (en) 2018-04-24 2022-10-11 Cyberoptics Corporation Wireless substrate-like teaching sensor for semiconductor processing
US11201079B2 (en) 2018-05-30 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer chuck
KR102217780B1 (ko) 2018-06-12 2021-02-19 피에스케이홀딩스 (주) 정렬 장치
JP7143021B2 (ja) * 2018-07-09 2022-09-28 株式会社ディスコ ポーラスチャックテーブル、ポーラスチャックテーブルの製造方法、及び、加工装置
US11131718B2 (en) * 2018-07-24 2021-09-28 Astee International Limited Systems and methods for automated testing of power supply units
US11556064B2 (en) * 2018-08-23 2023-01-17 Asml Netherlands B.V. Stage apparatus and method for calibrating an object loading process
AT521384B1 (de) * 2018-09-20 2020-01-15 Trumpf Maschinen Austria Gmbh & Co Kg Automatisierter Vakuumgreifer und Verfahren zum sicheren Greifen von Bauteilen
CN109256331B (zh) * 2018-09-21 2021-04-06 吉林华微电子股份有限公司 一种超薄芯片背面金属溅射的方法
CN110355778B (zh) * 2018-10-22 2023-05-12 江苏艾科半导体有限公司 一种半导体吸取装置
TWI677774B (zh) * 2018-12-03 2019-11-21 鴻勁精密股份有限公司 電子元件移料機構及其應用之作業設備
DE102018009871A1 (de) * 2018-12-19 2020-06-25 Vat Holding Ag Stifthubvorrichtung mit Zustandsüberwachung
JP7303635B2 (ja) * 2019-01-07 2023-07-05 株式会社ディスコ ワークの保持方法及びワークの処理方法
TW202038370A (zh) * 2019-03-13 2020-10-16 以色列商核心流有限公司 圓形晶圓側向定位裝置
CN110053810A (zh) * 2019-05-05 2019-07-26 山东瑞邦自动化设备有限公司 微孔板在夹具类产品中的应用
KR102344530B1 (ko) * 2019-07-03 2021-12-29 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN110286133B (zh) * 2019-07-23 2023-12-12 中电科风华信息装备股份有限公司 偏光片检测装置
USD880788S1 (en) * 2019-08-19 2020-04-07 David H. Price Mat
USD880787S1 (en) * 2019-08-19 2020-04-07 David H. Price Mat
KR20210023375A (ko) 2019-08-23 2021-03-04 삼성전자주식회사 레이저 전사 장치 및 이를 이용한 전사 방법
US11348221B2 (en) * 2019-11-04 2022-05-31 Mpi Corporation Wafer testing method
EP4104208A4 (en) * 2020-02-13 2024-04-10 Jabil Inc DEVICE, SYSTEM AND METHOD FOR PROVIDING A SUBSTRATE FEED
CN111473039A (zh) * 2020-03-04 2020-07-31 上海精测半导体技术有限公司 柔性面板整平装置以及方法
US20220005721A1 (en) * 2020-07-02 2022-01-06 Mpi Corporation Method of aligning wafer
US11263755B2 (en) * 2020-07-17 2022-03-01 Nanya Technology Corporation Alert device and alert method thereof
CN111618885B (zh) * 2020-07-29 2020-10-16 山东元旭光电股份有限公司 一种晶圆自动上片装置
US11748871B2 (en) * 2020-09-28 2023-09-05 KLA Corp. Alignment of a specimen for inspection and other processes
TWI797532B (zh) * 2020-12-31 2023-04-01 創技工業股份有限公司 半導體加工的方法及裝置
CN112614797B (zh) * 2021-03-08 2021-07-02 杭州众硅电子科技有限公司 一种晶圆位置检测装置
TWI773187B (zh) * 2021-03-12 2022-08-01 旭東機械工業股份有限公司 用於檢測一晶圓盒的方法及系統
JP2023094118A (ja) * 2021-12-23 2023-07-05 東レエンジニアリング株式会社 ウエーハ検査装置
CN116854467A (zh) * 2023-07-12 2023-10-10 江西兆驰半导体有限公司 一种生瓷复合材料及用其制备晶圆搬运臂的制备方法

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1066282B (pt) * 1958-03-26 1900-01-01
JPS628636U (pt) * 1985-07-02 1987-01-19
JP3173052B2 (ja) * 1990-12-12 2001-06-04 株式会社ディスコ 半導体ウェーハのダイシング方法
JPH054483U (ja) * 1991-06-28 1993-01-22 関西日本電気株式会社 リング搬送装置
JP2555938Y2 (ja) * 1991-07-31 1997-11-26 京セラ株式会社 真空チャック
US5421595A (en) * 1994-03-28 1995-06-06 Motorola, Inc. Vacuum chuck with venturi jet for converting positive pressure to a vacuum
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
KR970062816A (ko) * 1996-02-13 1997-09-12 박병재 헤드 램프를 이용한 엔진룸 조사 장치
KR100257279B1 (ko) * 1996-06-06 2000-06-01 이시다 아키라 주변노광장치 및 방법
US5905850A (en) 1996-06-28 1999-05-18 Lam Research Corporation Method and apparatus for positioning substrates
JP3469999B2 (ja) 1996-10-30 2003-11-25 京セラ株式会社 吸着盤の製造方法
US5920769A (en) * 1997-12-12 1999-07-06 Micron Technology, Inc. Method and apparatus for processing a planar structure
US6032997A (en) * 1998-04-16 2000-03-07 Excimer Laser Systems Vacuum chuck
JP3105201B2 (ja) 1998-10-20 2000-10-30 株式会社東京精密 ウェーハの搬送保持機構
JP2000232083A (ja) * 1999-02-10 2000-08-22 Okamoto Machine Tool Works Ltd 半導体ウエハのユニバーサルチャック機構
JP2001024051A (ja) * 1999-07-09 2001-01-26 Tokyo Seimitsu Co Ltd ウェーハ吸着パッド
JP2001174418A (ja) * 1999-12-17 2001-06-29 Nikon Corp 外観検査装置
WO2001058233A1 (fr) * 2000-01-31 2001-08-09 Shibaura Mechatronics Corporation Procede et appareil de montage d'un dispositif electronique
US20010051086A1 (en) * 2000-03-13 2001-12-13 Brian Blades Automated feed mechanism for electronic components of silicon wafer
JP2001269862A (ja) 2000-03-27 2001-10-02 Toshiba Corp 研磨パッド、研磨装置及び研磨方法
JP4417525B2 (ja) * 2000-04-28 2010-02-17 株式会社ディスコ 研削装置
US6327517B1 (en) 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
JP2002036102A (ja) * 2000-07-28 2002-02-05 Ibiden Co Ltd ウエハ保持治具
US6513796B2 (en) 2001-02-23 2003-02-04 International Business Machines Corporation Wafer chuck having a removable insert
JP2002313887A (ja) 2001-04-12 2002-10-25 Nikon Corp ウェハの載置姿勢検出方法、ウェハのプリアライメント方法及びウェハのプリアライメント装置
JP2002324831A (ja) 2001-04-26 2002-11-08 Takatori Corp 真空吸着テーブル
JP2002353296A (ja) * 2001-05-29 2002-12-06 Lintec Corp ウェハの保護テープ剥離装置およびウェハのマウント装置
US20020192059A1 (en) * 2001-06-15 2002-12-19 Foster James E. Methods and apparatus for transferring electrical components
JP2003031599A (ja) * 2001-07-18 2003-01-31 Sony Corp ダイボンド方法および装置
JP2003059872A (ja) * 2001-08-17 2003-02-28 Disco Abrasive Syst Ltd 研削装置
CN100499060C (zh) 2001-11-14 2009-06-10 罗兹株式会社 晶片定位方法和装置,晶片加工系统及晶片定位装置的晶片座旋转轴定位方法
US6728596B1 (en) * 2001-11-28 2004-04-27 Therma-Wave, Inc. Wafer prealigner with phase sensitive detection
JP4201564B2 (ja) * 2001-12-03 2008-12-24 日東電工株式会社 半導体ウエハ搬送方法およびこれを用いた半導体ウエハ搬送装置
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US7018268B2 (en) 2002-04-09 2006-03-28 Strasbaugh Protection of work piece during surface processing
JP2003324055A (ja) * 2002-04-30 2003-11-14 Canon Inc 管理システム及び装置及び方法並びに露光装置及びその制御方法
JP4256132B2 (ja) * 2002-09-27 2009-04-22 株式会社ディスコ 板状物の搬送装置
JP4085147B2 (ja) * 2002-10-11 2008-05-14 スパンション エルエルシー 半導体装置の製造方法及び製造装置
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
JP2004288792A (ja) * 2003-03-20 2004-10-14 Lintec Corp アライメント装置及びアライメント方法
JP2004283936A (ja) * 2003-03-20 2004-10-14 Nihon Ceratec Co Ltd 真空吸着装置
US7077019B2 (en) * 2003-08-08 2006-07-18 Photon Dynamics, Inc. High precision gas bearing split-axis stage for transport and constraint of large flat flexible media during processing
JP2005079442A (ja) * 2003-09-02 2005-03-24 Seiko Epson Corp 基板搬送装置、基板の搬送方法及びプログラム
EP1689515A1 (en) * 2003-11-18 2006-08-16 ExxonMobil Research and Engineering Company Method and apparatus for separating aromatic hydrocarbons in a non-adiabatic membrane system
KR100753302B1 (ko) * 2004-03-25 2007-08-29 이비덴 가부시키가이샤 진공 척, 흡착판, 연마 장치 및 반도체 웨이퍼의 제조 방법
JP4405886B2 (ja) * 2004-09-27 2010-01-27 太平洋セメント株式会社 真空吸着装置
JP4513960B2 (ja) * 2004-10-22 2010-07-28 セイコーエプソン株式会社 スリットコート式塗布装置及びスリットコート式塗布方法
JP2008530804A (ja) 2005-02-22 2008-08-07 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト ウェーハを位置決めする方法
JP4600784B2 (ja) 2005-06-13 2010-12-15 株式会社安川電機 アライナー装置
US8279406B2 (en) * 2005-10-19 2012-10-02 Nikon Corporation Article loading/unloading method and article loading/unloading device, exposure method and exposure apparatus, and method of manufacturing device
JP4580327B2 (ja) * 2005-11-21 2010-11-10 東京エレクトロン株式会社 被処理体の取り出し方法及びプログラム記憶媒体並びに載置機構
JP2007180102A (ja) 2005-12-27 2007-07-12 Tanken Seal Seiko Co Ltd 吸着体の製造方法及び吸着体
KR100804169B1 (ko) * 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
JP4741408B2 (ja) * 2006-04-27 2011-08-03 株式会社荏原製作所 試料パターン検査装置におけるxy座標補正装置及び方法
JP4642787B2 (ja) 2006-05-09 2011-03-02 東京エレクトロン株式会社 基板搬送装置及び縦型熱処理装置
JP2008028170A (ja) * 2006-07-21 2008-02-07 Taiheiyo Cement Corp 真空吸着装置及びその製造方法
US8162584B2 (en) 2006-08-23 2012-04-24 Cognex Corporation Method and apparatus for semiconductor wafer alignment
JP2008103544A (ja) 2006-10-19 2008-05-01 Yaskawa Electric Corp アライナー装置
JP5090725B2 (ja) * 2006-12-20 2012-12-05 株式会社日立ハイテクノロジーズ 異物検査装置
JP5186785B2 (ja) * 2007-03-23 2013-04-24 日本電気株式会社 光導波路デバイス、光導波路デバイス用光素子実装システム、光素子実装方法、及びそのプログラム
JP5140316B2 (ja) * 2007-05-18 2013-02-06 株式会社ディスコ 検査装置
JP2009056518A (ja) * 2007-08-30 2009-03-19 Kyocera Corp 吸着装置およびそれを備えた加工システムならびに加工方法
JP5180557B2 (ja) * 2007-10-31 2013-04-10 株式会社ディスコ 加工装置
US8003492B2 (en) * 2008-05-30 2011-08-23 Alta Devices, Inc. Epitaxial lift off stack having a unidirectionally shrunk handle and methods thereof
JP5102358B2 (ja) 2008-06-03 2012-12-19 株式会社アルバック アライメント機能付きステージ及びこのアライメント機能付きステージを備えた処理装置
US8336188B2 (en) * 2008-07-17 2012-12-25 Formfactor, Inc. Thin wafer chuck
CN101393885A (zh) * 2008-10-31 2009-03-25 中茂电子(深圳)有限公司 晶圆检测机台用固定/释放辅助装置、该检测机台及方法
KR20100071235A (ko) 2008-12-19 2010-06-29 세크론 주식회사 프로브 장치용 웨이퍼 각도 조절 유닛
JP2010177500A (ja) * 2009-01-30 2010-08-12 Hitachi High-Technologies Corp パターンの重ね合わせ評価方法
JP5443102B2 (ja) * 2009-09-01 2014-03-19 株式会社ディスコ レーザー加工装置
KR101809760B1 (ko) * 2009-09-28 2017-12-15 가부시키가이샤 니콘 가압 모듈, 가압 장치 및 기판 접합 장치
US9691650B2 (en) * 2009-09-29 2017-06-27 Applied Materials, Inc. Substrate transfer robot with chamber and substrate monitoring capability
US8514374B2 (en) * 2009-11-04 2013-08-20 International Business Machines Corporation Alignment method for semiconductor processing
TWI417984B (zh) * 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
EP2339611B1 (en) 2009-12-23 2015-11-11 ISMECA Semiconductor Holding SA Wafer handler comprising a vision system
WO2011120174A1 (en) * 2010-04-01 2011-10-06 Topcon 3D Inspection Laboratories, Inc. Wafer flattening apparatus and method
JP2011258605A (ja) * 2010-06-04 2011-12-22 Toshiba Corp パターン形成方法および半導体デバイスの製造方法
KR20120056404A (ko) 2010-11-25 2012-06-04 주식회사 엘지실트론 웨이퍼 정렬기용 회전판
JP5681481B2 (ja) * 2010-12-28 2015-03-11 太平洋セメント株式会社 緻密質−多孔質接合体

Also Published As

Publication number Publication date
US10262885B2 (en) 2019-04-16
HK1210544A1 (en) 2016-04-22
CN104641461B (zh) 2017-06-06
TWI625815B (zh) 2018-06-01
KR102190334B1 (ko) 2020-12-11
JP6294324B2 (ja) 2018-03-14
MY178002A (en) 2020-09-29
PH12015500443A1 (en) 2015-04-20
TWI590372B (zh) 2017-07-01
SG11201501085TA (en) 2015-04-29
KR102110000B1 (ko) 2020-05-12
EP2891173A1 (en) 2015-07-08
US20150228522A1 (en) 2015-08-13
PH12015500439B1 (en) 2015-04-20
HK1210542A1 (en) 2016-04-22
EP2891173A4 (en) 2016-03-30
IL237253B (en) 2018-06-28
JP6267203B2 (ja) 2018-01-24
PT2891173T (pt) 2019-06-28
EP2891174B1 (en) 2019-08-14
TW201428833A (zh) 2014-07-16
PT2891175T (pt) 2019-10-29
EP2891174A4 (en) 2016-03-02
EP2891175B1 (en) 2019-08-14
US10128140B2 (en) 2018-11-13
US20150214090A1 (en) 2015-07-30
MY178000A (en) 2020-09-29
JP2015532007A (ja) 2015-11-05
PH12015500443B1 (en) 2015-04-20
US20150214085A1 (en) 2015-07-30
WO2014035348A1 (en) 2014-03-06
MY175609A (en) 2020-07-01
SG11201501086UA (en) 2015-04-29
WO2014035346A1 (en) 2014-03-06
IL237254A0 (en) 2015-04-30
IL237255A (en) 2017-08-31
EP2891175A4 (en) 2016-03-02
IL237253A0 (en) 2015-04-30
TW201438134A (zh) 2014-10-01
EP2891174A1 (en) 2015-07-08
CN104620371A (zh) 2015-05-13
CN104718607B (zh) 2017-10-03
WO2014035347A1 (en) 2014-03-06
JP6363605B2 (ja) 2018-07-25
JP2015528643A (ja) 2015-09-28
IL237254B (en) 2018-01-31
EP2891173B1 (en) 2019-03-27
US10312124B2 (en) 2019-06-04
KR20150052182A (ko) 2015-05-13
KR102111183B1 (ko) 2020-05-14
TW201423901A (zh) 2014-06-16
CN104718607A (zh) 2015-06-17
SG11201501088WA (en) 2015-04-29
PH12015500442B1 (en) 2015-04-20
JP2015529979A (ja) 2015-10-08
EP2891175A1 (en) 2015-07-08
CN104641461A (zh) 2015-05-20
IL237255A0 (en) 2015-04-30
HK1210543A1 (en) 2016-04-22
KR20150052181A (ko) 2015-05-13
PH12015500442A1 (en) 2015-04-20
KR20150052183A (ko) 2015-05-13
TWI601193B (zh) 2017-10-01
PH12015500439A1 (en) 2015-04-20
CN104620371B (zh) 2017-10-31

Similar Documents

Publication Publication Date Title
HK1210543A1 (en) System and method for automatically correcting for rotational misalignment of wafers on film frames
HK1191486A1 (en) Image correction system and method for multi-projection
WO2012135198A9 (en) System and method for image registration
HK1204663A1 (en) Apparatus and method for film formation
EP3005412A4 (en) Systems and methods for automatically verifying correct die removal from film frames
EP2929327A4 (en) System and method for wide field oct imaging
EP2771390A4 (en) PROCESS FOR PREPARING NFC FILMS ON MEDIA
GB2498255B (en) Method and system for stabilizing formulation methods
GB2510706B (en) System and method for stick-slip correction
EP2838485A4 (en) SYSTEM AND METHOD FOR TRANSFERRING PATIENTS
PL2928700T3 (pl) Sposób i aparatura do przenoszenia powłok z jednego substratu na inny
EP2934674A4 (en) SYSTEM AND METHOD FOR IMAGING THROUGH A RIVER
EP2728495A4 (en) METHOD AND SYSTEM FOR PROCESSING IMAGES
EP2838425A4 (en) IMAGING METHOD AND SYSTEM
EP2922190A4 (en) Apparatus for compensating for ripple and offset of inverter, and method therefor
EP3008688A4 (en) Method and apparatus for image registration
HK1217560A1 (zh) 用於頂點誤差校正的方法和裝置
GB201408377D0 (en) Method for manufacturing picture frame and system thereof
EP3080937B8 (en) System and method for cycle slip correction
EP2734147A4 (en) METHOD AND SYSTEM FOR DENTAL IMAGES
GB201510208D0 (en) Method and apparatus for anti-blocking hetnet deploymant
GB201211758D0 (en) System and method for interfacing burst mode devices and page mode devices
EP2680764A4 (en) SYSTEM AND METHOD FOR SEALING A POINT
AU2012903455A0 (en) Apparatus and method for film formation
AU2012903023A0 (en) Apparatus and method for film formation