KR20210114342A - 고 연결성 디바이스 적층 - Google Patents

고 연결성 디바이스 적층 Download PDF

Info

Publication number
KR20210114342A
KR20210114342A KR1020210029412A KR20210029412A KR20210114342A KR 20210114342 A KR20210114342 A KR 20210114342A KR 1020210029412 A KR1020210029412 A KR 1020210029412A KR 20210029412 A KR20210029412 A KR 20210029412A KR 20210114342 A KR20210114342 A KR 20210114342A
Authority
KR
South Korea
Prior art keywords
semiconductor device
frame
pcb
vias
spacers
Prior art date
Application number
KR1020210029412A
Other languages
English (en)
Inventor
커티스 레스키스
한-웬 첸
스티븐 베르하베르베케
기백 박
규일 조
제프리 엘. 프랭클린
웨이-쉥 레이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210114342A publication Critical patent/KR20210114342A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/14Structural association of two or more printed circuits
    • H05K1/145Arrangements wherein electric components are disposed between and simultaneously connected to two planar printed circuit boards, e.g. Cordwood modules
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/145Organic substrates, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49579Lead-frames or other flat leads characterised by the materials of the lead frames or layers thereon
    • H01L23/49586Insulating layers on lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0313Organic insulating material
    • H05K1/0353Organic insulating material consisting of two or more materials, e.g. two or more polymers, polymer + filler, + reinforcement
    • H05K1/0366Organic insulating material consisting of two or more materials, e.g. two or more polymers, polymer + filler, + reinforcement reinforced, e.g. by fibres, fabrics
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/115Via connections; Lands around holes or via connections
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/14Structural association of two or more printed circuits
    • H05K1/144Stacked arrangements of planar printed circuit boards
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/42Plated through-holes or plated via connections
    • H05K3/425Plated through-holes or plated via connections characterised by the sequence of steps for plating the through-holes or via connections in relation to the conductive pattern
    • H05K3/426Plated through-holes or plated via connections characterised by the sequence of steps for plating the through-holes or via connections in relation to the conductive pattern initial plating of through-holes in substrates without metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0313Organic insulating material
    • H05K1/0353Organic insulating material consisting of two or more materials, e.g. two or more polymers, polymer + filler, + reinforcement
    • H05K1/0373Organic insulating material consisting of two or more materials, e.g. two or more polymers, polymer + filler, + reinforcement containing additives, e.g. fillers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/02Fillers; Particles; Fibers; Reinforcement materials
    • H05K2201/0203Fillers and particles
    • H05K2201/0206Materials
    • H05K2201/0209Inorganic, non-metallic particles
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/04Assemblies of printed circuits
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/04Assemblies of printed circuits
    • H05K2201/042Stacked spaced PCBs; Planar parts of folded flexible circuits having mounted components in between or spaced from each other
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09209Shape and layout details of conductors
    • H05K2201/095Conductive through-holes or vias
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10227Other objects, e.g. metallic pieces
    • H05K2201/10378Interposers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 개시내용은 일반적으로, 적층식 소형 전자 디바이스들, 및 적층식 소형 전자 디바이스들을 형성하는 방법들에 관한 것이다. 더욱 구체적으로는, 본원에서 설명되는 실시예들은, 반도체 디바이스 스페이서들, 및 반도체 디바이스 스페이서들을 형성하는 방법들에 관한 것이다. 본원에서 설명되는 반도체 디바이스 스페이서들은, 적층식 반도체 패키지 어셈블리들, 적층식 PCB 어셈블리들 등을 형성하기 위해 활용될 수 있다.

Description

고 연결성 디바이스 적층{HIGH CONNECTIVITY DEVICE STACKING}
[0001] 본 개시내용의 실시예들은 일반적으로, 적층식 소형 전자 디바이스(stacked miniaturized electronic device)들 및 이를 형성하는 방법들에 관한 것이다. 더욱 구체적으로는, 본원에서 설명되는 실시예들은, PCB 및 패키지 스페이서들 및 이를 형성하는 방법들에 관한 것이다.
[0002] 감소된 풋프린트를 갖는 소형 전자 디바이스들에 대한 계속 증가하는 요구에 기인하여, 전자 디바이스들은 복잡한 2.5D 및 3D 적층식 디바이스들로 진화했다. 적층식 전자 디바이스 설계의 진화는 속도 및 프로세싱 능력들을 개선시키기 위한 노력으로 더 큰 회로 밀도들을 야기했고, 그러한 전자 디바이스들의 제조에 사용되는 재료들, 컴포넌트들 및 프로세스들에 대응하는 요구들을 또한 부과했다.
[0003] 종래에, 소형 전자 디바이스들의 컴포넌트들은, 개별 디바이스 컴포넌트들 사이의 물리적 분리를 제공하기 위해 스페이서들이 이러한 개별 디바이스 컴포넌트들 사이에 배치된 상태로 수직으로 적층되었다. 이들 스페이서들은 통상적으로, 성형 화합물(예컨대, 에폭시 성형 화합물, 에폭시 수지 바인더들을 갖는 FR-4 및 FR-5 등급 직조 유리섬유 천 등)로 형성되며, 디바이스 컴포넌트들의 전기적 연결을 가능하게 하기 위해 기계적 프로세스들을 통해 패터닝된다. 그러나, 성형 화합물에 활용되는 재료들 뿐만 아니라 스페이서들을 위한 패터닝 프로세스들은 전자 디바이스 스케일링 및 전체 디바이스 성능을 방해하는 여러 제한들을 갖는다.
[0004] 특히, 현재 성형 화합물 재료들의 열적 특성들의 결과로써, 디바이스 컴포넌트들과 인접한 스페이서들 사이에 CTE(coefficient of thermal expansion) 불일치가 발생하여서, CTE 불일치에 의해 유발되는 디바이스 컴포넌트들 또는 스페이서들의 임의의 휨(warpage)을 완화하기 위해 더 큰 간격을 갖는 더 큰 솔더 범프들이 필요해질 수 있다. 더욱이, 이들 성형 화합물 재료들의 고유 특성들은 또한, 스페이서들에 미세(예컨대, 50 ㎛ 미만) 피처(feature)들을 패터닝하는 데 어려움을 유발하며, 이는 기계적 구조화 프로세스들 자체의 분해능(resolution) 제한들에 의해 확대된다. 그러므로, 종래의 성형 화합물 재료들을 활용하는 스페이서들은 감소된 풋프린트를 갖는 적층식 소형 전자 디바이스들의 제조시 병목 현상을 초래할 수 있다.
[0005] 그러므로, 기술분야에서 필요한 것은, 적층식 소형 전자 디바이스들을 위한 개선된 스페이서들 및 구조들 그리고 이를 형성하는 방법들이다.
[0006] 본 개시내용은 일반적으로, 적층식 소형 전자 디바이스들 및 이를 형성하는 방법들에 관한 것이다. 더욱 구체적으로는, 본원에서 설명되는 실시예들은, 반도체 디바이스 스페이서들 및 이를 형성하는 방법들에 관한 것이다.
[0007] 일 실시예에서, 반도체 디바이스 스페이서가 제공된다. 반도체 디바이스 스페이서는 제1 표면을 갖는 프레임을 포함하고, 제1 표면은 제2 표면에 대향하며, 프레임은, 구형 세라믹 충전제(spherical ceramic filler)들을 갖는 폴리머-기반 유전체 재료를 포함하는 프레임 재료, 및 제1 표면으로부터 제2 표면으로 이 프레임을 통해 연장되는 개구를 정의하는 비아 표면을 포함하는 비아를 갖는다. 비아는 약 10 ㎛ 내지 약 150 ㎛의 직경을 갖는다. 전기 인터커넥션이 추가로, 비아 내에서 비아 표면 상에 배치된다.
[0008] 일 실시예에서, 반도체 디바이스 어셈블리가 제공된다. 반도체 디바이스 어셈블리는 제1 PCB(printed circuit board)를 포함하고, 제1 PCB는, 제1 유리 섬유 강화 에폭시 수지 재료, 및 제1 유리 섬유 강화 에폭시 수지 재료 상에 형성된 제1 전기 분배 층을 갖는다. 반도체 디바이스 어셈블리는 제2 PCB를 더 포함하고, 제2 PCB는, 제2 유리 섬유 강화 에폭시 수지 재료, 및 제2 유리 섬유 강화 에폭시 수지 재료 상에 형성된 제2 전기 분배 층을 갖는다. 반도체 디바이스 어셈블리는 또한, 제1 PCB와 제2 PCB 사이의 물리적 공간을 가능하게 하도록 제1 PCB와 제2 PCB 사이에 개재된 디바이스 스페이서를 포함한다. 디바이스 스페이서는 제1 표면을 갖는 프레임을 포함하고, 제1 표면은 제2 표면에 대향하고, 프레임은, 구형 세라믹 충전제들을 갖는 폴리머-기반 유전체 재료를 포함하는 프레임 재료, 및 제1 표면으로부터 제2 표면으로 이 프레임을 통해 연장되는 개구를 정의하는 비아 표면을 포함하는 비아를 갖는다. 비아는 약 10 ㎛ 내지 약 150 ㎛의 직경을 갖는다. 전기 인터커넥션이 추가로, 제1 전기 분배 층 및 제2 전기 분배 층의 적어도 일부분 사이에서 연장되는 전도성 경로의 적어도 일부를 형성하도록, 비아 내에서 비아 표면 상에 배치된다.
[0009] 일 실시예에서, 반도체 디바이스 어셈블리가 제공된다. 반도체 디바이스 어셈블리는 PCB(printed circuit board)를 포함하고, PCB는, 제1 유리 섬유 강화 에폭시 수지 재료, 및 제1 유리 섬유 강화 에폭시 수지 재료 상에 형성된 제1 전기 분배 층을 갖는다. 반도체 디바이스 어셈블리는 실리콘 기판을 더 포함하고, 실리콘 기판은, 약 1000 ㎛ 미만의 두께를 갖는 실리콘 코어 구조, 및 실리콘 코어 구조 상에 형성된 제2 전기 분배 층을 갖는다. 반도체 디바이스 어셈블리는 또한, PCB와 실리콘 기판 사이의 물리적 공간을 가능하게 하도록 PCB와 실리콘 기판 사이에 개재된 디바이스 스페이서를 포함한다. 디바이스 스페이서는 제1 표면을 갖는 프레임을 포함하고, 제1 표면은 제2 표면에 대향하고, 프레임은 약 400 ㎛ 내지 약 1600 ㎛의 두께를 가지며, 프레임은, 구형 세라믹 충전제들을 갖는 폴리머-기반 유전체 재료를 포함하는 프레임 재료, 및 제1 표면으로부터 제2 표면으로 이 프레임을 통해 연장되는 개구를 정의하는 비아 표면을 포함하는 비아를 갖는다. 프레임의 두께는 물리적 공간의 높이와 실질적으로 유사하고, 비아는 약 10 ㎛ 내지 약 150 ㎛의 직경을 갖는다. 전기 인터커넥션이 추가로, 제1 전기 분배 층 및 제2 전기 분배 층의 적어도 일부분 사이에서 연장되는 전도성 경로의 적어도 일부를 형성하도록, 비아 내에서 비아 표면 상에 배치된다. PCB 또는 실리콘 기판의 표면적에 대한 디바이스 스페이서의 면적의 비(ratio)가 약 0.15 내지 약 0.85이다.
[0010] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 상세한 설명이 실시예들을 참조함으로써 이루어질 수 있으며, 이 실시예들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들이 단지 예시적인 실시예들만을 예시하고 이에 따라 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 하며, 다른 동등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0011] 도 1a 및 도 1b는 본원에서 설명되는 실시예들에 따른, 반도체 디바이스 스페이서들의 단면도들을 개략적으로 예시한다.
[0012] 도 1c는 본원에서 설명되는 실시예들에 따른, 반도체 디바이스 스페이서의 일부분의 확대된 단면도를 예시한다.
[0013] 도 2a 및 도 2b는 본원에서 설명되는 실시예들에 따른, 반도체 디바이스 스페이서들의 단면도들을 개략적으로 예시한다.
[0014] 도 3a 및 도 3b는 본원에서 설명되는 실시예들에 따른, 반도체 디바이스 스페이서들의 평면도들을 개략적으로 예시한다.
[0015] 도 4는 본원에서 설명되는 실시예들에 따른, 도 1-도 3b의 반도체 디바이스 스페이서들을 제조하기 위한 프로세스를 예시하는 흐름도이다.
[0016] 도 5a-도 5j는 본원에서 설명되는 실시예들에 따른, 도 4에 도시된 프로세스의 상이한 스테이지들에서의 반도체 디바이스 스페이서의 단면도들을 개략적으로 예시한다.
[0017] 도 6은 본원에서 설명되는 실시예들에 따른, 반도체 디바이스 스페이서에서의 활용을 위한 프레임을 제조하기 위한 프로세스를 예시하는 흐름도이다.
[0018] 도 7a-도 7e는 본원에서 설명되는 실시예들에 따른, 도 6에 도시된 프로세스의 상이한 스테이지들에서의 프레임의 단면도들을 개략적으로 예시한다.
[0019] 도 8은 본원에서 설명되는 실시예들에 따른, 적층식 반도체 디바이스의 단면도를 개략적으로 예시한다.
[0020] 도 9는 본원에서 설명되는 실시예들에 따른, 적층식 반도체 디바이스의 단면도를 개략적으로 예시한다.
[0021] 도 10은 본원에서 설명되는 실시예들에 따른, 적층식 반도체 디바이스의 단면도를 개략적으로 예시한다.
[0022] 도 11a-도 11e는 본원에서 설명되는 실시예들에 따른, 반도체 디바이스 스페이서 어레인지먼트(arrangement)들의 평면도들을 개략적으로 예시한다.
[0023] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
[0024] 본 개시내용은 일반적으로, 적층식 소형 전자 디바이스들 및 이를 형성하는 방법들에 관한 것이다. 더욱 구체적으로는, 본원에서 설명되는 실시예들은, 반도체 디바이스 스페이서들 및 이를 형성하는 방법들에 관한 것이다. 본원에서 설명되는 반도체 디바이스 스페이서들은, 적층식 반도체 패키지 어셈블리들, 적층식 PCB 어셈블리들 등을 형성하기 위해 활용될 수 있다.
[0025] 본원에서 개시되는 적층식 반도체 디바이스들 및 반도체 디바이스 스페이서들은, 성형 화합물 재료들(예컨대, 에폭시 성형 화합물, 에폭시 수지 바인더들을 갖는 FR-4 및 FR-5 등급 직조 유리섬유 천 등)로 제조되는 스페이서들을 활용하는 더욱 보편적인 반도체 PCB 및 패키지 어셈블리들을 대체하는 것으로 의도된다. 일반적으로, 적층식 PCB들 및 패키지 어셈블리들의 확장성(scalability)은, 이들 스페이서들을 형성하기 위해 활용되는 성형 화합물 재료들의 고유 특성들에 의해 부분적으로 제한된다. 예컨대, 이들 재료들의 강성(rigidity)은, 적층식 어셈블리 내의 개별 디바이스 컴포넌트들의 상호연결성을 위해 스페이서들에 미세(예컨대, 미크론 스케일) 피처들을 패터닝하는 데 어려움을 유발한다. 더욱이, 현재 활용되는 성형 화합물 재료들의 열적 특성들의 결과로써, 스페이서들과 이 스페이서들에 인접하게 배치된 임의의 디바이스 컴포넌트들 사이에 CTE(coefficient of thermal expansion) 불일치가 발생할 수 있다. 그러므로, 현재 PCB 및 패키지 어셈블리들은, CTE 불일치에 의해 유발되는 임의의 휨의 영향을 완화하기 위해 더 큰 간격을 갖는 더 큰 솔더 범프들을 필요하게 만든다. 이에 따라서, 종래의 반도체 PCB 및 패키지 어셈블리들은, 전체 전력 및 효율이 감소되게 하는 낮은 관통-구조(through-structure) 전기 대역폭들을 특징으로 한다. 본원에서 개시되는 방법들 및 장치는, 위에서 설명된 종래의 PCB 및 패키지 어셈블리들과 연관된 단점들 중 많은 단점들을 극복하는 반도체 디바이스 스페이서들을 제공한다.
[0026] 도 1a-도 1c, 도 2a 및 도 2b는 일부 실시예들에 따른, 반도체 디바이스 스페이서(100)의 단면도들을 예시한다. 반도체 디바이스 스페이서(100)는 반도체 디바이스들과 이 반도체 디바이스들에 장착된 컴포넌트들의 물리적 분리, 구조적 지지 및 전기 인터커넥션을 위해 활용될 수 있다. 반도체 디바이스 스페이서(100)는 또한, 반도체 패키징 기판들을 적층하기 위해 사용될 수 있어서, 소형 전자 제품들에서의 경제적인 공간 활용 및/또는 다수의 패키징 기판들 사이의 향상된 I/O 연결들 및 대역폭이 가능하게 된다. 반도체 디바이스 스페이서(100)는 또한, 기판들 사이의 인터커넥션들의 라우팅을 단축시키기 위해 상이한 상호-동작 부품들 사이의 트랙 길이를 최소화한다.
[0027] 반도체 디바이스 스페이서(100)는 일반적으로, 하나 이상의 홀들 또는 비아들(103)을 갖는 프레임(102)을 포함하고, 이러한 하나 이상의 홀들 또는 비아들(103)은 프레임(102)을 관통해 형성된다. 일 실시예에서, 프레임(102)은 폴리머-기반 유전체 재료들로 형성된다. 예컨대, 프레임(102)은 유동성 빌드-업 재료로 형성된다. 추가적인 실시예들에서, 프레임(102)은 실리카(SiO2) 입자들과 같은 세라믹 충전제들(130)(도 1c에 도시됨)을 갖는 에폭시 수지 재료로 형성된다. 프레임(102)을 형성하기 위해 활용될 수 있는 세라믹 충전제들(130)의 다른 예들은 알루미늄 나이트라이드(AlN), 알루미늄 옥사이드(Al2O3), 실리콘 카바이드(SiC), 실리콘 나이트라이드(Si3N4), Sr2Ce2Ti5O16, 지르코늄 실리케이트(ZrSiO4), 규회석(CaSiO3), 베릴륨 옥사이드(BeO), 세륨 디옥사이드(CeO2), 보론 나이트라이드(BN), 칼슘 구리 티타늄 옥사이드(CaCu3Ti4O12), 마그네슘 옥사이드(MgO), 티타늄 디옥사이드(TiO2), 아연 옥사이드(ZnO) 등을 포함한다.
[0028] 세라믹 충전제들(130)은 일반적으로, 도 1c에서 프레임(102)의 확대된 단면도에 도시된 바와 같이 형상 또는 형태(morphology)가 구형이다. 본원에서 활용되는 바와 같이, "구형"이란 용어는 임의의 둥근(round), 타원체 또는 회전타원체 형상을 지칭한다. 예컨대, 일부 실시예들에서, 세라믹 충전제들(130)은 타원 형상, 길쭉한 난형 형상 또는 다른 유사한 둥근 형상을 가질 수 있다. 그러나, 다른 형태들이 또한 고려된다. 일부 예들에서, 프레임(102)을 형성하기 위해 활용되는 세라믹 충전제들(130)은 직경이 약 40 nm 내지 약 150 nm, 이를테면, 약 80 nm 내지 약 100 nm 범위에 있는 입자들을 포함한다. 예컨대, 세라믹 충전제들(130)은 직경이 약 200 nm 내지 약 800 nm, 이를테면, 약 300 nm 내지 약 600 nm 범위에 있는 입자들을 포함한다. 일부 예들에서, 세라믹 충전제들(130)은, 실질적으로 균일한 직경을 갖는 입자들을 포함한다. 다른 예들에서, 세라믹 충전제들(130)은 직경이 상이한 입자들을 포함한다. 세라믹 충전제들(130)의 입자들은 약 0.02 내지 약 0.99의 패킹 밀도(예컨대, 세라믹 충전제들(130)의 부피에 의해 구성되는, 프레임(102)의 고체 부피의 분율(fraction)), 이를테면, 약 0.1 내지 약 0.98의 패킹 밀도를 갖는다. 예컨대, 프레임(102)에 있는 세라믹 충전제들(130)은 약 0.2 내지 약 0.96의 패킹 밀도, 이를테면, 약 0.5 내지 약 0.95의 패킹 밀도를 가질 수 있다.
[0029] 프레임(102)은 임의의 원하는 형태 및 치수들을 가질 수 있다. 일부 실시예들에서, 프레임(102)은 다각형 형태를 갖는다. 예컨대, 프레임(102)은 약 5 mm 내지 약 100 mm, 이를테면, 약 10 mm 내지 약 80 mm, 예컨대 약 15 mm 내지 약 50 mm의 측면 치수들을 갖는 실질적으로 직사각형 형상을 갖는다. 일반적으로, 프레임(102)은 약 45 ㎛ 내지 약 5000 ㎛의 두께(T0), 이를테면, 약 100 ㎛ 내지 약 3000 ㎛의 두께(T0)를 갖는다. 예컨대, 프레임(102)은 약 200 ㎛ 내지 약 2000 ㎛의 두께(T0), 이를테면, 약 400 ㎛ 내지 약 1600 ㎛의 두께(T0)를 갖는다.
[0030] 일부 실시예들에서, 프레임(102)은, 프레임(102)을 위한 단일의 일체형 바디(예컨대, 블록)를 형성하기 위해 함께 라미네이팅 및 경화되는 폴리머-기반 유전체 재료들의 하나 이상의 층들(110)로 형성된다. 예컨대, 프레임(102)은, 단일의 일체형 바디를 형성하기 위해 함께 라미네이팅 및 경화되는 적층식 개별 층들(110a-110c)로 형성된다. 그러한 예에서, 프레임(102)의 두께(T0)는, 각각 개별 층들(110a-110c)의 두께들(TA-TC)의 합이다. 프레임(102)에 활용되는 각각의 개별 층(110a-110c)은 약 10 ㎛ 내지 약 150 ㎛, 이를테면, 약 25 ㎛ 내지 약 125 ㎛, 예컨대, 약 50 ㎛ 내지 약 100 ㎛의 두께(TA-TB)를 갖는다.
[0031] 전도성 전기 인터커넥션들(104)이 프레임(102)을 통해 라우팅되는 것을 가능하게 하기 위해, 홀들 또는 비아들(103)(이하에서, "비아들"로 지칭됨)이 프레임(102)에 형성된다. 예컨대, 비아들(103)은 프레임(102)의 제1 표면(105)으로부터 대향하는 제2 표면(107)으로 연장된다. 일반적으로, 하나 이상의 비아들(103)은 형상이 실질적으로 원통형이다. 그러나, 비아들(103)에 대한 다른 적절한 형태들이 또한 고려된다. 비아들(103)은, 프레임(102)을 관통하는 단일의(singular) 격리된 비아들(103)로서 형성되거나 또는 하나 이상의 그룹핑들 또는 어레이(array)들로 형성될 수 있다. 일 실시예에서, 비아들(103)은 약 1200 ㎛ 미만의 최소 피치(P0), 이를테면, 약 50 ㎛ 내지 약 1000 ㎛, 이를테면, 약 100 ㎛ 내지 약 800 ㎛의 최소 피치(P0)를 갖는다. 예컨대, 최소 피치(P0)는 약 150 ㎛ 내지 약 600 ㎛이다. 명확성을 위해, "피치"는 인접한 비아들(103)의 중심들 사이의 거리를 지칭한다.
[0032] 도 1a에 도시된 실시예에서, 하나 이상의 비아들(103) 각각은 프레임(102)을 통과하는 실질적으로 균일한 직경을 갖는다. 예컨대, 하나 이상의 비아들(103) 각각은, 전체에 걸쳐 약 500 ㎛ 미만의 균일한 직경(V1), 이를테면, 전체에 걸쳐 약 10 ㎛ 내지 약 200 ㎛의 균일한 직경(V1)을 갖는다. 추가적인 예에서, 비아들(103) 각각은, 전체에 걸쳐 약 10 ㎛ 내지 약 180 ㎛의 균일한 직경(V1), 이를테면, 전체에 걸쳐 약 10 ㎛ 내지 약 150 ㎛의 균일한 직경(V1)을 갖는다.
[0033] 대안적으로, 도 1b에 도시된 실시예에서, 하나 이상의 비아들(103) 각각은 프레임(102)을 통과하는 테이퍼되는(tapering) 직경을 갖는다. 예컨대, 하나 이상의 비아들 각각은 제1 표면(105)에서 제1 직경(V1A)을 갖고, 제1 직경(V1A)은 제2 표면(107)에서의 제2 직경(V1B)으로 넓어지거나 또는 확장된다. 따라서, 각각의 비아(103)는 직경(V1B)으로부터 직경(V1A)으로 테이퍼된다고 말할 수 있다. 일 예에서, 직경(V1B)은 약 500 ㎛ 미만, 이를테면, 약 10 ㎛ 내지 약 200 ㎛, 이를테면, 약 10 ㎛ 내지 약 180 ㎛, 이를테면, 약 10 ㎛ 내지 약 150 ㎛이다. 일 예에서, 직경(V1A)은 약 400 ㎛ 미만, 이를테면, 약 10 ㎛ 내지 약 130 ㎛, 이를테면, 약 10 ㎛ 내지 약 120 ㎛, 이를테면, 약 10 ㎛ 내지 약 100 ㎛이다.
[0034] 비아들(103)은 채널들을 제공하고, 이 채널들을 통해, 하나 이상의 전기 인터커넥션들(104)이 반도체 디바이스 스페이서(100)에 형성된다. 일 실시예에서, 비아들(103) 및 전기 인터커넥션들(104)은 반도체 디바이스 스페이서(100)의 전체 두께(T0)를 통해(즉, 반도체 디바이스 스페이서(100)의 제1 표면(105)으로부터 제2 표면(107)까지) 형성된다. 예컨대, 전기 인터커넥션들(104)은 약 45 ㎛ 내지 약 5000 ㎛의 반도체 디바이스 스페이서(100)의 두께(T0)에 대응하는 길이방향 길이(L), 이를테면, 약 100 ㎛ 내지 약 3000 ㎛의 길이방향 길이(L)를 갖는다. 일 예에서, 전기 인터커넥션들(104)은 약 200 ㎛ 내지 약 2000 ㎛의 길이방향 길이(L), 이를테면, 약 400 ㎛ 내지 약 1600 ㎛의 길이방향 길이(L)를 갖는다. 다른 실시예에서, 비아들(103) 및/또는 전기 인터커넥션들(104)은 반도체 디바이스 스페이서(100)의 두께(T0)의 일부분을 통해서만 형성된다. 추가적인 실시예들에서, 전기 인터커넥션들(104)은 반도체 디바이스 스페이서(100)의 하나 이상의 표면들, 이를테면, 도 1a 및 도 1b에 도시된 표면들(105, 107)로부터 돌출된다. 전기 인터커넥션들(104)은 마이크로전자 디바이스들, 집적 회로들, 회로 보드들 등의 분야에서 사용되는 임의의 전도성 재료들로 형성된다. 예컨대, 전기 인터커넥션들(104)은 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등과 같은 금속성 재료로 형성된다.
[0035] 도 1a-도 2b에 도시된 실시예들에서, 전기 인터커넥션들(104)은 비아들(103)을 충전(fill)한다. 그러나, 일부 실시예들에서, 전기 인터커넥션들(104)은 비아들(103)의 측벽들(113)의 표면들만을 라이닝(line)하고, 비아들(103)을 완전히(fully) 충전(예컨대, 완벽히(completely) 점유)하지는 않는다. 따라서, 인터커넥션들(104)은 인터커넥션들(104)을 관통하는 중공 코어들을 가질 수 있다.
[0036] 더욱이, 도 1a 및 도 1b에서, 전기 인터커넥션들(104)은 이들이 형성되는 비아들(103)의 직경과 동일한 직경을 갖는다. 이를테면 도 2a 및 도 2b에 도시된 추가적인 실시예들에서, 반도체 디바이스 스페이서(100)는, 전기 인터커넥션들(104)의 전기적 격리를 위해 접착 층(112) 및/또는 접착 층(112) 상에 형성된 시드 층(114)을 더 포함한다. 일 실시예에서, 접착 층(112)은, 비아들(103)의 측벽들(113)을 포함하여, 전기 인터커넥션들(104)에 인접한 반도체 디바이스 스페이서(100)의 표면들 상에 형성된다. 따라서, 도 2a 및 도 2b에 도시된 바와 같이, 전기 인터커넥션들(104)은 이들이 형성되는 비아들(103)의 직경 미만의 직경을 갖는다. 예컨대, 도 2a에서, 전기 인터커넥션들은 비아들(103)의 직경(V1) 미만의 균일한 직경(V2)을 갖는다. 도 2b에서, 전기 인터커넥션들은 직경(V1A) 미만의 제1 직경(V2A)을 갖고, 제1 직경(V2A)은 직경(V1B) 미만의 제2 직경(V2B)으로 테이퍼된다.
[0037] 접착 층(112)은 티타늄, 티타늄 나이트라이드, 탄탈, 탄탈 나이트라이드, 망간, 망간 옥사이드, 몰리브덴, 코발트 옥사이드, 코발트 나이트라이드, 실리콘 나이트라이드 등을 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 재료들로 형성된다. 일 실시예에서, 접착 층(112)은 약 10 nm 내지 약 300 nm, 이를테면, 약 50 nm 내지 약 150 nm의 두께를 갖는다. 예컨대, 접착 층(112)은 약 75 nm 내지 약 125 nm, 이를테면, 약 100 nm의 두께를 갖는다.
[0038] 선택적인 시드 층(114)은 구리, 텅스텐, 알루미늄, 은, 금 또는 임의의 다른 적절한 재료들 또는 이들의 조합들을 포함(그러나, 이에 제한되지 않음)하는 전도성 재료를 포함한다. 시드 층(114)은 접착 층(112) 상에 형성되거나, 또는 비아들(103)의 측벽들(113) 상에(프레임(102) 상에) 직접 형성된다. 일 실시예에서, 시드 층(114)은 약 50 nm 내지 약 2000 nm, 이를테면, 약 100 nm 내지 약 1000 nm의 두께를 갖는다. 예컨대, 시드 층(114)은 약 150 nm 내지 약 800 nm, 이를테면, 약 500 nm의 두께를 갖는다.
[0039] 도 3a 및 도 3b는, 비아들(103)의 예시적인 어레인지먼트들이 내부에 형성되어 있는 반도체 디바이스 스페이서(100)의 개략적인 평면도들을 예시한다. 위에서 설명된 바와 같이, 비아들(103)은 일반적으로 형상이 원통형이고, 이에 따라 도 3a 및 도 3b에서 원형(circular)으로 보인다. 그러나, 비아들(103)에 대한 다른 형태들이 또한 고려된다. 도 3a 및 도 3b는 추가로, 각각의 비아(103) 내에 형성된 접착 층(112) 및 시드 층(114)을 도시한다. 접착 층(112)은 각각의 비아(103)의 측벽들(113) 상에 형성되고, 시드 층(114)은 접착 층(112) 상에 형성된다. 그러나, 일부 실시예들에서, 인터커넥션들(104)은 접착 층(112) 및/또는 시드 층(114)의 활용 없이 비아들(103)을 통해 형성될 수 있다. 다른 실시예들에서, 시드 층(114)은, 인터커넥션들(104)의 형성 전에 접착 층(112)의 활용 없이 비아들(103)의 측벽들(113) 상에 형성될 수 있다.
[0040] 비아들(103)은 프레임(102)을 통해 임의의 적절한 어레인지먼트 및 수로 형성된다. 도 3a에 도시된 바와 같이, 6 개의 비아들(103)이, 비아들(103)의 2 개의 열들 및 3 개의 행들을 갖는 선형 어레인지먼트로 프레임(102)을 관통해 형성되고, 여기서, 각각의 열의 비아들(103) 및 각각의 행의 비아들(103)은 서로 정렬된다. 제1 피치(P1)가 각각의 행에서 정렬된 인접한 비아들(103) 사이에 도시되고, 제2 피치(P2)가 각각의 열에서 정렬된 인접한 비아들(103) 사이에 도시되며, 제3 피치(P3)가 2 개의 열들에 걸쳐 인접한 대각선 비아들(103) 사이에 도시된다. 피치들(P1, P2 또는 P3) 중 적어도 2 개는 길이가 서로 상이할 수 있다.
[0041] 도 3b는, 비아들(103)의 2 개의 열들 및 3 개의 행들을 또한 갖는 대안적인 어레인지먼트를 예시하고, 여기서, 각각의 열의 비아들(103)만이 정렬된다. 이에 따라서, 모든 인접한 비아들(103) 사이의 피치는 길이가 실질적으로 동일하고, 이는 피치(P1)에 의해 도 3b에 표현된다. 위에서 설명된 바와 같이, "피치"는 인접한 비아들(103)의 중심들 사이의 거리를 지칭한다. 비아들(103)의 2 개의 어레인지먼트들이 도시되지만, 도 3a 및 도 3b는 단지 예시적이며, 임의의 적절한 수 및 어레인지먼트의 비아들(103)이 반도체 디바이스 스페이서(100)의 프레임(102)에 형성될 수 있다.
[0042] 도 4는 반도체 디바이스 스페이서(500)를 형성하는 대표적인 방법(400)의 흐름도를 예시한다. 방법(400)은 다수의 동작들(402-416)을 갖는다. 방법은 (맥락이 가능성을 배제하는 경우를 제외하고) 정의된 동작들 중 임의의 동작 전에, 정의된 동작들 중 2 개의 동작들 사이에, 또는 정의된 동작들 전부 후에 수행되는 하나 이상의 부가적인 동작들을 포함할 수 있다. 도 5a-도 5j는 도 4에 표현된 방법(400)의 다양한 스테이지들에서의 반도체 디바이스 스페이서(500)의 단면도들을 개략적으로 예시한다. 그러므로, 도 4 및 도 5a-도 5j는 본원에서 명확성을 위해 함께 설명된다.
[0043] 방법(400)은 선택적인 동작(402) 및 대응하는 도 5a에서 시작되고, 여기서, 하나 이상의 보호 필름들(501)이 2 개 이상의 디바이스 스페이서 전구체 층들(510a, 510b) 각각으로부터 제거된다. 전구체 층들(510a, 510b)은 반도체 디바이스 스페이서(500)의 프레임(102)의 형성을 위한 빌딩 블록들의 역할을 하고, 이에 따라 전구체 층들(510a, 510b)은 프레임(102)을 참조하여 위에서 설명된 바와 같이 폴리머-기반 유전체 재료로 형성된다. 예컨대, 전구체 층들(510a, 510b)은 유동성 빌드-업 재료들로 형성된다. 일 실시예에서, 전구체 층들(510a, 510b)은 세라믹-충전제-함유 에폭시 수지, 이를테면, 실리카(SiO2) 입자들로 충전된(예컨대, 실리카(SiO2) 입자들을 함유하는) 에폭시 수지로 형성된다. 전구체 층들(510a, 510b)에서 활용될 수 있는 세라믹 충전제들(130)의 다른 예들은 알루미늄 나이트라이드(AlN), 알루미늄 옥사이드(Al2O3), 실리콘 카바이드(SiC), 실리콘 나이트라이드(Si3N4), Sr2Ce2Ti5O16, 지르코늄 실리케이트(ZrSiO4), 규회석(CaSiO3), 베릴륨 옥사이드(BeO), 세륨 디옥사이드(CeO2), 보론 나이트라이드(BN), 칼슘 구리 티타늄 옥사이드(CaCu3Ti4O12), 마그네슘 옥사이드(MgO), 티타늄 디옥사이드(TiO2), 아연 옥사이드(ZnO) 등을 포함한다. 일반적으로, 각각의 전구체 층(510a, 510b)은 약 150 ㎛ 미만의 두께(TL), 이를테면, 약 10 ㎛ 내지 약 150 ㎛, 이를테면, 약 25 ㎛ 내지 약 125 ㎛, 예컨대, 약 50 ㎛ 내지 약 100 ㎛의 두께(TL)를 갖는다. 임의의 원하는 치수들을 갖는 반도체 디바이스 스페이서(100)를 형성하기 위해, 임의의 적절한 양(amount)의 전구체 층들(510a, 510b)이 방법(400) 동안 활용될 수 있다.
[0044] 일부 실시예들에서, 각각의 전구체 층(510a, 510b)은, 이러한 각각의 전구체 층(510a, 510b)의 핸들링 및 저장 동안 전구체 층들(510a, 510b)을 보호하도록 구성되는 하나 이상의 보호 필름들(501)에 커플링된다. 따라서, 동작(402)에서, 하나 이상의 보호 필름들(501)이 각각의 전구체 층(510a, 510b)으로부터 제거되어, 각각의 전구체 층(510)의 하나 이상의 주 표면들이 노출된다. 도 5a에 도시된 바와 같이, 동작(404)에서의 전구체 층들(510a, 510b)의 커플링을 위해, 단일 보호 필름(501)이 2 개의 전구체 층들(510a, 510b) 각각으로부터 제거되어 전구체 층들(510a, 510b)의 표면들(505, 507)이 노출된다.
[0045] 동작(404)에서, 하나 이상의 전구체 층들(510)은, 이러한 하나 이상의 전구체 층들(510)의 노출된 표면들에서 함께 커플링(예컨대, 서로 맞닿게 배치)되어 라미네이팅된다. 전구체 층들(510a, 510b)의 표면들(505, 507)의 커플링 및 라미네이션은 도 5b 및 도 5c에 도시된다. 전구체 층들(510a, 510b)을 함께 배치할 때, 도 5b에 도시된 바와 같이, 주 표면들(505, 507)의 커플링 동안 주 표면들(505, 507) 사이에 포획된 임의의 공기를 끌어 내기 위해 진공 압력이 인가된다. 이에 따라서, 동작(404)의 적어도 일부분은 진공 라미네이터 또는 진공 접합기(vacuum bonder) 또는 진공 압력의 인가를 위한 임의의 다른 적절한 용기에서 수행될 수 있다. 일 실시예에서, 진공 압력은 약 10 초 내지 약 90 초의 인터벌(예컨대, 시간 기간), 이를테면, 약 30 초 내지 약 60 초의 인터벌, 이를테면, 약 45 초의 인터벌 동안 약 1 hPa 이하로 램핑 업된다(ramped up). 원하는 진공 압력 레벨에 도달할 때, 진공 압력은 약 50 초 내지 300 초의 인터벌, 이를테면, 약 100 초 내지 200 초의 인터벌 동안 유지될 수 있다. 일 예에서, 진공 압력은 전구체 층들(510) 사이의 임의의 공기 갭(air gap)들의 제거를 보장하기 위해 약 150 초의 인터벌 동안 약 1 hPa 이하로 유지된다. 진공 압력의 인가 동안, 온도는 약 60 ℃ 내지 약 100 ℃, 이를테면, 약 70 ℃ 내지 약 90 ℃의 범위 내에서 유지된다. 예컨대, 동작(404)에서, 진공 압력의 인가 동안 온도는 약 80 ℃로 유지된다.
[0046] 도 5c에서, 커플링된 전구체 층들(510a, 510b)은 전구체 층들(510a, 510b)의 하나 이상의 외부 표면들에 대한 압력의 인가에 의해 함께 융합(예컨대, 라미네이팅)된다. 일 실시예에서, 커플링된 전구체 층들(510a, 510b)이 지지 다이어프램 또는 플래튼(예컨대, 플랫폼)(도시되지 않음) 상에서 지지되기 때문에, 단면 압력이 커플링된 전구체 층들(510a, 510b)에 인가된다. 예컨대, 커플링된 전구체 층들(510a, 510b)이 대향하는 면(opposing side)(515)에 있는 플래튼에 의해 지지되기 때문에, 압력은 커플링된 전구체 층들(510a, 510b)의 단면(517)에 인가될 수 있다. 다른 실시예들에서, 양면 압력이 커플링된 전구체 층들(510a, 510b)에 인가된다. 예컨대, 압력은 기계 디바이스들, 이를테면, 기계 프레스 또는 바이스에 의해 또는 공압 디바이스들, 이를테면, 압축 공기를 사용하는 공압 디바이스들에 의해 양면(515, 517)에 인가된다. 일부 실시예들에서, 전구체 층들(510a, 510b)의 라미네이션은 도 5b에 도시된 진공의 인가와 동일한 용기에서 수행된다. 예컨대, 라미네이션은 진공 라미네이터 또는 진공 접합기에서 수행된다.
[0047] 전구체 층들(510a, 510b)의 라미네이션 동안, 온도는 약 50 ℃ 내지 약 150 ℃, 이를테면, 약 75 ℃ 내지 약 125 ℃, 이를테면, 약 100 ℃의 범위 내에서 유지된다. 전구체 층들(510a, 510b)을 상승된 온도들에 노출시키는 것은 전구체 층들(510a, 510b)을 연화시키고 전구체 층들(510a, 510b) 사이의 접착을 촉진할 수 있다. 일부 실시예들에서, 라미네이션 동안 전구체 층들(510a, 510b)에 인가되는 압력은 약 0.3 kg/㎠ 내지 약 1 kg/㎠, 이를테면, 약 0.4 kg/㎠ 내지 약 0.8 kg/㎠, 이를테면, 약 0.5 kg/㎠ 또는 약 0.6 kg/㎠이다.
[0048] 동작(404)의 완료 시, 부가적인 전구체 층들(510)을 이미 융합된 전구체 층들(510a, 510b)에 커플링하여 융합시키기 위해, 동작들(402 및 404)이 반복될 수 있거나, 또는 추가적인 구조화의 준비로 프레임(502)을 형성하기 위해, 융합된 전구체 층들(510a, 510b)이 동작(406)에서 경화 프로세스에 노출될 수 있다. 예컨대, (프레임(102)의 최종 두께에 대응하는) 원하는 두께의 전구체 재료가 달성될 때까지, 하나 이상의 부가적인 전구체 층들(510)이, 융합된 전구체 층들(510a, 510b)의 면(515) 및/또는 면(517)에 커플링되어 이들과 융합될 수 있다. 따라서, 부가적인 전구체 층들(510) 자체에 커플링된 임의의 보호 필름들(501)에 부가하여, 융합된 전구체 층들(510a, 510b)에 커플링된 하나 이상의 남아 있는 보호 필름들(501)이, 부가적인 전구체 층들(510)의 부착의 준비로 융합된 전구체 층들(510a, 510b)로부터 제거된다. 도 5d에 도시된 바와 같이, 융합된 전구체 층들(510a, 510b)의 면(517)으로의 제3 전구체 층(510c)의 커플링의 준비로, 단일 보호 필름(501)이 이 면(517)으로부터 제거된다.
[0049] 다른 예들에서, 융합된 전구체 층들(510a, 510b)의 면들(515, 517)로의 부가적인 제3 및 제4 전구체 층(도시되지 않음)의 커플링의 준비로, 융합된 전구체 층들(510a, 510b)의 각각의 면(515, 517)으로부터 보호 필름(501)이 제거된다. 따라서, 융합된 전구체 층들(510a, 510b)로부터 제거되는 보호 필름들(501)의 수는 융합된 전구체 층들(510a, 510b)에 부가될 부가적인 전구체 층들(510)의 수에 따라 좌우될 수 있다. 어떤 부가적인 전구체 층들(510)도 융합된 전구체 층들(510a, 510b)에 부가될 것으로 원해지지 않고, 융합된 전구체 층들(510a, 510b)이 경화를 위한 준비가 되면, 도 5e에 도시된 동작(406)에서의 경화 프로세스에 대한 융합된 전구체 층들(510a, 510b)의 노출 전에, 양면(515, 517)에 커플링된 하나 이상의 보호 필름들(501)이 제거될 수 있다.
[0050] 동작(406)에서, 융합된 전구체 층들(510a, 510b)의 폴리머-기반 유전체 재료를 부분적으로 또는 완전히 경화(즉, 화학 반응들 및 가교-결합을 통해 하드닝(harden))하여 프레임(502)을 형성하기 위해, 융합된 전구체 층들(510a, 510b)은 경화 프로세스에 노출된다. 일부 실시예들에서, 경화 프로세스는, 프레임(502)을 완전히 경화하기 위해 고온들에서 수행된다. 추가적인 실시예들에서, 경화 프로세스는 주위(예컨대, 대기) 압력 조건들에서 또는 그 근처에서 수행된다. 경화 프로세스 동안, 융합된 전구체 층들(510a, 510b)은 진공 오븐, 진공 접합기, 진공 라미네이터 또는 진공 압력의 인가를 위한 임의의 다른 적절한 용기 내의 제1 플래튼(520a) 상에 배치된다. 제1 플래튼(520a)은, 경화 동안, 융합된 전구체 층들(510a, 510b)과 접촉하고 융합된 전구체 층들(510a, 510b)을 지지하도록 구성되는, 제1 플래튼(520a)의 면 상에 배치된 점착-방지 층(522)을 포함한다. 점착-방지 층(522)은 테프론, PDMS, 폴리이미드, 플루오르화 에틸렌 프로필렌 등과 같은 낮은 거칠기 값을 갖는 임의의 적절한 비-점착 재료들로 형성된다.
[0051] 제1 플래튼(520a) 상에 융합된 전구체 층들(510a, 510b)을 배치 시, 진공 챔버 내의 온도 및 압력은 약 0.001 hPa의 제1 경화 압력 및 약 110 ℃의 제1 경화 온도로 램핑 업된다. 예컨대, 진공 챔버 내의 제1 경화 압력은 약 0.001 hPa 내지 약 10 hPa, 이를테면, 약 0.001 hPa 내지 약 1 hPa로 램핑 업된다. 일 예에서, 진공 챔버 내의 제1 경화 온도는 약 60 ℃ 내지 약 110 ℃, 이를테면, 약 100 ℃ 내지 약 110 ℃로 램핑 업된다. 진공 챔버 내의 온도 및/또는 압력의 램핑은 약 15 분 내지 약 45 분의 인터벌, 이를테면, 약 20 분 내지 약 40 분의 인터벌에 걸쳐 수행될 수 있다. 일 예에서, 온도 및/또는 압력은 제1 플래튼(520a) 상에 융합된 전구체 층들(510a, 510b)을 배치 시 약 30 분의 인터벌에 걸쳐 램핑 업된다.
[0052] 진공 챔버 내에서 원하는 제1 경화 온도 및/또는 제1 경화 압력에 도달할 때, 융합된 전구체 층들(510a, 510b)을 제자리에 클램핑하거나 또는 고정하기 위해, 제2 플래튼(520b)이 제1 플래튼(520a)에 대향하는 융합된 전구체 층들(510a, 510b)의 면에 대해 가압된다. 제1 플래튼(520a)과 유사하게, 제2 플래튼(520b)은 또한, 융합된 전구체 층들(510a, 510b)과 접촉하도록 구성되는, 제2 플래튼(520b)의 면 상에 배치된 점착-방지 층(522)을 포함한다. 일단 융합된 전구체 층들(510a, 510b)이 2 개의 플래튼들(520a, 520b) 사이에 고정되면, 융합된 전구체 층들(510a, 510b)은 제1 경화 온도 및 제1 경화 압력에서 그리고 약 45 분 내지 약 75 분의 인터벌 동안 제자리에 홀딩된다. 예컨대, 융합된 전구체 층들(510a, 510b)은 약 60 분의 기간 동안 약 110 ℃의 온도 및 약 0.01 MPa의 압력에서 2 개의 플래튼들(520a, 520b) 사이에 홀딩될 수 있다.
[0053] 일부 실시예들에서, 제1 경화 온도 및 제1 경화 압력에서 원하는 시간량 동안 2 개의 플래튼들(520a, 520b) 사이에 융합된 전구체 층들(510a, 510b)을 홀딩한 후에, 제1 경화 압력은 유지되지만, 제1 경화 온도는 제2 경화 온도로 다시 램핑 업된다. 예컨대, 제1 경화 온도는 약 150 ℃ 내지 약 180 ℃, 이를테면, 약 170 ℃ 내지 약 180 ℃의 제2 경화 온도로 다시 램핑 업된다. 일 예에서, 제2 경화 온도는 약 180 ℃이다. 그런 다음, 융합된 전구체 층들(510a, 510b)은 약 15 분 내지 약 45 분, 이를테면, 20 분 내지 약 40 분, 이를테면, 약 30 분의 인터벌 동안 제2 경화 온도 및 제1 경화 압력에서 2 개의 플래튼들(520a, 520b) 사이에 홀딩될 수 있다. 융합된 전구체 층들(510a, 510b)을 제2 경화 온도에 노출시킨 후에, 경화 프로세스는 완료될 수 있고, 경화된 프레임(502)은 냉각되고 플래튼들(520a, 520b)로부터 제거된다.
[0054] 동작(408) 및 도 5f에서, 경화된 프레임(502)은 그 내부에 하나 이상의 비아들(503)을 형성하기 위해 레이저 절제 프로세스(예컨대, 직접 레이저 패터닝)에 노출된다. 하나 이상의 비아들(503)을 형성하기 위해 임의의 적절한 레이저 절제 시스템이 활용될 수 있다. 일부 예들에서, 레이저 절제 시스템은 IR(infrared) 레이저 소스를 활용한다. 일부 예들에서, 레이저 소스는 나노초 또는 피코초 UV(ultraviolet) 레이저이다. 다른 예들에서, 레이저는 펨토초 UV 레이저이다. 또 다른 예들에서, 레이저 소스는 펨토초 녹색 레이저이다.
[0055] 레이저 절제 시스템의 레이저 소스는 프레임(502)의 패터닝을 위해 연속형 또는 펄스형 레이저 빔을 생성한다. 예컨대, 레이저 소스는 5 kHz 내지 1000 kHz, 이를테면, 10 kHz 내지 약 200 kHz, 이를테면, 15 kHz 내지 약 100 kHz의 주파수를 갖는 펄스형 레이저 빔을 생성한다. 일 실시예에서, 레이저 소스는, 약 10 와트 내지 약 100 와트의 출력 전력으로 약 10 ns 내지 약 5000 ns의 펄스 지속시간 및 약 200 nm 내지 약 1200 nm의 파장에서 펄스형 레이저 빔을 전달하도록 구성된다. 일 실시예에서, 레이저 소스는, 변동하는 시간 인터벌들로 펄스형 레이저 빔을 전달하도록 구성된다. 예컨대, 레이저 소스는 약 1 개 내지 약 20 개의 펄스들 ―이들 펄스들 사이에는 시간 지연들이 있음― 을 갖는, 펄스들의 하나 이상의 라운드(round)들을 전달한다. 펄스-타이밍 변동들은 프레임(502)에 비아들(503) 및 임의의 다른 피처들을 형성하는 것에 대한 레이저 빔의 전체 열 영향을 감소시킬 수 있다. 일반적으로, 레이저 소스는, 프레임(502)에서 비아들(503)의 임의의 원하는 패턴, 이를테면, 개별 비아들(503) 또는 비아들(503)의 어레이를 형성하도록 구성된다.
[0056] 일부 실시예들에서, 비아들(503)은, 비아들(503)의 길이들 전체에 걸쳐(예컨대, 프레임(502)의 제1 표면(525)과 제2 표면(527) 사이에서) 실질적으로 균일한 직경들을 갖게 형성된다. 균일한 직경들의 비아들(503)은, 먼저 레이저 소스에 의해 생성된 레이저 빔을 이용하여 프레임(502)에 홀을 뚫고 그런 다음 비아들(503) 각각의 중심 축에 대한 나선형(예컨대, 원형, 코르크스크류(corkscrew)) 모션으로 레이저 빔을 이동시킴으로써 형성될 수 있다. 레이저 빔은 또한, 균일한 비아들(503)을 형성하기 위해 모션 시스템을 사용하여 기울어질 수 있다. 다른 실시예들에서, 비아들(503)은, 비아들(503)의 길이들 전체에 걸쳐 테이퍼되는 직경들을 갖게 형성된다. 비아들(503)의 테이퍼링은, 위에서 설명된 것과 동일한 방법을 사용함으로써, 또는 레이저 소스에 의해 생성된 레이저 빔을 프레임(502)에 있는 단일 위치로 연속적으로 펄싱함으로써 형성될 수 있다.
[0057] 비아들(503)의 형성 후에, 경화 및 패터닝된 프레임(502)은 디-스미어(de-smear) 프로세스에 노출된다. 비아들(503)의 형성 동안 레이저 절제에 의해 유발된 임의의 원치 않는 잔류물들 및/또는 파편이 디-스미어 프로세스 동안 비아들(503)로부터 제거된다. 따라서, 디-스미어 프로세스는 후속하는 금속화를 위해 비아들(503)을 세정한다. 일 실시예에서, 디-스미어 프로세스는 습식 디-스미어 프로세스이다. 임의의 적절한 용매들, 에천트들 및/또는 이들의 조합들이 습식 디-스미어 프로세스에 활용된다. 일 예에서, 메탄올이 용매로서 활용되고, 구리(Ⅱ) 클로라이드 디하이드레이트(CuCl2·H2O)가 에천트로서 활용된다. 잔류물 두께에 따라, 습식 디-스미어 프로세스에 대한 프레임(502)의 노출 지속기간이 변화된다. 다른 실시예에서, 디-스미어 프로세스는 건식 디-스미어 프로세스이다. 예컨대, 디-스미어 프로세스는 O2/CF4 혼합 가스를 이용한 플라즈마 디-스미어 프로세스이다. 플라즈마 디-스미어 프로세스는, 약 700 W의 전력을 인가하고 약 60 초 내지 약 120 초의 시간 기간 동안 약 10:1(예컨대, 100:10 sccm)의 비(ratio)로 O2:CF4를 유동시킴으로써 플라즈마를 생성하는 것을 포함할 수 있다. 추가적인 실시예들에서, 디-스미어 프로세스는 습식 프로세스와 건식 프로세스의 조합이다.
[0058] 디-스미어 프로세스 후에, 프레임(502)은 그 내부에 전도성 인터커넥션들의 형성을 위한 준비가 된다. 선택적인 동작(410) 및 대응하는 도 5g에서, 접착 층(512) 및/또는 시드 층(514)이 프레임(502) 상에 형성된다. 접착 층(512)은 프레임(502)의 원하는 표면들, 이를테면, 표면들(525, 527) 뿐만 아니라 비아들(503)의 측벽들(513) 상에 형성되어, 후속하여 형성되는 시드 층(514) 및 전기 인터커넥션들(504)의 접착을 촉진하고 확산을 차단하는 것을 보조한다. 따라서, 일 실시예에서, 접착 층(512)은 접착 층의 역할을 하고; 다른 실시예에서, 접착 층(512)은 장벽 층의 역할을 한다. 그러나, 두 실시예들 모두에서, 접착 층(512)은 "접착 층"으로서 설명될 것이다.
[0059] 일 실시예에서, 접착 층(512)은 티타늄, 티타늄 나이트라이드, 탄탈, 탄탈 나이트라이드, 망간, 망간 옥사이드, 몰리브덴, 코발트 옥사이드, 코발트 나이트라이드, 실리콘 나이트라이드 또는 임의의 다른 적절한 재료들 또는 이들의 조합들로 형성된다. 일 실시예에서, 접착 층(512)은 약 10 nm 내지 약 300 nm, 이를테면, 약 50 nm 내지 약 150 nm의 두께를 갖는다. 예컨대, 접착 층(512)은 약 75 nm 내지 약 125 nm, 이를테면, 약 100 nm의 두께를 갖는다. 접착 층(512)은 CVD(chemical vapor deposition), PVD(physical vapor deposition), PECVD(plasma enhanced CVD), ALD(atomic layer deposition) 등을 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 증착 프로세스에 의해 형성된다.
[0060] 시드 층(514)은 접착 층(512) 상에 형성되거나 또는 (예컨대, 접착 층(512)의 형성 없이) 프레임(502) 상에 직접 형성될 수 있다. 일부 실시예들에서, 시드 층(514)이 프레임(502)의 모든 표면들 상에 형성되지만, 접착 층(512)은 프레임(502)의 원하는 표면들 또는 표면들의 원하는 부분들 상에만 형성된다. 예컨대, 접착 층(512)이 표면들(525, 527) 상에는 형성되고 비아들(503)의 측벽들(513) 상에는 형성되지 않지만, 시드 층(514)은 표면들(525, 527) 뿐만 아니라 비아들(503)의 측벽들(513) 상에 형성된다. 시드 층(514)은 구리, 텅스텐, 알루미늄, 은, 금 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 전도성 재료로 형성된다. 일 실시예에서, 시드 층(514)은 약 0.05 ㎛ 내지 약 0.5 ㎛의 두께, 이를테면, 약 0.1 ㎛ 내지 약 0.3 ㎛의 두께를 갖는다. 예컨대, 시드 층(514)은 약 0.15 ㎛ 내지 약 0.25 ㎛, 이를테면, 약 0.2 ㎛의 두께를 갖는다. 일 실시예에서, 시드 층(514)은 약 0.1 ㎛ 내지 약 1.5 ㎛의 두께를 갖는다.
[0061] 접착 층(512)과 유사하게, 시드 층(514)은 CVD, PVD, PECVD, ALD 건식 프로세스들, 습식 무전해 도금 프로세스들 등과 같은 임의의 적절한 증착 프로세스에 의해 형성된다. 일 실시예에서, 구리 시드 층(514)이 프레임(502) 상의 몰리브덴 접착 층(512) 상에 형성된다. 몰리브덴 접착 및 구리 시드 층 조합은, 프레임(502)의 표면들과의 개선된 접착을 가능하게 하고, 후속하는 시드 층 에칭 프로세스 동안 전도성 인터커넥트 라인들의 언더컷(undercut)을 감소시킨다.
[0062] 도 5h에 대응하는 동작(412)에서, 스핀-온/스프레이-온 또는 건식 레지스트 필름(550), 이를테면, 포토레지스트가 프레임(502)의 표면들(525, 527) 위에 적용되고, 후속하여 패터닝된다. 일 실시예에서, 레지스트 필름(550)은 UV 방사선에 대한 선택적 노출을 통해 패터닝된다. 일 실시예에서, 레지스트 필름(550)의 형성 전에, 접착 촉진제(도시되지 않음)가 프레임(502)에 적용된다. 접착 촉진제는, 레지스트 필름을 위한 계면 접합 층을 생성함으로써 그리고 프레임(502)의 표면으로부터 모든 습기를 제거함으로써, 프레임(502)에 대한 레지스트 필름(550)의 접착을 개선시킨다. 일부 실시예들에서, 접착 촉진제는 비스(트리메틸실릴)아민 또는 헥사메틸디실리잔(HMDS) 및 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA)로 형성된다.
[0063] 레지스트 필름(550)의 적용 시, 프레임(502)은 레지스트 필름 현상 프로세스에 노출된다. 레지스트 필름(550)의 현상은, 이제 접착 층(512) 및/또는 시드 층(514)이 상부에 형성되어 있을 수 있는 비아들(503)(도 5h에 도시됨)의 노출을 야기한다. 일 실시예에서, 필름 현상 프로세스는 습식 프로세스, 이를테면, 레지스트 필름(550)을 용매에 노출시키는 것을 포함하는 습식 프로세스이다. 일 실시예에서, 필름 현상 프로세스는 수성 에칭 프로세스를 활용하는 습식 에칭 프로세스이다. 예컨대, 필름 현상 프로세스는 원하는 재료에 대해 선택적인 버퍼식(buffered) 에칭 프로세스를 활용하는 습식 에칭 프로세스이다. 임의의 적절한 습식 용매들 또는 습식 에천트들의 조합이 레지스트 필름 현상 프로세스에 사용된다.
[0064] 동작(414) 및 도 5i에서, 전기 인터커넥션들(504)이 노출된 비아들(503)을 통해 형성되고, 그 후에, 레지스트 필름(550)은 제거된다. 인터커넥션들(504)은 전기도금 및 무전해 도금을 포함하는 임의의 적절한 방법들에 의해 형성된다. 일 실시예에서, 레지스트 필름(550)은 습식 프로세스를 통해 제거된다. 도 5i에 도시된 바와 같이, 전기 인터커넥션들(504)은 비아들(503)을 완벽히 충전하고, 레지스트 필름(550)의 제거시 프레임(502)의 표면들(525, 527)로부터 돌출한다. 일부 실시예들에서, 전기 인터커넥션들(504)은, 비아들(503)을 완벽히 충전하지 않고, 비아들(503)의 측벽들(513)만을 라이닝한다. 일 실시예에서, 전기 인터커넥션들(504)은 구리로 형성된다. 다른 실시예들에서, 전기 인터커넥션들(504)은 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등을 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 전도성 재료로 형성된다.
[0065] 동작(416) 및 도 5j에서, 전기 인터커넥션들(504)이 내부에 형성되어 있는 프레임(502)은, 프레임(502)의 외부 표면들(예컨대, 표면들(525, 527)) 상의 노출된 접착 층(512) 및/또는 시드 층(514)을 제거하기 위해 시드 층 에칭 프로세스에 노출된다. 동작(416)에서 시드 층 에칭 프로세스의 완료 시, 프레임(502)은 반도체 디바이스 스페이서(500)로서 활용될 준비가 된다. 일부 실시예들에서, 시드 층 에칭 프로세스 후에, 전기 인터커넥션들(504)과 비아들(503)의 측벽들(513) 사이에 형성된 접착 층(512) 및/또는 시드 층(514)이 남는다. 일 실시예에서, 시드 층 에칭은 프레임(502)의 린싱(rinse) 및 건조를 포함하는 습식 에칭 프로세스이다. 일 실시예에서, 시드 층 에칭 프로세스는 구리, 텅스텐, 알루미늄, 은 또는 금과 같은 원하는 재료에 대해 선택적인 버퍼식 에칭 프로세스이다. 다른 실시예들에서, 에칭 프로세스는 수성 에칭 프로세스이다. 임의의 적절한 습식 에천트 또는 습식 에천트들의 조합이 시드 층 에칭 프로세스에 사용된다.
[0066] 위에서 논의된 바와 같이, 도 4 및 도 5a-도 5j는 반도체 디바이스 스페이서(500)를 형성하기 위한 대표적인 방법(400)을 예시한다. 도 6 및 도 7a-도 7e는, 동작(406)에서 프레임(502)을 형성하기 위한 대안적인 방법(600)을 예시한다. 방법(600)은 일반적으로, 5 개의 동작들(602-610)을 포함하고, 선택적인 동작(602)(도 7a에 대응함)은 방법(400)의 동작(402)과 실질적으로 유사하다. 따라서, 방법(600)은 명확성을 위해 동작(604)으로 시작하여 설명될 것이다.
[0067] 이에 따라서, 전구체 층들(510a, 510b)로부터 보호 필름들(501)의 박리(peeling) 후에, 동작(604) 및 도 7b에서, 전구체 층들(510a, 510b)은 함께 라미네이팅된다. 동작(404)과 유사하게, 전구체 층들(510a, 510b)은 이러한 전구체 층들(510a, 510b)의 노출된 표면들(505, 507)에서 서로 맞닿게 배치되고, 그 후에, 커플링된 표면들(505, 507) 사이에 포획된 임의의 공기를 끌어 내기 위해 진공 압력이 인가된다. 일 실시예에서, 전구체 필름들(510a, 510b)은 약 0.001 hPa 내지 약 100 hPa의 진공 압력에 노출된다. 예컨대, 전구체 필름들(510a, 510b)은 약 0.001 hPa 내지 약 10 hPa의 진공 압력, 이를테면, 약 0.001 hPa 내지 약 1 hPa의 진공 압력에 노출된다. 진공 압력은 약 10 초 내지 약 60 초의 인터벌, 이를테면, 약 15 초 내지 약 45 초, 이를테면, 약 30 초의 인터벌 동안 인가된다. 진공 압력의 인가 동안, 온도는 약 60 ℃ 내지 약 100 ℃, 이를테면, 약 70 ℃ 내지 약 90 ℃의 범위 내에서, 이를테면, 약 80 ℃로 유지된다.
[0068] 전구체 필름들(510a, 510b)을 진공 압력에 노출시킨 후에, 전구체 필름들(510a, 510b)은 전구체 층들(510a, 510b)의 하나 이상의 외부 표면들로의 양압(positive pressure)의 인가에 의해 함께 라미네이팅된다. 위에서 설명된 바와 같이, 인가되는 압력은 단면 또는 양면이고 기계적 또는 공압 프로세스들에 의해 인가될 수 있다. 일 실시예에서, 약 0.3 내지 약 1 kg/㎠의 압력이 전구체 층들(510a, 510b)의 하나 이상의 외부 표면들에 인가된다. 예컨대, 전구체 필름들(510a, 510b)은 약 0.3 내지 약 0.8 kg/㎠의 양압, 이를테면, 약 0.5 kg/㎠의 압력에 노출된다. 양압은 약 10 초 내지 약 60 초의 인터벌, 이를테면, 약 15 초 내지 약 45 초, 이를테면, 약 30 초의 인터벌 동안 인가된다. 양압의 인가 동안, 온도는 약 60 ℃ 내지 약 100 ℃, 이를테면, 약 70 ℃ 내지 약 90 ℃의 범위 내에서, 이를테면, 약 80 ℃로 유지된다.
[0069] 전구체 층들(510a, 510b)을 함께 융합한 후에, 그런 다음, 동작(606) 및 도 7c에서, 전구체 층들(510a, 510b)은 기판(620)에 라미네이팅된다. 기판(620)은, 융합된 전구체 층들(510a, 510b)과 접촉하고 융합된 전구체 층들(510a, 510b)을 지지하도록 구성되는 점착-방지 층(622)이 한 면에 배치되어 있는 임의의 적절한 타입의 기판이다. 일부 실시예들에서, 기판(620)은 금속 또는 세라믹 재료를 포함하고, 약 0.5 mm 내지 약 1 mm의 두께를 갖는다. 예컨대, 기판(620)은 약 0.6 mm 내지 약 0.8 mm, 이를테면, 약 0.7 mm 또는 약 0.75 mm의 두께를 갖는다. 일부 실시예들에서, 기판(620)의 측면 치수들이 전구체 층들(510a, 510b)의 치수들을 초과하여서, 전구체 층들(510a, 510b)의 전체 측면 영역이 기판(620) 상에서 지지된다. 점착-방지 층(622)은 테프론, PDMS, 폴리이미드, 플루오르화 에틸렌 프로필렌 등과 같은 낮은 거칠기 값을 갖는 임의의 적절한 비-점착 재료들로 형성된다.
[0070] 동작(604)과 유사하게, 기판(620)으로의 융합된 전구체 층들(510a, 510b)의 라미네이션은, 융합된 전구체 층들(510a, 510b)을 점착-방지 층(622)에 커플링하고, 전구체 층들(510a, 510b) 및 기판(620)을 진공에 노출시키고, 그 뒤에 양압에 노출시키는 것을 포함한다. 일 실시예에서, 진공 압력은 약 0.001 hPa 내지 약 100 hPa이다. 예컨대, 커플링된 전구체 필름들(510a, 510b) 및 기판(620)은 약 0.001 hPa 내지 약 10 hPa의 진공 압력, 이를테면, 약 0.001 hPa 내지 약 1 hPa의 진공 압력에 노출된다. 진공 압력은 약 10 초 내지 약 60 초의 인터벌, 이를테면, 약 15 초 내지 약 45 초, 이를테면, 약 30 초의 인터벌 동안 인가된다. 진공 압력의 인가 동안, 온도는 약 60 ℃ 내지 약 120 ℃, 이를테면, 약 70 ℃ 내지 약 110 ℃의 범위 내에서, 이를테면, 약 80 ℃로 유지된다.
[0071] 진공 후에, 커플링된 전구체 층들(510a, 510b)의 하나 이상의 외부 표면들 및/또는 기판(620)에 양압이 인가된다. 일 실시예에서, 양압은 약 0.3 내지 약 1kg/㎠, 이를테면, 약 0.4 내지 약 0.8 kg/㎠, 이를테면, 약 0.5 kg/㎠의 압력이다. 양압은 약 10 초 내지 약 60 초의 인터벌, 이를테면, 약 15 초 내지 약 45 초, 이를테면, 약 30 초의 인터벌 동안 인가된다. 양압의 인가 동안, 온도는 약 60 ℃ 내지 약 120 ℃, 이를테면, 약 70 ℃ 내지 약 110 ℃의 범위 내에서, 이를테면, 약 80 ℃로 유지된다.
[0072] 동작(606)의 완료 시, 부가적인 전구체 층들(510)을, 기판(620)과 함께 이미 융합된 전구체 층들(510a, 510b)에 커플링하여 융합시키기 위해, 동작들(602 및 604)이 반복될 수 있거나, 또는 프레임(502)을 형성하기 위해, 전구체 층들(510a, 510b)이 동작(608) 및 도 7d에서 경화 프로세스에 노출될 수 있다. 경화 프로세스 전에, 융합된 전구체 층들(510a, 510b) 상의 임의의 남아 있는 보호 필름들(501)이, 융합된 전구체 층들(510a, 510b)로부터 제거된다. 일 실시예에서, 동작(608)에서의 경화 프로세스는, 융합된 전구체 층들(510a, 510b) 및 기판(620)을 약 15 분 내지 약 90 분의 인터벌 동안 약 150 ℃ 내지 약 200 ℃의 일정한 온도에 노출시키는 것을 포함한다. 예컨대, 융합된 전구체 층들(510a, 510b) 및 기판(620)은 약 30 분의 인터벌 동안 약 180 ℃의 온도에 노출된다.
[0073] 다른 실시예에서, 경화 프로세스는 융합된 전구체 층들(510a, 510b) 및 기판(620)을 가변 온도에 노출시키는 것을 포함한다. 예컨대, 융합된 전구체 층들(510a, 510b) 및 기판(620)은 약 45 분 내지 약 75 분의 인터벌 동안 약 80 ℃ 내지 약 120 ℃의 제1 온도에 노출되고, 그 뒤에, 약 15 분 내지 약 45 분의 인터벌 동안 약 160 ℃ 내지 약 200 ℃의 제2 온도에 노출된다. 예컨대, 융합된 전구체 층들(510a, 510b) 및 기판(620)은 약 60 분의 인터벌 동안 약 100 ℃의 제1 온도에 노출되고, 그 뒤에, 약 30 분의 인터벌 동안 약 180 ℃의 제2 온도에 노출된다. 경화 후에, 경화된 전구체 프레임(502)은, 동작(610) 및 도 7e에서, 추가적인 구조화를 위해 기판(620)으로부터 제거된다.
[0074] 반도체 디바이스 스페이서들(100, 500)은 임의의 적절한 적층식 PCB 어셈블리, 적층식 패키지 어셈블리 또는 다른 적절한 적층식 전자 디바이스에서 활용될 수 있다. 도 8에 도시된 하나의 예시적인 실시예에서, 2 개의 반도체 디바이스 스페이서들(100)이 PCB 어셈블리(800) 내에서 활용된다. 도시된 바와 같이, 반도체 디바이스 스페이서(100)들이 2 개의 PCB들(850a, 850b) 사이에 배치되고, PCB들(850a, 850b) 사이에 물리적 공간(820)이 남아 있는 한편, PCB들(850a, 850b)은 반도체 디바이스 스페이서들(100)을 통해 전도성으로 연결되도록, 제1 PCB(850a)를 제2 PCB(850b)에 대해 포지셔닝하도록 구성된다. 이에 따라서, 반도체 디바이스 스페이서들(100)은 PCB들(850a, 850b)이 서로 접촉하는 것을 방지하고, 이에 따라 PCB들(850a, 850b)의 단락(shorting) 위험을 감소시킨다. 부가적으로, PCB들(850a, 850b) 사이의 반도체 디바이스 스페이서들(100)의 개재는 PCB들(850a, 850b)의 서로에 대한 적절하고 쉬운 배치를 보장하여서, PCB들(850a, 850b) 사이의 콘택들 및 홀들의 적절한 정렬을 가능하게 할 수 있다. 더욱이, 인접한 PCB들(850a, 850b) 사이의 반도체 디바이스 스페이서들(100)의 개재는 PCB들(850a, 850b)의 과열 및 연소 위험을 감소시키는데, 그 이유는 물리적 공간(820)의 조성(facilitation)이 PCB들(850a, 850b) 사이에 갇힌 열의 양을 감소시키기 때문이다. 2 개의 PCB들(850a, 850b)만이 도 8에 도시되지만, 반도체 디바이스 스페이서들(100)이 2 개 이상의 PCB들을 병렬로 적층하고 상호연결하기 위해 사용될 수 있다는 것이 고려된다.
[0075] PCB들(850a, 850b)은 임의의 적절한 유전체 재료로 형성된다. 예컨대, PCB들(850a, 850b)은 유리 섬유 강화 에폭시 수지(예컨대, FR-1, FR-2, FR-4, 무-할로겐 FR-4, 높은 Tg FR-4 및 FR-5)로 형성된다. 유전체 재료들의 다른 적절한 예들은 수지 구리-피복(RCC), 폴리이미드, 폴리테트라플루오로에틸렌(PTFE), CEM-3 등을 포함한다. PCB들(850a, 850b)은 단면 또는 양면 회로 보드들일 수 있다. 일부 실시예들에서, PCB들(850a, 850b) 중 적어도 하나는, 상부에 형성된 전기 분배 층(870)을 포함하고, 이러한 전기 분배 층(870)은 반도체 디바이스 스페이서들(100)의 인터커넥션들(104)과 전도성으로 연결된다. 예컨대, 도 8에 도시된 바와 같이, PCB들(850a, 850b) 둘 모두는, 각각, 상부에 그리고 물리적 공간(820)에 인접하게 형성된 전기 분배 층들(870a, 870b)을 포함한다. 전기 분배 층들(870a, 870b)은 구리, 텅스텐, 알루미늄, 은, 금 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 임의의 적절한 전도성 재료로 형성된다. 각각의 전기 분배 층(870a, 870b)은 약 40 ㎛ 내지 약 100 ㎛의 두께, 이를테면, 약 60 ㎛ 내지 약 80 ㎛의 두께를 갖는다. 예컨대, 각각의 전기 분배 층(870a, 870b)은 약 70 ㎛의 두께를 갖는다. 전기 분배 층들(870a, 870b)은 서로에 대해 유사한 또는 상이한 두께들을 가질 수 있다. 더욱이, 2 개의 전기 분배 층들(870a, 870b)이 도시되지만, 각각의 PCB(850a, 850b)는 그 표면들 상에 형성된 더 많거나 또는 더 적은 전기 분배 층들을 가질 수 있다. 다른 실시예들에서, PCB들(850a, 850b)은 반도체 디바이스 스페이서들(100)을 통한 인터커넥션을 위한 전도성 패드들 또는 다른 적절한 전기 콘택들을 포함한다.
[0076] PCB들(850a, 850b)은 PCB들(850a, 850b)의 전기 콘택들(예컨대, 전기 분배 층들(870a, 870b))과 반도체 디바이스 스페이서들(100)의 인터커넥션들(104) 사이에 배치된 하나 이상의 솔더 범프들(840)에 의해 반도체 디바이스 스페이서들(100)에 전도성으로 커플링된다. 일 실시예에서, 솔더 범프들(840)은 인터커넥션들(104) 및/또는 전기 분배 층들(870a, 870b)의 재료와 실질적으로 유사한 재료로 형성된다. 예컨대, 솔더 범프들(840)은 구리, 텅스텐, 알루미늄, 은, 금 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 전도성 재료로 형성된다. 일반적으로, 솔더 범프들(840)은 약 50 ㎛ 미만의 높이(B), 이를테면, 약 5 ㎛ 내지 약 45 ㎛의 높이(B), 이를테면, 약 10 ㎛ 내지 약 30 ㎛의 높이(B)를 갖는다. 예컨대, 솔더 범프들(840)은 약 20 ㎛의 높이(B)를 갖는다. 모두 합쳐, 솔더 범프들(840)과 반도체 디바이스 스페이서들(100)은 약 95 ㎛ 내지 약 5040 ㎛의 높이(S)를 갖는 물리적 공간(820)을 생성한다. 일반적으로, 물리적 공간(820)은 반도체 디바이스 스페이서들(100)의 프레임(102)의 두께와 실질적으로 유사한 높이(S)를 갖는다.
[0077] 일 실시예에서, 솔더 범프들(840)은 C4 솔더 범프들을 포함한다. 추가적인 실시예에서, 솔더 범프들(840)은 C2(솔더 캡을 갖는 Cu-기둥) 솔더 범프들을 포함한다. C2 솔더 범프들의 활용은 PCB 어셈블리(800)에 대해 더 작은 피치 길이들 및 개선된 열적 및/또는 전기적 특성들을 가능하게 할 수 있다. 솔더 범프들(840)은 ECD(electrochemical deposition) 전기도금 및 금속 확산 접합(예컨대, 금 대 금으로)을 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 범핑 프로세스들에 의해 형성된다.
[0078] 일 실시예에서, 반도체 디바이스 스페이서들(100)과 PBC들(850a, 850b) 사이의 공동들은 내부에 배치된 솔더 범프들(840)의 신뢰성을 향상시키기 위해 캡슐화 재료(848)로 충전된다. 캡슐화 재료(848)는 임의의 적절한 타입의 캡슐화재(encapsulant) 또는 언더필(underfill)이며, 솔더 범프들(840)을 실질적으로 둘러싼다. 일 예에서, 캡슐화 재료(848)는 사전-조립 언더필 재료, 이를테면, NUF(no-flow underfill) 재료, NCP(nonconductive paste) 재료 및 NCF(nonconductive film) 재료를 포함한다. 일 예에서, 캡슐화 재료(848)는 사후-조립 언더필 재료, 이를테면, CUF(capillary underfill) 재료 및 MUF(molded underfill) 재료를 포함한다. 일 실시예에서, 캡슐화 재료(848)는 저-팽창-충전제-함유 수지, 이를테면, SiO2, AlN, Al2O3, SiC, Si3N4, Sr2Ce2Ti5O16, ZrSiO4, CaSiO3, BeO, CeO2, BN, CaCu3Ti4O12, MgO, TiO2, ZnO 등으로 충전된(예컨대, 이들을 함유하는) 에폭시 수지를 포함한다.
[0079] 도 9에 도시된 다른 예시적인 실시예에서, 반도체 디바이스 스페이서들(100)은 PCB 어셈블리(900)에서 활용된다. PCB 어셈블리(900)는 PCB 어셈블리(800)와 실질적으로 유사하지만, 위에서 설명된 PCB들(850a, 850b) 중 하나 대신에 기판(950)을 포함한다. 따라서, 반도체 디바이스 스페이서들(100)은, 단일 PCB(850)를 기판(950)과 상호연결하고 적층하기 위해 활용될 수 있다. 단일 PCB(850) 및 단일 기판(950)만이 도 9에 도시되지만, 반도체 디바이스 스페이서들(100)은 임의의 수량 및 조합의 PCB들(850) 및/또는 기판들(950)을 병렬로 적층하고 상호연결하기 위해 활용될 수 있다는 것이 고려된다. 일부 실시예들에서, PCB(850)를 포함하지 않고, 2 개 이상의 기판들(950)이 적층되고 상호연결될 수 있다.
[0080] 기판(950)은 전자 디바이스들과 함께 사용하기 위한 임의의 적절한 타입의 기판이다. 일 실시예에서, 기판(950)은 반도체 패키지, 인터포저, 중간 브리징 커넥터, PCB 스페이서, 칩 캐리어 등에 대한 코어 구조로서 기능하도록 구성된다. 따라서, 기판(950)은 Ⅲ-V 화합물 반도체 재료, 실리콘, 결정질 실리콘(예컨대, Si<100> 또는 Si<111>), 실리콘 옥사이드, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 실리콘, 도핑된 또는 도핑되지 않은 폴리실리콘, 실리콘 나이트라이드, 석영, 유리 재료(예컨대, 보로실리케이트 유리), 사파이어, 알루미나 및/또는 세라믹 재료을 포함(그러나, 이에 제한되지 않음)하는 임의의 적절한 기판 재료로 형성된다. 일 실시예에서, 기판(950)은 단결정 p-타입 또는 n-타입 실리콘 기판이다. 일 실시예에서, 기판(950)은 다결정 p-타입 또는 n-타입 실리콘 기판이다. 다른 실시예에서, 기판(950)은 p-타입 또는 n-타입 실리콘 솔라 기판이다.
[0081] 추가적인 실시예들에서, 기판(950)은 기판(950)의 원하는 표면들 상에 형성된 선택적인 패시베이팅 층(905), 이를테면, 옥사이드 패시베이팅 층(905)을 더 포함한다. 예컨대, 기판(950)은 기판(950)의 실질적으로 모든 표면들 상에 형성된 실리콘 옥사이드 패시베이팅 층(905)을 포함할 수 있고, 이에 따라 패시베이팅 층(905)은 기판(950)을 실질적으로 둘러싼다. 패시베이팅 층(905)은 부식 및 다른 형태들의 손상에 대비하여 기판(950)에 대한 보호 외부 장벽을 제공한다. 일부 예들에서, 패시베이팅 층(905)은 약 100 nm 내지 약 3 ㎛의 두께, 이를테면, 약 200 nm 내지 약 2.5 ㎛의 두께를 갖는다. 일 예에서, 패시베이팅 층(905)은 약 300 nm 내지 약 2 ㎛의 두께, 이를테면, 약 1.5 ㎛의 두께를 갖는다.
[0082] 추가로, 기판(950)은 다각형 또는 원형 형상을 가질 수 있다. 예컨대, 기판(950)은, 챔퍼처리된 에지(chamfered edge)들이 있든 없든, 약 140 mm 내지 약 180 mm의 측면 치수들을 갖는 실질적으로 정사각형의 실리콘 기판을 포함한다. 다른 예에서, 기판(950)은 약 20 mm 내지 약 700 mm, 이를테면, 약 100 mm 내지 약 500 mm, 예컨대, 약 300 mm의 직경을 갖는 원형 실리콘 함유 웨이퍼를 포함한다. 달리 언급되지 않는 한, 본원에서 설명되는 실시예들 및 예들은 약 50 ㎛ 내지 약 1000 ㎛의 두께, 이를테면, 약 90 ㎛ 내지 약 780 ㎛의 두께를 갖는 기판들(950)에 대해 수행된다. 예컨대, 기판(950)은 약 100 ㎛ 내지 약 300 ㎛의 두께, 이를테면, 약 110 ㎛ 내지 약 200 ㎛의 두께를 갖는다.
[0083] 일부 실시예들에서, 기판(950)은 패터닝된 기판이며, 내부에 형성된 하나 이상의 비아들(903) ―하나 이상의 비아들(903)은, 전도성 전기 인터커넥션들(904)이 기판(950)을 통해 라우팅되는 것을 가능하게 하기 위한 것임― 을 포함한다. 도 9에 도시된 바와 같이, 비아들(903)은, 기판(950)을 관통하는 단일의 격리된 비아들(903)로서 형성되거나 또는 하나 이상의 그룹핑들 또는 어레이들로 형성된다. 일 실시예에서, 각각의 비아(903) 사이의 최소 피치는 약 1000 ㎛ 미만, 이를테면, 약 25 ㎛ 내지 약 200 ㎛이다. 예컨대, 비아들(903) 사이의 피치는 약 40 ㎛ 내지 약 150 ㎛이다.
[0084] 일반적으로, 하나 이상의 비아들(903)은 형상이 실질적으로 원통형이다. 그러나, 비아들(903)에 대한 다른 적절한 형태들이 또한 고려된다. 일 실시예에서, 비아들(903), 그리고 이에 따라, 비아들(903) 내부에 형성된 임의의 인터커넥션들(904)은, 약 500 ㎛ 미만의 직경, 이를테면, 약 250 ㎛ 미만의 직경을 갖는다. 예컨대, 비아들(903) 및/또는 인터커넥션들(904)은 약 25 ㎛ 내지 약 100 ㎛의 직경, 이를테면, 약 30 ㎛ 내지 약 60 ㎛의 직경을 갖는다. 일 실시예에서, 비아들(903) 및/또는 인터커넥션들(904)은 약 40 ㎛의 직경을 갖는다.
[0085] 일 실시예에서, 비아들(903) 및/또는 인터커넥션들(904)은 기판(950)의 전체 두께를 통해 형성된다. 예컨대, 비아들(903) 및/또는 인터커넥션들(904)은 약 50 ㎛ 내지 약 1000 ㎛의 기판(950)의 총 두께에 대응하는 길이방향의 길이, 이를테면, 약 200 ㎛ 내지 약 800 ㎛의 길이방향 길이를 갖는다. 일 예에서, 비아들(903) 및/또는 인터커넥션들(904)은 약 400 ㎛ 내지 약 600 ㎛의 길이방향 길이, 이를테면, 약 500 ㎛의 길이방향 길이를 갖는다. 다른 실시예에서, 비아들(903) 및/또는 전기 인터커넥션들(904)은 기판(950)의 두께의 일부분을 통해서만 형성된다. 추가적인 실시예들에서, 도 9에 도시된 바와 같이, 인터커넥션들(904)은 기판(950)의 하나 이상의 표면들로부터 돌출된다. 인터커넥션들(104)과 유사하게, 인터커넥션들(904)은 마이크로전자 디바이스들, 집적 회로들, 회로 보드들 등의 분야에서 사용되는 임의의 전도성 재료들로 형성된다. 예컨대, 인터커넥션들(904)은 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등과 같은 금속성 재료로 형성된다.
[0086] 일부 실시예들에서, 기판(950)은, 기판(950)의 원하는 표면들 ―이러한 기판(950)의 원하는 표면들 상에, 인터커넥션들(904)이 형성됨― 위에 형성된 접착 층(912) 및/또는 시드 층(914)을 더 포함한다. 예컨대, 접착 층(912) 및/또는 시드 층(914)은 비아들(903)의 측벽들(913) 상에 형성된다. 일반적으로, 접착 층(912) 및/또는 시드 층(914)은 접착 층들(112, 512) 및 시드 층들(114, 514)과 재료 및 형태가 실질적으로 유사하다. 일부 실시예들에서, 접착 층(912) 및/또는 시드 층(914)은 비아들(903)의 측벽들(913) 위에 형성되는 패시베이팅 층(905) 위에 형성된다.
[0087] 일부 실시예들에서, 기판(950)은 기판(950)의 원하는 표면들 상에 배치된 하나 이상의 선택적인 전기 분배 층들(970)을 더 포함한다. 도 9에서, 전기 분배 층(970)은 선택적인 전기 분배 층(870)에 대향하는, 물리적 공간(820)에 인접한 표면 상에 배치되고, 인터커넥션들(904)과 접촉한다. 전기 분배 층(970)은 구리, 텅스텐, 알루미늄, 은, 금 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 임의의 적절한 전도성 재료로 형성된다. 추가적인 실시예들에서, 기판(950)은 반도체 디바이스 스페이서들(100)을 통한 PCB(850)와의 인터커넥션을 위해 전도성 패드들 또는 다른 적절한 전기 콘택들을 포함할 수 있다.
[0088] 도 10에 도시된 다른 예시적인 실시예에서, 반도체 디바이스 스페이서들(100)은 PCB 어셈블리(1000)에서 활용된다. PCB 어셈블리(1000)는 PCB 어셈블리(900)와 실질적으로 유사하지만, 위에서 설명된 기판(950) 대신에 반도체 코어 어셈블리(1050)를 포함한다. 따라서, 반도체 디바이스 스페이서들(100)은, 단일 PCB(850)를 반도체 코어 어셈블리(1050)와 상호연결하고 적층하기 위해 활용될 수 있다. 단일 PCB(850) 및 단일 반도체 코어 어셈블리(1050)만이 도 10에 도시되지만, 반도체 디바이스 스페이서들(100)은 임의의 수량 및 조합의 PCB들(850) 및/또는 반도체 코어 어셈블리들(1050)을 병렬로 적층하고 상호연결하기 위해 활용될 수 있다는 것이 고려된다. 일부 실시예들에서, PCB(850)를 포함하지 않고, 2 개 이상의 반도체 코어 어셈블리들(1050)이 적층되고 상호연결될 수 있다.
[0089] 반도체 코어 어셈블리(1050)는 반도체 패키지들의 구조적 지지 및 전기 인터커넥션에 활용될 수 있다. 다른 예들에서, 반도체 코어 어셈블리(1050)는 칩 또는 그래픽 카드와 같은 표면-장착 디바이스를 위한 캐리어 구조로서 활용될 수 있다. 반도체 코어 어셈블리(1050)는 일반적으로, 코어 구조(1002), 선택적인 패시베이팅 층(1005) 및 절연 층(1016)을 포함한다.
[0090] 일 실시예에서, 코어 구조(1002)는 임의의 적절한 기판 재료로 형성된 패터닝된(예컨대, 구조화된) 기판을 포함한다. 예컨대, 코어 구조(1002)는 기판(950)과 관련하여 위에서 설명된 재료들 중 임의의 재료로 형성된 기판을 포함한다. 추가로, 코어 구조(1002)를 형성하기 위해 활용되는 기판은 다각형 또는 원형 형상을 가질 수 있다. 예컨대, 코어 구조(1002)는, 챔퍼처리된 에지들이 있든 없든, 약 120 mm 내지 약 180 mm의 측면 치수들을 갖는 실질적으로 정사각형의 실리콘 기판을 포함한다. 다른 예에서, 코어 구조(1002)는 약 20 mm 내지 약 700 mm, 이를테면, 약 100 mm 내지 약 50 mm, 예컨대, 약 300 mm의 직경을 갖는 원형 실리콘-함유 웨이퍼를 포함한다. 달리 언급되지 않는 한, 본원에서 설명되는 실시예들 및 예들은 약 50 ㎛ 내지 약 1000 ㎛의 두께, 이를테면, 약 90 ㎛ 내지 약 780 ㎛의 두께를 갖는 기판들에 대해 수행된다. 예컨대, 코어 구조(1002)에 활용되는 기판은 약 100 ㎛ 내지 약 300 ㎛의 두께, 이를테면, 약 110 ㎛ 내지 약 200 ㎛의 두께를 갖는다.
[0091] 기판(950)과 유사하게, 코어 구조(1002)는 내부에 형성된 하나 이상의 코어 비아들(1003) ―하나 이상의 코어 비아들(1003)은, 전도성 전기 인터커넥션들이 코어 구조(1002)를 통해 라우팅되는 것을 가능하게 하기 위한 것임― 을 더 포함한다. 코어 비아들(1003)은, 코어 구조(1002)를 관통하는 단일의 격리된 코어 비아들(1003)로서 형성되거나 또는 하나 이상의 그룹핑들 또는 어레이들로 형성된다. 일 실시예에서, 각각의 코어 비아(1003) 사이의 최소 피치는 약 1000 ㎛ 미만, 이를테면, 약 25 ㎛ 내지 약 200 ㎛이다. 예컨대, 피치는 약 40 ㎛ 내지 약 150 ㎛이다. 일 실시예에서, 하나 이상의 코어 비아들(1003)은 약 500 ㎛ 미만의 직경, 이를테면, 약 250 ㎛ 미만의 직경을 갖는다. 예컨대, 코어 비아들(1003)은 약 25 ㎛ 내지 약 100 ㎛의 직경, 이를테면, 약 30 ㎛ 내지 약 60 ㎛의 직경을 갖는다. 일 실시예에서, 코어 비아들(1003)은 약 40 ㎛의 직경을 갖는다.
[0092] 선택적인 패시베이팅 층(1005)은 패시베이팅 층(905)과 유사하고, 코어 비아들(1003)의 하나 이상의 측벽들(1013)을 포함하여, 코어 구조(1002)의 하나 이상의 표면들 상에 형성된다. 일 실시예에서, 패시베이팅 층(1005)이 코어 구조(1002)의 실질적으로 모든 외부 표면들 상에 형성되어서, 패시베이팅 층(1005)은 코어 구조(1002)를 실질적으로 둘러싼다. 일 실시예에서, 패시베이팅 층(1005)은 옥사이드 필름 또는 층, 이를테면, 열 옥사이드 층으로 형성된다. 예컨대, 패시베이팅 층(1005)은 실리콘 옥사이드 층일 수 있다. 일부 예들에서, 패시베이팅 층(1005)은 약 100 nm 내지 약 3 ㎛의 두께, 이를테면, 약 200 nm 내지 약 2.5 ㎛의 두께를 갖는다. 일 예에서, 패시베이팅 층(1005)은 약 300 nm 내지 약 2 ㎛의 두께, 이를테면, 약 1.5 ㎛의 두께를 갖는다.
[0093] 절연 층(1016)은 패시베이팅 층(1005) 또는 코어 구조(1002)의 하나 이상의 표면들 상에 형성되고, 패시베이팅 층(1005) 및/또는 코어 구조(1002)를 실질적으로 감싼다. 따라서, 절연 층(1016)은 코어 비아들(1003)로 연장되고, 코어 비아들(1003)의 측벽들(1013) 상에 형성된 패시베이팅 층(1005)을 코팅하거나 또는 코어 구조(1002)를 직접 코팅한다. 일 실시예에서, 절연 층(1016)은, 패시베이팅 층(1005) 또는 코어 구조(1002)의 외부 표면으로부터 절연 층(1016)의 인접한 외부 표면까지 약 50 ㎛ 미만의 두께, 이를테면, 약 20 ㎛ 미만의 두께를 갖는다. 예컨대, 절연 층(1016)은 약 5 ㎛ 내지 약 10 ㎛의 두께를 갖는다.
[0094] 일 실시예에서, 절연 층(1016)은 반도체 디바이스 스페이서들(100)의 프레임(102)과 유사한 폴리머-기반 유전체 재료들로 형성된다. 예컨대, 절연 층(1016)은 유동성 빌드-업 재료로 형성된다. 이에 따라서, 이하에서 "절연 층"으로 지칭되지만, 절연 층(1016)은 또한, 유전체 층으로서 설명될 수 있다. 추가적인 실시예에서, 절연 층(1016)은 실리카(SiO2) 입자들과 같은 세라믹 충전제를 갖는 에폭시 수지 재료로 형성된다. 절연 층(1016)을 형성하기 위해 활용될 수 있는 세라믹 충전제들의 다른 예들은 알루미늄 나이트라이드(AlN), 알루미늄 옥사이드(Al2O3), 실리콘 카바이드(SiC), 실리콘 나이트라이드(Si3N4), Sr2Ce2Ti5O16, 지르코늄 실리케이트(ZrSiO4), 규회석(CaSiO3), 베릴륨 옥사이드(BeO), 세륨 디옥사이드(CeO2), 보론 나이트라이드(BN), 칼슘 구리 티타늄 옥사이드(CaCu3Ti4O12), 마그네슘 옥사이드(MgO), 티타늄 디옥사이드(TiO2), 아연 옥사이드(ZnO) 등을 포함한다. 일부 예들에서, 절연 층(1016)을 형성하기 위해 활용되는 세라믹 충전제들은 직경이 약 40 nm 내지 약 1.5 ㎛, 이를테면, 약 80 nm 내지 약 1 ㎛ 범위에 있는 입자들을 갖는다. 예컨대, 세라믹 충전제들은 약 200 nm 내지 약 800 nm, 이를테면, 약 300 nm 내지 약 600 nm의 직경을 갖는 입자들을 갖는다. 일부 실시예들에서, 세라믹 충전제들은, 코어 구조(1002)에서 인접한 코어 비아들(1003)의 폭 또는 직경의 약 10% 미만의 직경, 이를테면, 코어 비아들(1003)의 폭 또는 직경의 약 5% 미만의 직경을 갖는 입자들을 포함한다.
[0095] 하나 이상의 어셈블리 관통 비아(through-assembly via)들(1023)이 절연 층(1016)을 관통해 형성되며, 여기서, 절연 층(1016)은, 전기 인터커넥션들(1004)이 절연 층(1016)을 통해 라우팅되는 것을 가능하게 하기 위해 코어 비아들(1003)로 연장된다. 예컨대, 어셈블리 관통 비아들(1023)은, 내부에 절연 층(1016)이 배치되어 있는 코어 비아들(1003) 내에서 중심에 형성된다. 이에 따라서, 절연 층(1016)은 어셈블리 관통 비아들(1023)의 하나 이상의 측벽들을 형성하고, 여기서, 어셈블리 관통 비아들(1023)은 코어 비아들(1003)의 직경 미만의 직경을 갖는다. 일 실시예에서, 어셈블리 관통 비아들(1023)은 약 100 ㎛ 미만, 이를테면, 약 75 ㎛ 미만의 직경을 갖는다. 예컨대, 어셈블리 관통 비아들(1023)은 약 50 ㎛ 미만, 이를테면, 약 35 ㎛ 미만의 직경을 갖는다. 일 실시예에서, 어셈블리 관통 비아들(1023)은 약 25 ㎛ 내지 약 50 ㎛의 직경, 이를테면, 약 35 ㎛ 내지 약 40 ㎛의 직경을 갖는다.
[0096] 일 실시예에서, 인터커넥션들(1004), 그리고 이에 따라, 어셈블리 관통 비아들(1023) 및 코어 비아들(1003)은, 반도체 코어 어셈블리(1050)의 전체 두께를 통해 형성된다. 예컨대, 인터커넥션들(1004) 및/또는 어셈블리 관통 비아들(1023) 및/또는 코어 비아들(1003)은, 약 50 ㎛ 내지 약 1000 ㎛의 반도체 코어 어셈블리(1050)의 총 두께에 대응하는 길이방향의 길이, 이를테면, 약 200 ㎛ 내지 약 800 ㎛의 길이방향 길이를 갖는다. 일 예에서, 인터커넥션들(1004) 및/또는 어셈블리 관통 비아들(1023) 및/또는 코어 비아들(1003)은, 약 400 ㎛ 내지 약 600 ㎛의 길이방향 길이, 이를테면, 약 500 ㎛의 길이방향 길이를 갖는다. 다른 실시예에서, 인터커넥션들(1004) 및/또는 어셈블리 관통 비아들(1023) 및/또는 코어 비아들(1003)은, 반도체 코어 어셈블리(1050)의 두께의 일부분을 통해서만 형성된다. 추가적인 실시예들에서, 도 10에 도시된 바와 같이, 인터커넥션들(1004)은 반도체 코어 어셈블리(1050)의 하나 이상의 표면들로부터 돌출된다. 위에서 설명된 인터커넥션들과 유사하게, 인터커넥션들(1004)은 집적 회로들, 회로 보드들, 칩 캐리어들 등의 분야에서 사용되는 임의의 전도성 재료들로 형성된다. 예컨대, 전기 인터커넥션들(1004)은 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등과 같은 금속성 재료로 형성된다.
[0097] 일부 실시예들에서, 반도체 코어 어셈블리(1050)는, 절연 층(1016)의 원하는 표면들 ―이러한 절연 층(1016)의 원하는 표면들 상에, 인터커넥션들(1004)이 형성됨― 위에 형성된 접착 층(1012) 및/또는 시드 층(1014)을 더 포함한다. 예컨대, 접착 층(1012) 및/또는 시드 층(1014)은 어셈블리 관통 비아들(1003)의 측벽들 상에 형성된다. 일반적으로, 접착 층(1012) 및/또는 시드 층(1014)은 접착 층들(112, 512) 및 시드 층들(114, 514)과 재료 및 형태가 실질적으로 유사하다.
[0098] 일부 실시예들에서, 반도체 코어 어셈블리(1050)는 반도체 코어 어셈블리(1050)의 원하는 표면들 상에 배치된 하나 이상의 선택적인 전기 분배 층들(1070)을 더 포함한다. 도 10에서, 전기 분배 층(1070)은 선택적인 전기 분배 층(870)에 대향하는, 물리적 공간(820)에 인접한 표면 상에 배치되고, 인터커넥션들(1004) 및 솔더 범프들(840)과 접촉한다. 전기 분배 층(1070)은 구리, 텅스텐, 알루미늄, 은, 금 또는 임의의 다른 적절한 재료들 또는 이들의 조합들과 같은 임의의 적절한 전도성 재료로 형성된다. 추가적인 실시예들에서, 반도체 코어 어셈블리(1050)는 반도체 디바이스 스페이서들(100)을 통한 PCB(850)와의 인터커넥션을 위해 전도성 패드들 또는 다른 적절한 전기 콘택들을 포함할 수 있다.
[0099] 도 11a-도 11e는, 위의 도 8-도 10의 설명들에 따라 PCB(850)와 같은 적어도 단일 디바이스에 커플링될 때 반도체 디바이스 스페이서들(100)의 가능한 어레인지먼트들의 평면도들을 개략적으로 예시한다. 일반적으로, 반도체 디바이스 스페이서들(100)은 임의의 적절한 수량 및 어레인지먼트로 인접한 PCB들 또는 다른 디바이스들 사이에 배치될 수 있다. 도 11a에 도시된 바와 같이, 2 개의 반도체 디바이스 스페이서들(100)이 PCB(850)의 대향하는 단부들의 에지들을 따라 PCB(850)의 최상부 표면 상에 배치된다. 도 11b는 PCB(850)의 최상부 표면의 3 개의 에지들을 따라 배치된 3 개의 반도체 디바이스 스페이서들(100)을 예시하고, 도 11c는 PCB(850)의 최상부 표면의 4 개의 에지들 모두를 따라 배치된 4 개의 반도체 디바이스 스페이서들(100)을 예시한다. 대안적인 예에서, 도 11d는, PCB(850)의 최상부 표면을 따라 중간에(medially) 배치되고 PCB(850)의 하나의 에지로부터 대향하는 에지로 연장되는 단일 반도체 디바이스 스페이서(100)를 예시한다.
[0100] 도 11a-도 11d는 하나 이상의 반도체 디바이스 스페이서들(100)이 인접한 디바이스의 하나 이상의 에지들(예컨대, 측면들)의 길이에 걸쳐 있는 측면 치수를 갖는 예시적인 어레인지먼트들을 도시한다. 도 11e는 하나 이상의 반도체 디바이스 스페이서들(100)이 인접한 디바이스의 측면들의 길이들 미만의 치수들을 갖는 대안적인 예시적인 어레인지먼트를 도시한다. 도 11e에 도시된 바와 같이, 2 개의 반도체 디바이스 스페이서들(100)은 PCB(850)의 최상부 표면을 따라 중간에 배치되고, 각각의 반도체 디바이스 스페이서(100)는 PCB(850)의 측면들의 길이들보다 실질적으로 작은 측면 치수들을 갖는다. 일부 실시예들에서, PCB(850)의 면적에 대한 반도체 디바이스 스페이서들(100)의 면적의 비는 약 0.01 내지 약 0.99, 이를테면, 약 0.05 내지 약 0.95이다. 예컨대, PCB(850)의 면적에 대한 반도체 디바이스 스페이서들(100)의 면적의 비는 약 0.1 내지 약 0.9, 이를테면, 약 0.15 내지 약 0.85이다.
[0101] 위에서 도시된 실시예들에서의 반도체 디바이스 스페이서들(100)의 활용은, 종래의 적층식 패키지, PCB 및 칩 캐리어 구조들에서 활용되는 스페이서들보다 다수의 장점들을 제공한다. 그러한 이점들은 적층식 디바이스 아키텍처들의 개선된 전기 성능 및 신뢰성을 위한 개선된 열 관리를 포함한다. 이들 스페이서들의 개선된 열전도율 뿐만 아니라 이들 스페이서들의 내부에 미세 피처들을 패터닝하는 능력은 추가로, AI(artificial intelligence) 및 HPC(high performance computing)의 끊임없이 증가하는 대역폭 및 전력 효율 요구들을 충족시키기 위해 더 큰 I/O 스케일링으로 얇은 폼 팩터(thin-form-factor) 구조들을 가능하게 한다. 부가적으로, 본원에서 설명되는 반도체 디바이스 스페이서들을 위한 제조 방법들은, 종래의 스페이서 및 적층 기술들과 비교할 때 상대적으로 낮은 제조 비용들로 3D 통합을 위한 고성능 및 유연성을 제공한다.
[0102] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않고, 본 개시내용의 다른 그리고 추가적인 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 반도체 디바이스 스페이서로서,
    제1 표면을 갖는 프레임 ―상기 제1 표면은 제2 표면에 대향하고, 상기 프레임은,
    구형 세라믹 충전제(spherical ceramic filler)들을 갖는 폴리머-기반 유전체 재료를 포함하는 프레임 재료, 및
    상기 제1 표면으로부터 상기 제2 표면으로 상기 프레임을 통해 연장되는 개구를 정의하는 비아 표면을 포함하고, 약 10 ㎛ 내지 약 150 ㎛의 직경을 갖는 비아를 더 포함함―; 및
    상기 비아 내에 배치된 전기 인터커넥션
    을 포함하고,
    상기 전기 인터커넥션은 상기 비아 표면 상에 배치되는,
    반도체 디바이스 스페이서.
  2. 제1 항에 있어서,
    상기 세라믹 충전제들은 약 0.6 ㎛의 최대 직경을 갖는 실리카 입자들을 포함하는,
    반도체 디바이스 스페이서.
  3. 제2 항에 있어서,
    상기 실리카 입자들의 패킹 밀도가 약 0.5 내지 약 0.95인,
    반도체 디바이스 스페이서.
  4. 제1 항에 있어서,
    상기 프레임은 약 400 ㎛ 내지 약 1600 ㎛의 두께를 갖는,
    반도체 디바이스 스페이서.
  5. 제1 항에 있어서,
    상기 비아는 제1 직경으로부터 제2 직경으로 테이퍼진(tapered),
    반도체 디바이스 스페이서.
  6. 제5 항에 있어서,
    상기 제1 직경은 약 10 ㎛ 내지 약 100 ㎛이고, 상기 제2 직경은 약 10 ㎛ 내지 약 150 ㎛인,
    반도체 디바이스 스페이서.
  7. 제1 항에 있어서,
    상기 제1 표면으로부터 상기 제2 표면으로 상기 프레임을 통해 연장되는 개구들을 정의하는 비아들의 어레이(array)를 더 포함하는,
    반도체 디바이스 스페이서.
  8. 제7 항에 있어서,
    상기 비아들의 어레이의 각각의 비아 사이의 피치가 약 150 ㎛ 내지 약 600 ㎛인,
    반도체 디바이스 스페이서.
  9. 반도체 디바이스 어셈블리로서,
    제1 PCB(printed circuit board) ―상기 제1 PCB는,
    제1 유리 섬유 강화 에폭시 수지 재료, 및
    상기 제1 유리 섬유 강화 에폭시 수지 재료 상에 형성된 제1 전기 분배 층을 포함함―;
    제2 PCB ―상기 제2 PCB는,
    제2 유리 섬유 강화 에폭시 수지 재료, 및
    상기 제2 유리 섬유 강화 에폭시 수지 재료 상에 형성된 제2 전기 분배 층을 포함함―; 및
    상기 제1 PCB와 상기 제2 PCB 사이의 물리적 공간을 가능하게 하도록 상기 제1 PCB와 상기 제2 PCB 사이에 개재된 디바이스 스페이서
    를 포함하고,
    상기 디바이스 스페이서는,
    제1 표면을 갖는 프레임 ―상기 제1 표면은 제2 표면에 대향하고, 상기 프레임은,
    구형 세라믹 충전제들을 갖는 폴리머-기반 유전체 재료를 포함하는 프레임 재료, 및
    상기 제1 표면으로부터 상기 제2 표면으로 상기 프레임을 통해 연장되는 개구를 정의하는 비아 표면을 포함하고, 약 10 ㎛ 내지 약 150 ㎛의 직경을 갖는 비아를 더 포함함―; 및
    상기 제1 전기 분배 층 및 상기 제2 전기 분배 층의 적어도 일부분 사이에서 연장되는 전도성 경로의 적어도 일부를 형성하도록, 상기 비아 내에서 상기 비아 표면 상에 배치된 전기 인터커넥션
    을 더 포함하는,
    반도체 디바이스 어셈블리.
  10. 제9 항에 있어서,
    상기 세라믹 충전제들은 약 0.6 ㎛의 최대 직경을 갖는 실리카 입자들을 포함하는,
    반도체 디바이스 어셈블리.
  11. 제10 항에 있어서,
    상기 실리카 입자들의 패킹 밀도가 약 0.5 내지 약 0.95인,
    반도체 디바이스 어셈블리.
  12. 제9 항에 있어서,
    상기 프레임은 약 400 ㎛ 내지 약 1600 ㎛의 두께를 갖는,
    반도체 디바이스 어셈블리.
  13. 제12 항에 있어서,
    상기 물리적 공간은 상기 프레임의 두께와 실질적으로 유사한 높이를 갖는,
    반도체 디바이스 어셈블리.
  14. 제9 항에 있어서,
    상기 제1 전기 분배 층 및 상기 제2 전기 분배 층과 상기 전기 인터커넥션을 전도식으로 커플링하는 솔더 범프들을 더 포함하고, 상기 솔더 범프들은 약 50 ㎛의 최대 높이를 갖는,
    반도체 디바이스 어셈블리.
  15. 제14 항에 있어서,
    상기 솔더 범프들을 실질적으로 둘러싸는 캡슐화 재료를 더 포함하는,
    반도체 디바이스 어셈블리.
  16. 제9 항에 있어서,
    상기 제1 PCB 또는 상기 제2 PCB의 표면적에 대한 상기 디바이스 스페이서의 면적의 비(ratio)가 약 0.15 내지 약 0.85인,
    반도체 디바이스 어셈블리.
  17. 반도체 디바이스 어셈블리로서,
    PCB(printed circuit board) ―상기 PCB는,
    제1 유리 섬유 강화 에폭시 수지 재료, 및
    상기 제1 유리 섬유 강화 에폭시 수지 재료 상에 형성된 제1 전기 분배 층을 포함함―;
    실리콘 기판 ―상기 실리콘 기판은,
    1000 ㎛ 미만의 두께를 갖는 실리콘 코어 구조, 및
    상기 실리콘 코어 구조 상에 형성되고, 상기 실리콘 코어 구조를 실질적으로 둘러싸는 제2 전기 분배 층을 포함함―; 및
    상기 PCB와 상기 실리콘 기판 사이의 물리적 공간을 가능하게 하도록 상기 PCB와 상기 실리콘 기판 사이에 개재된 디바이스 스페이서
    를 포함하고,
    상기 디바이스 스페이서는,
    제1 표면을 갖는 프레임 ―상기 제1 표면은 제2 표면에 대향하고, 상기 프레임은 상기 물리적 공간의 높이와 실질적으로 유사한, 약 400 ㎛ 내지 약 1600 ㎛의 두께를 가지며, 상기 프레임은,
    구형 세라믹 충전제들을 갖는 폴리머-기반 유전체 재료를 포함하는 프레임 재료, 및
    상기 제1 표면으로부터 상기 제2 표면으로 상기 프레임을 통해 연장되는 개구를 정의하는 비아 표면을 포함하고, 약 10 ㎛ 내지 약 150 ㎛의 직경을 갖는 비아를 더 포함함―; 및
    상기 제1 전기 분배 층 및 상기 제2 전기 분배 층의 적어도 일부분 사이에서 연장되는 전도성 경로의 적어도 일부를 형성하도록, 상기 비아 내에서 상기 비아 표면 상에 배치된 전기 인터커넥션
    을 더 포함하고,
    상기 PCB 또는 상기 실리콘 기판의 표면적에 대한 상기 디바이스 스페이서의 면적의 비가 약 0.15 내지 약 0.85인,
    반도체 디바이스 어셈블리.
  18. 제17 항에 있어서,
    상기 세라믹 충전제들은 약 0.6 ㎛의 최대 직경을 갖는 실리카 입자들을 포함하는,
    반도체 디바이스 어셈블리.
  19. 제18 항에 있어서,
    상기 실리카 입자들의 패킹 밀도가 약 0.5 내지 약 0.95인,
    반도체 디바이스 어셈블리.
  20. 제17 항에 있어서,
    상기 제1 전기 분배 층 및 상기 제2 전기 분배 층과 상기 전기 인터커넥션을 전도식으로 커플링하는 솔더 범프들, 및 상기 솔더 범프들을 실질적으로 둘러싸는 캡슐화 재료를 더 포함하고, 상기 솔더 범프들은 약 50 ㎛의 최대 높이를 갖는,
    반도체 디바이스 어셈블리.
KR1020210029412A 2020-03-10 2021-03-05 고 연결성 디바이스 적층 KR20210114342A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/814,785 2020-03-10
US16/814,785 US11257790B2 (en) 2020-03-10 2020-03-10 High connectivity device stacking

Publications (1)

Publication Number Publication Date
KR20210114342A true KR20210114342A (ko) 2021-09-23

Family

ID=77569797

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210029412A KR20210114342A (ko) 2020-03-10 2021-03-05 고 연결성 디바이스 적층

Country Status (4)

Country Link
US (3) US11257790B2 (ko)
KR (1) KR20210114342A (ko)
CN (1) CN113382535A (ko)
TW (1) TW202201684A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023140610A1 (ko) * 2022-01-19 2023-07-27 삼성전자 주식회사 인터포저를 포함하는 전자 장치 및 그 제조방법
TWI819623B (zh) * 2022-05-24 2023-10-21 友威科技股份有限公司 電漿製程系統的載體吸附機構

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220093534A1 (en) * 2020-09-23 2022-03-24 Intel Corporation Electronic substrates having embedded inductors

Family Cites Families (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4073610A (en) 1976-02-05 1978-02-14 Cox Bernard K Apparatus for producing a foldable plastic strip
US4751349A (en) 1986-10-16 1988-06-14 International Business Machines Corporation Zirconium as an adhesion material in a multi-layer metallic structure
JPH0494592A (ja) 1990-08-10 1992-03-26 Cmk Corp プリント配線板におけるスルーホールに対する充填材の充填方法
US5126016A (en) 1991-02-01 1992-06-30 International Business Machines Corporation Circuitization of polymeric circuit boards with galvanic removal of chromium adhesion layers
US5519332A (en) 1991-06-04 1996-05-21 Micron Technology, Inc. Carrier for testing an unpackaged semiconductor die
US5474834A (en) 1992-03-09 1995-12-12 Kyocera Corporation Superconducting circuit sub-assembly having an oxygen shielding barrier layer
JP2819523B2 (ja) 1992-10-09 1998-10-30 インターナショナル・ビジネス・マシーンズ・コーポレイション 印刷配線板及びその製造方法
US5367143A (en) 1992-12-30 1994-11-22 International Business Machines Corporation Apparatus and method for multi-beam drilling
US5353195A (en) 1993-07-09 1994-10-04 General Electric Company Integral power and ground structure for multi-chip modules
US5688716A (en) 1994-07-07 1997-11-18 Tessera, Inc. Fan-out semiconductor chip assembly
US5783870A (en) 1995-03-16 1998-07-21 National Semiconductor Corporation Method for connecting packages of a stacked ball grid array structure
US5670262A (en) 1995-05-09 1997-09-23 The Dow Chemical Company Printing wiring board(s) having polyimidebenzoxazole dielectric layer(s) and the manufacture thereof
US5767480A (en) 1995-07-28 1998-06-16 National Semiconductor Corporation Hole generation and lead forming for integrated circuit lead frames using laser machining
AU3301197A (en) 1996-06-05 1998-01-05 Larry W. Burgess Blind via laser drilling system
US6631558B2 (en) 1996-06-05 2003-10-14 Laservia Corporation Blind via laser drilling system
US7062845B2 (en) 1996-06-05 2006-06-20 Laservia Corporation Conveyorized blind microvia laser drilling system
US5841102A (en) 1996-11-08 1998-11-24 W. L. Gore & Associates, Inc. Multiple pulse space processing to enhance via entrance formation at 355 nm
EP0974817A4 (en) 1997-04-03 2006-09-13 Yamatake Corp CIRCUIT BOARD AND SENSOR, AND PROCESS FOR PRODUCING THE SAME
JP3920399B2 (ja) 1997-04-25 2007-05-30 株式会社東芝 マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置
JP3468398B2 (ja) 1997-06-13 2003-11-17 キヤノン株式会社 基板処理装置およびデバイス製造方法
US6388202B1 (en) 1997-10-06 2002-05-14 Motorola, Inc. Multi layer printed circuit board
US6038133A (en) 1997-11-25 2000-03-14 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module and method for producing the same
GB9811328D0 (en) 1998-05-27 1998-07-22 Exitech Ltd The use of mid-infrared lasers for drilling microvia holes in printed circuit (wiring) boards and other electrical circuit interconnection packages
MY144573A (en) 1998-09-14 2011-10-14 Ibiden Co Ltd Printed circuit board and method for its production
SE513341C2 (sv) 1998-10-06 2000-08-28 Ericsson Telefon Ab L M Arrangemang med tryckta kretskort samt metod för tillverkning därav
US6039889A (en) 1999-01-12 2000-03-21 Fujitsu Limited Process flows for formation of fine structure layer pairs on flexible films
US6117704A (en) 1999-03-31 2000-09-12 Irvine Sensors Corporation Stackable layers containing encapsulated chips
US6599836B1 (en) 1999-04-09 2003-07-29 Micron Technology, Inc. Planarizing solutions, planarizing machines and methods for mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6212769B1 (en) 1999-06-29 2001-04-10 International Business Machines Corporation Process for manufacturing a printed wiring board
AU6178200A (en) 1999-08-03 2001-02-19 Xsil Technology Limited A circuit singulation system and method
EP1139705B1 (en) 1999-09-02 2006-11-22 Ibiden Co., Ltd. Printed wiring board and method of producing the same
ATE233985T1 (de) 1999-09-30 2003-03-15 Siemens Ag Verfahren und einrichtung zum laserbohren von laminaten
US6538210B2 (en) 1999-12-20 2003-03-25 Matsushita Electric Industrial Co., Ltd. Circuit component built-in module, radio device having the same, and method for producing the same
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6661084B1 (en) 2000-05-16 2003-12-09 Sandia Corporation Single level microelectronic device package with an integral window
US6384473B1 (en) 2000-05-16 2002-05-07 Sandia Corporation Microelectronic device package with an integral window
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6593240B1 (en) 2000-06-28 2003-07-15 Infineon Technologies, North America Corp Two step chemical mechanical polishing process
US20020048715A1 (en) 2000-08-09 2002-04-25 Bret Walczynski Photoresist adhesive and method
US20020020898A1 (en) 2000-08-16 2002-02-21 Vu Quat T. Microelectronic substrates with integrated devices
US6459046B1 (en) 2000-08-28 2002-10-01 Matsushita Electric Industrial Co., Ltd. Printed circuit board and method for producing the same
CN1278413C (zh) 2000-09-25 2006-10-04 揖斐电株式会社 半导体元件及其制造方法、多层印刷布线板及其制造方法
US20020070443A1 (en) 2000-12-08 2002-06-13 Xiao-Chun Mu Microelectronic package having an integrated heat sink and build-up layers
JP4108285B2 (ja) 2000-12-15 2008-06-25 イビデン株式会社 多層プリント配線板の製造方法
US6555906B2 (en) 2000-12-15 2003-04-29 Intel Corporation Microelectronic package having a bumpless laminated interconnection layer
US6388207B1 (en) 2000-12-29 2002-05-14 Intel Corporation Electronic assembly with trench structures and methods of manufacture
JP5004378B2 (ja) 2001-01-10 2012-08-22 イビデン株式会社 多層プリント配線板
TW511415B (en) 2001-01-19 2002-11-21 Matsushita Electric Ind Co Ltd Component built-in module and its manufacturing method
JP2001244591A (ja) 2001-02-06 2001-09-07 Ngk Spark Plug Co Ltd 配線基板及びその製造方法
US6512182B2 (en) 2001-03-12 2003-01-28 Ngk Spark Plug Co., Ltd. Wiring circuit board and method for producing same
US7160432B2 (en) 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
DE60210770T2 (de) 2001-03-22 2006-08-31 Xsil Technology Ltd. Ein laserbearbeitungssystem und -verfahren
US6465084B1 (en) 2001-04-12 2002-10-15 International Business Machines Corporation Method and structure for producing Z-axis interconnection assembly of printed wiring board elements
US6894399B2 (en) 2001-04-30 2005-05-17 Intel Corporation Microelectronic device having signal distribution functionality on an interfacial layer thereof
US20030059976A1 (en) 2001-09-24 2003-03-27 Nathan Richard J. Integrated package and methods for making same
JP2003188340A (ja) 2001-12-19 2003-07-04 Matsushita Electric Ind Co Ltd 部品内蔵モジュールとその製造方法
JP3998984B2 (ja) 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
US6506632B1 (en) 2002-02-15 2003-01-14 Unimicron Technology Corp. Method of forming IC package having downward-facing chip cavity
US7358157B2 (en) 2002-03-27 2008-04-15 Gsi Group Corporation Method and system for high-speed precise laser trimming, scan lens system for use therein and electrical device produced thereby
US7028400B1 (en) 2002-05-01 2006-04-18 Amkor Technology, Inc. Integrated circuit substrate having laser-exposed terminals
JP3871609B2 (ja) 2002-05-27 2007-01-24 松下電器産業株式会社 半導体装置及びその製造方法
JP2003347741A (ja) 2002-05-30 2003-12-05 Taiyo Yuden Co Ltd 複合多層基板およびそれを用いたモジュール
JP3908146B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 半導体装置及び積層型半導体装置
US6905914B1 (en) 2002-11-08 2005-06-14 Amkor Technology, Inc. Wafer level package and fabrication method
US7091589B2 (en) 2002-12-11 2006-08-15 Dai Nippon Printing Co., Ltd. Multilayer wiring board and manufacture method thereof
US7105931B2 (en) 2003-01-07 2006-09-12 Abbas Ismail Attarwala Electronic package and method
US8704359B2 (en) 2003-04-01 2014-04-22 Ge Embedded Electronics Oy Method for manufacturing an electronic module and an electronic module
JP2004311788A (ja) 2003-04-08 2004-11-04 Matsushita Electric Ind Co Ltd シート状モジュールとその製造方法
JP2004335641A (ja) 2003-05-06 2004-11-25 Canon Inc 半導体素子内蔵基板の製造方法
EP1478021B1 (en) 2003-05-15 2008-07-16 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
US20060283716A1 (en) 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
CN1577819A (zh) 2003-07-09 2005-02-09 松下电器产业株式会社 带内置电子部件的电路板及其制造方法
US7271012B2 (en) 2003-07-15 2007-09-18 Control Systemation, Inc. Failure analysis methods and systems
EP2937897A3 (en) 2003-09-15 2016-03-23 Nuvotronics LLC Device package and methods for the fabrication and testing thereof
US7064069B2 (en) 2003-10-21 2006-06-20 Micron Technology, Inc. Substrate thinning including planarization
JP4081052B2 (ja) 2003-12-05 2008-04-23 三井金属鉱業株式会社 プリント配線基板の製造法
JP4271590B2 (ja) 2004-01-20 2009-06-03 新光電気工業株式会社 半導体装置及びその製造方法
US7309515B2 (en) 2004-02-04 2007-12-18 Industrial Technology Research Institute Method for fabricating an imprint mold structure
TWI256095B (en) 2004-03-11 2006-06-01 Siliconware Precision Industries Co Ltd Wafer level semiconductor package with build-up layer and process for fabricating the same
US20060000814A1 (en) 2004-06-30 2006-01-05 Bo Gu Laser-based method and system for processing targeted surface material and article produced thereby
US8571541B2 (en) 2004-07-15 2013-10-29 Avaya Inc. Proximity-based authorization
DE102004038852B4 (de) 2004-08-10 2006-06-29 Webasto Ag Spritzgießmaschine
KR100858309B1 (ko) 2004-09-01 2008-09-11 스미토모 긴조쿠 고잔 가부시키가이샤 2층 플렉시블 기판 및 그 제조 방법
TWI241007B (en) 2004-09-09 2005-10-01 Phoenix Prec Technology Corp Semiconductor device embedded structure and method for fabricating the same
TW200618705A (en) 2004-09-16 2006-06-01 Tdk Corp Multilayer substrate and manufacturing method thereof
US20060073234A1 (en) 2004-10-06 2006-04-06 Williams Michael E Concrete stamp and method of manufacture
JP4564342B2 (ja) 2004-11-24 2010-10-20 大日本印刷株式会社 多層配線基板およびその製造方法
TWI301660B (en) 2004-11-26 2008-10-01 Phoenix Prec Technology Corp Structure of embedding chip in substrate and method for fabricating the same
TWI245384B (en) 2004-12-10 2005-12-11 Phoenix Prec Technology Corp Package structure with embedded chip and method for fabricating the same
TWI245388B (en) 2005-01-06 2005-12-11 Phoenix Prec Technology Corp Three dimensional package structure of semiconductor chip embedded in substrate and method for fabricating the same
US7579224B2 (en) 2005-01-21 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a thin film semiconductor device
TWI260056B (en) 2005-02-01 2006-08-11 Phoenix Prec Technology Corp Module structure having an embedded chip
JP2006216713A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
JP2006216714A (ja) 2005-02-02 2006-08-17 Ibiden Co Ltd 多層プリント配線板
TWI283553B (en) 2005-04-21 2007-07-01 Ind Tech Res Inst Thermal enhanced low profile package structure and method for fabricating the same
US7919844B2 (en) 2005-05-26 2011-04-05 Aprolase Development Co., Llc Tier structure with tier frame having a feedthrough structure
US7215032B2 (en) 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
KR100714196B1 (ko) 2005-07-11 2007-05-02 삼성전기주식회사 전기소자를 내장한 인쇄회로기판 및 그 제조방법
TWI263313B (en) 2005-08-15 2006-10-01 Phoenix Prec Technology Corp Stack structure of semiconductor component embedded in supporting board
US20070042563A1 (en) 2005-08-19 2007-02-22 Honeywell International Inc. Single crystal based through the wafer connections technical field
US20070077865A1 (en) 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
KR100772639B1 (ko) 2005-10-18 2007-11-02 한국기계연구원 다이아몬드상 카본 박막을 이용한 미세 임프린트리소그래피용 스탬프 및 그 제조방법
CN100463128C (zh) 2005-11-25 2009-02-18 全懋精密科技股份有限公司 半导体芯片埋入基板的三维构装结构及其制作方法
CN100524717C (zh) 2005-11-25 2009-08-05 全懋精密科技股份有限公司 芯片内埋的模块化结构
KR100688701B1 (ko) 2005-12-14 2007-03-02 삼성전기주식회사 랜드리스 비아홀을 구비한 인쇄회로기판의 제조방법
KR101329931B1 (ko) 2006-04-25 2013-11-28 니혼도꾸슈도교 가부시키가이샤 배선기판
KR101037229B1 (ko) 2006-04-27 2011-05-25 스미토모 베이클리트 컴퍼니 리미티드 반도체 장치 및 반도체 장치의 제조 방법
KR20090031349A (ko) 2006-04-28 2009-03-25 폴리셋 컴파니, 인코퍼레이티드 재분배층 적용을 위한 실록산 에폭시 중합체
US8022552B2 (en) 2006-06-27 2011-09-20 Megica Corporation Integrated circuit and method for fabricating the same
KR100731112B1 (ko) 2006-07-24 2007-06-22 동부일렉트로닉스 주식회사 포토 레지스트를 제거하기 위한 cmp 슬러리
JP5252792B2 (ja) 2006-08-25 2013-07-31 日本ミクロコーティング株式会社 酸化物超伝導体用テープ基材の研磨方法並びに酸化物超伝導体及び酸化物超伝導体用基材
KR20080037296A (ko) 2006-10-25 2008-04-30 삼성전자주식회사 박막 트랜지스터 기판 및 그 제조방법
US7427562B2 (en) 2006-11-08 2008-09-23 Motorla, Inc. Method for fabricating closed vias in a printed circuit board
US20080136002A1 (en) 2006-12-07 2008-06-12 Advanced Chip Engineering Technology Inc. Multi-chips package and method of forming the same
US7915737B2 (en) 2006-12-15 2011-03-29 Sanyo Electric Co., Ltd. Packing board for electronic device, packing board manufacturing method, semiconductor module, semiconductor module manufacturing method, and mobile device
TWI330401B (en) 2006-12-25 2010-09-11 Unimicron Technology Corp Circuit board structure having embedded semiconductor component and fabrication method thereof
KR101030769B1 (ko) 2007-01-23 2011-04-27 삼성전자주식회사 스택 패키지 및 스택 패키징 방법
US20080173792A1 (en) 2007-01-23 2008-07-24 Advanced Chip Engineering Technology Inc. Image sensor module and the method of the same
CN100561696C (zh) 2007-03-01 2009-11-18 全懋精密科技股份有限公司 嵌埋半导体芯片的结构及其制法
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
JP2008277339A (ja) 2007-04-25 2008-11-13 Tdk Corp 電子部品およびその製造方法
US8710402B2 (en) 2007-06-01 2014-04-29 Electro Scientific Industries, Inc. Method of and apparatus for laser drilling holes with improved taper
US8143719B2 (en) 2007-06-07 2012-03-27 United Test And Assembly Center Ltd. Vented die and package
US8314343B2 (en) 2007-09-05 2012-11-20 Taiyo Yuden Co., Ltd. Multi-layer board incorporating electronic component and method for producing the same
EP2201600B1 (en) 2007-10-15 2019-01-02 IMEC vzw Method for producing through-substrate vias
US8476769B2 (en) 2007-10-17 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias and methods for forming the same
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
JP5280079B2 (ja) 2008-03-25 2013-09-04 新光電気工業株式会社 配線基板の製造方法
US8017451B2 (en) 2008-04-04 2011-09-13 The Charles Stark Draper Laboratory, Inc. Electronic modules and methods for forming the same
KR20090116168A (ko) 2008-05-06 2009-11-11 삼성전자주식회사 금속 배선 기판, 박막 트랜지스터 기판, 및 금속 배선의형성 방법
US7842542B2 (en) 2008-07-14 2010-11-30 Stats Chippac, Ltd. Embedded semiconductor die package and method of making the same using metal frame carrier
TWI573201B (zh) 2008-07-18 2017-03-01 聯測總部私人有限公司 封裝結構性元件
CN102149784B (zh) 2008-07-22 2014-03-05 圣戈班磨料磨具有限公司 包含聚集体的涂覆的磨料产品
US20100062287A1 (en) 2008-09-10 2010-03-11 Seagate Technology Llc Method of polishing amorphous/crystalline glass to achieve a low rq & wq
US8633420B2 (en) 2008-10-10 2014-01-21 Ipg Microsystems Llc Laser machining systems and methods with debris extraction
JP5246103B2 (ja) 2008-10-16 2013-07-24 大日本印刷株式会社 貫通電極基板の製造方法
US7982305B1 (en) 2008-10-20 2011-07-19 Maxim Integrated Products, Inc. Integrated circuit package including a three-dimensional fan-out / fan-in signal routing
JP5111342B2 (ja) 2008-12-01 2013-01-09 日本特殊陶業株式会社 配線基板
US8354304B2 (en) 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
US9064936B2 (en) 2008-12-12 2015-06-23 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US8592992B2 (en) 2011-12-14 2013-11-26 Stats Chippac, Ltd. Semiconductor device and method of forming vertical interconnect structure with conductive micro via array for 3-D Fo-WLCSP
KR20100067966A (ko) 2008-12-12 2010-06-22 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
EP2377375B1 (en) 2008-12-13 2016-01-27 M-Solv Limited Method and apparatus for laser machining relatively narrow and relatively wide structures
US7932608B2 (en) 2009-02-24 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via formed with a post passivation interconnect structure
KR101065744B1 (ko) 2009-02-27 2011-09-19 주식회사 티지솔라 요철구조가 형성된 기판을 이용한 태양전지의 제조방법
US8609512B2 (en) 2009-03-27 2013-12-17 Electro Scientific Industries, Inc. Method for laser singulation of chip scale packages on glass substrates
US7955942B2 (en) 2009-05-18 2011-06-07 Stats Chippac, Ltd. Semiconductor device and method of forming a 3D inductor from prefabricated pillar frame
CN101898405A (zh) 2009-05-27 2010-12-01 鸿富锦精密工业(深圳)有限公司 模具流道组合
TWI523720B (zh) 2009-05-28 2016-03-01 伊雷克托科學工業股份有限公司 應用於雷射處理工件中的特徵的聲光偏轉器及相關雷射處理方法
US20100307798A1 (en) 2009-06-03 2010-12-09 Izadian Jamal S Unified scalable high speed interconnects technologies
US20120128891A1 (en) 2009-07-29 2012-05-24 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film for nanoimprint
US8383457B2 (en) 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
TWI418272B (zh) 2009-08-25 2013-12-01 Samsung Electro Mech 處理核心基板之空腔的方法
TW201110285A (en) 2009-09-08 2011-03-16 Unimicron Technology Corp Package structure having embedded semiconductor element and method of forming the same
CN102473622B (zh) 2009-10-22 2013-10-16 日立化成株式会社 研磨剂、浓缩一液式研磨剂、二液式研磨剂以及基板研磨方法
US8772087B2 (en) 2009-10-22 2014-07-08 Infineon Technologies Ag Method and apparatus for semiconductor device fabrication using a reconstituted wafer
CN102230991B (zh) 2009-10-23 2013-01-09 鸿富锦精密工业(深圳)有限公司 光纤耦合连接器
JP5700241B2 (ja) 2009-11-09 2015-04-15 日立化成株式会社 多層配線基板及びその製造方法
EP2499686A2 (en) 2009-11-11 2012-09-19 Amprius, Inc. Intermediate layers for electrode fabrication
EP2339627A1 (en) 2009-12-24 2011-06-29 Imec Window interposed die packaging
US9196509B2 (en) 2010-02-16 2015-11-24 Deca Technologies Inc Semiconductor device and method of adaptive patterning for panelized packaging
US8822281B2 (en) 2010-02-23 2014-09-02 Stats Chippac, Ltd. Semiconductor device and method of forming TMV and TSV in WLCSP using same carrier
JP5904556B2 (ja) 2010-03-03 2016-04-13 ジョージア テック リサーチ コーポレイション 無機インターポーザ上のパッケージ貫通ビア(tpv)構造およびその製造方法
JP5871904B2 (ja) 2010-04-12 2016-03-01 イコニクス コーポレーションIkonics Corporation アブレシブエッチングおよびカッティングのためのフォトレジスト膜および方法
US8970006B2 (en) 2010-06-15 2015-03-03 Stmicroelectronics S.R.L. Vertical conductive connections in semiconductor substrates
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
KR102055459B1 (ko) 2010-08-02 2019-12-12 아토테크더치랜드게엠베하 기판 상에 솔더 성막 및 비용융 범프 구조들을 형성하는 방법
US9049808B2 (en) 2010-08-21 2015-06-02 Ibiden Co., Ltd. Printed wiring board and a method of manufacturing a printed wiring board
US8518746B2 (en) 2010-09-02 2013-08-27 Stats Chippac, Ltd. Semiconductor device and method of forming TSV semiconductor wafer with embedded semiconductor die
TWI434387B (zh) 2010-10-11 2014-04-11 Advanced Semiconductor Eng 具有穿導孔之半導體裝置及具有穿導孔之半導體裝置之封裝結構及其製造方法
TWI418269B (zh) 2010-12-14 2013-12-01 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
US8617990B2 (en) 2010-12-20 2013-12-31 Intel Corporation Reduced PTH pad for enabling core routing and substrate layer count reduction
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
JP5693977B2 (ja) 2011-01-11 2015-04-01 新光電気工業株式会社 配線基板及びその製造方法
WO2012122388A2 (en) 2011-03-08 2012-09-13 Georgia Tech Research Corporation Chip-last embedded interconnect structures and methods of making the same
JP2012195514A (ja) 2011-03-17 2012-10-11 Seiko Epson Corp 素子付き基板、赤外線センサー、および貫通電極形成方法
US20120261805A1 (en) 2011-04-14 2012-10-18 Georgia Tech Research Corporation Through package via structures in panel-based silicon substrates and methods of making the same
WO2013008415A1 (ja) 2011-07-08 2013-01-17 パナソニック株式会社 配線基板および立体配線基板の製造方法
JP2013074178A (ja) 2011-09-28 2013-04-22 Ngk Spark Plug Co Ltd 部品内蔵配線基板の製造方法
US9224674B2 (en) 2011-12-15 2015-12-29 Intel Corporation Packaged semiconductor die with bumpless die-package interface for bumpless build-up layer (BBUL) packages
US8772058B2 (en) 2012-02-02 2014-07-08 Harris Corporation Method for making a redistributed wafer using transferrable redistribution layers
EP2817819A4 (en) 2012-02-26 2015-09-02 Solexel Inc SYSTEMS AND METHOD FOR LASER DISTRIBUTION AND DEVICE LAYER TRANSMISSION
US8698293B2 (en) 2012-05-25 2014-04-15 Infineon Technologies Ag Multi-chip package and method of manufacturing thereof
JP5981232B2 (ja) 2012-06-06 2016-08-31 新光電気工業株式会社 半導体パッケージ、半導体装置及び半導体パッケージの製造方法
JP6029342B2 (ja) 2012-06-15 2016-11-24 新光電気工業株式会社 配線基板及びその製造方法
DE102012210472A1 (de) 2012-06-21 2013-12-24 Robert Bosch Gmbh Verfahren zum Herstellen eines Bauelements mit einer elektrischen Durchkontaktierung
CN103635017B (zh) 2012-08-24 2016-12-28 碁鼎科技秦皇岛有限公司 电路板及其制作方法
US8890628B2 (en) 2012-08-31 2014-11-18 Intel Corporation Ultra slim RF package for ultrabooks and smart phones
US9385102B2 (en) 2012-09-28 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming supporting layer over semiconductor die in thin fan-out wafer level chip scale package
KR20150056633A (ko) 2012-09-28 2015-05-26 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 개량된 미세연마 방법
CN102890591B (zh) 2012-09-28 2016-03-09 北京京东方光电科技有限公司 一种触摸屏、触控显示装置及触摸屏的制造方法
US20140103499A1 (en) 2012-10-11 2014-04-17 International Business Machines Corporation Advanced handler wafer bonding and debonding
KR101301507B1 (ko) 2012-11-26 2013-09-04 (주)씨엠코리아 반도체 제조장치용 히터 제조방법 및 그에 따라 제조된 히터
KR102072846B1 (ko) 2012-12-18 2020-02-03 에스케이하이닉스 주식회사 임베디드 패키지 및 제조 방법
KR20140083657A (ko) 2012-12-26 2014-07-04 하나 마이크론(주) 인터포저가 임베디드 되는 전자 모듈 및 그 제조방법
KR101441632B1 (ko) 2012-12-28 2014-09-23 (재)한국나노기술원 글라스 기반 프로브 카드용 스페이스 트랜스포머의 제조방법 및 이에 의해 제조된 글라스 기반 프로브 카드용 스페이스 트랜스포머
US20150351241A1 (en) 2013-01-07 2015-12-03 A.L.M.T. Corp. Ceramic Wiring Substrate, Semiconductor Device, And Method For Manufacturing Ceramic Wiring Substrate
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US9704809B2 (en) 2013-03-05 2017-07-11 Maxim Integrated Products, Inc. Fan-out and heterogeneous packaging of electronic components
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
KR101494413B1 (ko) 2013-05-29 2015-02-17 주식회사 네패스 지지프레임 및 이를 이용한 반도체패키지 제조방법
US20140353019A1 (en) 2013-05-30 2014-12-04 Deepak ARORA Formation of dielectric with smooth surface
JP6214930B2 (ja) * 2013-05-31 2017-10-18 スナップトラック・インコーポレーテッド 多層配線基板
US9685414B2 (en) 2013-06-26 2017-06-20 Intel Corporation Package assembly for embedded die and associated techniques and configurations
US8980691B2 (en) 2013-06-28 2015-03-17 Stats Chippac, Ltd. Semiconductor device and method of forming low profile 3D fan-out package
KR102101377B1 (ko) 2013-06-29 2020-04-16 인텔 코포레이션 비아들과 조합되는 미세 피치 후면측 금속 재분포 라인들을 포함하는 상호접속 구조
US8952544B2 (en) 2013-07-03 2015-02-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10446335B2 (en) 2013-08-08 2019-10-15 Zhuhai Access Semiconductor Co., Ltd. Polymer frame for a chip, such that the frame comprises at least one via in series with a capacitor
US9209151B2 (en) * 2013-09-26 2015-12-08 General Electric Company Embedded semiconductor device package and method of manufacturing thereof
US9530752B2 (en) 2013-11-11 2016-12-27 Infineon Technologies Ag Method for forming electronic components
US20160270242A1 (en) 2013-11-14 2016-09-15 Amogreentech Co., Ltd. Flexible printed circuit board and method for manufacturing same
US9159678B2 (en) 2013-11-18 2015-10-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US10014292B2 (en) 2015-03-09 2018-07-03 Monolithic 3D Inc. 3D semiconductor device and structure
US9355881B2 (en) 2014-02-18 2016-05-31 Infineon Technologies Ag Semiconductor device including a dielectric material
WO2015126438A1 (en) 2014-02-20 2015-08-27 Applied Materials, Inc. Laser ablation platform for solar cells
US9735134B2 (en) 2014-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with through-vias having tapered ends
EP3117456B1 (en) 2014-03-12 2022-05-11 Intel Corporation Microelectronic package having a passive microelectronic device disposed within a package body and its manufacturing method
US9499397B2 (en) 2014-03-31 2016-11-22 Freescale Semiconductor, Inc. Microelectronic packages having axially-partitioned hermetic cavities and methods for the fabrication thereof
US9326373B2 (en) 2014-04-09 2016-04-26 Finisar Corporation Aluminum nitride substrate
US10074631B2 (en) 2014-04-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Packages and packaging methods for semiconductor devices, and packaged semiconductor devices
US9589786B2 (en) 2014-04-28 2017-03-07 National Center For Advanced Packaging Co., Ltd Method for polishing a polymer surface
WO2015171118A1 (en) 2014-05-06 2015-11-12 Intel Corporation Multi-layer package with integrated antenna
US10256180B2 (en) 2014-06-24 2019-04-09 Ibis Innotech Inc. Package structure and manufacturing method of package structure
US9396999B2 (en) 2014-07-01 2016-07-19 Freescale Semiconductor, Inc. Wafer level packaging method
CN105336670B (zh) 2014-07-14 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP6394136B2 (ja) 2014-07-14 2018-09-26 凸版印刷株式会社 パッケージ基板およびその製造方法
JP6324876B2 (ja) 2014-07-16 2018-05-16 新光電気工業株式会社 配線基板、半導体装置及び配線基板の製造方法
KR20160013706A (ko) 2014-07-28 2016-02-05 삼성전기주식회사 인쇄회로기판 및 인쇄회로기판의 제조 방법
CN105436718A (zh) 2014-08-26 2016-03-30 安捷利电子科技(苏州)有限公司 一种uv激光钻孔制备具有可控锥度盲孔的方法
BR112017003175A2 (pt) 2014-09-18 2017-11-28 Intel Corp pacote de múltiplas matrizes e método para formar um pacote de múltiplas matrizes
KR102268386B1 (ko) 2014-09-30 2021-06-23 삼성전기주식회사 회로기판
KR20160048277A (ko) 2014-10-23 2016-05-04 에스케이하이닉스 주식회사 칩 내장 패키지 및 그 제조방법
US9554469B2 (en) 2014-12-05 2017-01-24 Zhuhai Advanced Chip Carriers & Electronic Substrate Solutions Technologies Co. Ltd. Method of fabricating a polymer frame with a rectangular array of cavities
US9318376B1 (en) 2014-12-15 2016-04-19 Freescale Semiconductor, Inc. Through substrate via with diffused conductive component
US10269722B2 (en) 2014-12-15 2019-04-23 Bridge Semiconductor Corp. Wiring board having component integrated with leadframe and method of making the same
US20160329272A1 (en) 2014-12-19 2016-11-10 Intel IP Corporation Stacked semiconductor device package with improved interconnect bandwidth
US9754849B2 (en) 2014-12-23 2017-09-05 Intel Corporation Organic-inorganic hybrid structure for integrated circuit packages
US20160329299A1 (en) 2015-05-05 2016-11-10 Mediatek Inc. Fan-out package structure including antenna
US9842789B2 (en) 2015-05-11 2017-12-12 Samsung Electro-Mechanics Co., Ltd. Electronic component package and method of manufacturing the same
US10109588B2 (en) 2015-05-15 2018-10-23 Samsung Electro-Mechanics Co., Ltd. Electronic component package and package-on-package structure including the same
US9837484B2 (en) 2015-05-27 2017-12-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming substrate including embedded component with symmetrical structure
US9978720B2 (en) 2015-07-06 2018-05-22 Infineon Technologies Ag Insulated die
US20190189561A1 (en) * 2015-07-15 2019-06-20 Chip Solutions, LLC Semiconductor device and method with multiple redistribution layer and fine line capability
US10636753B2 (en) 2015-07-29 2020-04-28 STATS ChipPAC Pte. Ltd. Antenna in embedded wafer-level ball-grid array package
CN105023900A (zh) 2015-08-11 2015-11-04 华天科技(昆山)电子有限公司 埋入硅基板扇出型封装结构及其制造方法
US9601461B2 (en) 2015-08-12 2017-03-21 Semtech Corporation Semiconductor device and method of forming inverted pyramid cavity semiconductor package
JP6542616B2 (ja) 2015-08-27 2019-07-10 古河電気工業株式会社 部品内蔵配線基板の製造方法、部品内蔵配線基板および電子部品固定用テープ
JP2017050315A (ja) 2015-08-31 2017-03-09 イビデン株式会社 プリント配線板及びプリント配線板の製造方法
US9761571B2 (en) 2015-09-17 2017-09-12 Deca Technologies Inc. Thermally enhanced fully molded fan-out module
WO2017052633A1 (en) 2015-09-25 2017-03-30 Vivek Raghunathan Thin electronic package elements using laser spallation
US9837352B2 (en) 2015-10-07 2017-12-05 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
WO2017074390A1 (en) 2015-10-29 2017-05-04 Intel Corporation Alternative surfaces for conductive pad layers of silicon bridges for semiconductor packages
TW201717343A (zh) 2015-11-04 2017-05-16 華亞科技股份有限公司 封裝上封裝構件及其製作方法
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
JP6626697B2 (ja) 2015-11-24 2019-12-25 京セラ株式会社 配線基板およびその製造方法
US9660037B1 (en) 2015-12-15 2017-05-23 Infineon Technologies Austria Ag Semiconductor wafer and method
DE112015007213B4 (de) 2015-12-22 2021-08-19 Intel Corporation Halbleiter-package mit durchgangsbrücken-die-verbindungen und verfahren zum herstellen eines halbleiter-package
US9875970B2 (en) 2016-04-25 2018-01-23 Samsung Electro-Mechanics Co., Ltd. Fan-out semiconductor package
US10553515B2 (en) 2016-04-28 2020-02-04 Intel Corporation Integrated circuit structures with extended conductive pathways
US9859258B2 (en) 2016-05-17 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10615191B2 (en) 2016-05-20 2020-04-07 Ares Materials Inc. Polymer substrate for flexible electronics microfabrication and methods of use
US10043740B2 (en) 2016-07-12 2018-08-07 Intel Coporation Package with passivated interconnects
US11156788B2 (en) 2016-07-14 2021-10-26 Intel Corporation Semiconductor package with embedded optical die
US9748167B1 (en) 2016-07-25 2017-08-29 United Microelectronics Corp. Silicon interposer, semiconductor package using the same, and fabrication method thereof
US10037975B2 (en) 2016-08-31 2018-07-31 Advanced Semiconductor Engineering, Inc. Semiconductor device package and a method of manufacturing the same
KR102566996B1 (ko) 2016-09-09 2023-08-14 삼성전자주식회사 FOWLP 형태의 반도체 패키지 및 이를 가지는 PoP 형태의 반도체 패키지
US9887167B1 (en) 2016-09-19 2018-02-06 Advanced Semiconductor Engineering, Inc. Embedded component package structure and method of manufacturing the same
KR102012443B1 (ko) 2016-09-21 2019-08-20 삼성전자주식회사 팬-아웃 반도체 패키지
JP2018073890A (ja) 2016-10-25 2018-05-10 イビデン株式会社 プリント配線板およびプリント配線板の製造方法
CN106531647B (zh) 2016-12-29 2019-08-09 华进半导体封装先导技术研发中心有限公司 一种扇出型芯片的封装结构及其封装方法
WO2018125184A1 (en) 2016-12-30 2018-07-05 Intel Corporation Package substrate with high-density interconnect layer having pillar and via connections for fan out scaling
KR102561987B1 (ko) 2017-01-11 2023-07-31 삼성전기주식회사 반도체 패키지와 그 제조 방법
KR102019353B1 (ko) 2017-04-07 2019-09-09 삼성전자주식회사 팬-아웃 센서 패키지 및 이를 포함하는 광학방식 지문센서 모듈
JP6827663B2 (ja) 2017-04-24 2021-02-10 株式会社荏原製作所 基板の研磨装置
TWI645519B (zh) 2017-06-02 2018-12-21 旭德科技股份有限公司 元件內埋式封裝載板及其製作方法
US10304765B2 (en) 2017-06-08 2019-05-28 Advanced Semiconductor Engineering, Inc. Semiconductor device package
US10163803B1 (en) 2017-06-20 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10211072B2 (en) 2017-06-23 2019-02-19 Applied Materials, Inc. Method of reconstituted substrate formation for advanced packaging applications
JP6885800B2 (ja) 2017-06-26 2021-06-16 京セラ株式会社 配線基板およびその製造方法
TW201909245A (zh) 2017-07-24 2019-03-01 美商康寧公司 精密結構玻璃物件、積體電路封裝、光學元件、微流體元件及其製造方法
US10410971B2 (en) 2017-08-29 2019-09-10 Qualcomm Incorporated Thermal and electromagnetic interference shielding for die embedded in package substrate
US10515912B2 (en) 2017-09-24 2019-12-24 Intel Corporation Integrated circuit packages
US10269773B1 (en) 2017-09-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
WO2019066988A1 (en) 2017-09-30 2019-04-04 Intel Corporation INTEGRATED PCB / HOUSING STACK FOR DOUBLE-SIDED INTERCONNECTION
KR101892869B1 (ko) 2017-10-20 2018-08-28 삼성전기주식회사 팬-아웃 반도체 패키지
KR101922884B1 (ko) 2017-10-26 2018-11-28 삼성전기 주식회사 팬-아웃 반도체 패키지
KR101963292B1 (ko) 2017-10-31 2019-03-28 삼성전기주식회사 팬-아웃 반도체 패키지
US10515827B2 (en) 2017-10-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package with recessed interposer substrate
US10468339B2 (en) 2018-01-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Heterogeneous fan-out structure and method of manufacture
US10388631B1 (en) 2018-01-29 2019-08-20 Globalfoundries Inc. 3D IC package with RDL interposer and related method
TWI791769B (zh) 2018-02-27 2023-02-11 日商迪愛生股份有限公司 電子零件封裝及其製造方法
CN111868920A (zh) 2018-03-15 2020-10-30 应用材料公司 用于半导体器件封装制造工艺的平坦化
US10948818B2 (en) 2018-03-19 2021-03-16 Applied Materials, Inc. Methods and apparatus for creating a large area imprint without a seam
US11178772B2 (en) 2018-03-29 2021-11-16 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Component carrier connected with a separate tilted component carrier for short electric connection
US11063007B2 (en) 2018-05-21 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10955606B2 (en) 2018-05-30 2021-03-23 Applied Materials, Inc. Method of imprinting tilt angle light gratings
US10424530B1 (en) 2018-06-21 2019-09-24 Intel Corporation Electrical interconnections with improved compliance due to stress relaxation and method of making
US10705268B2 (en) 2018-06-29 2020-07-07 Applied Materials, Inc. Gap fill of imprinted structure with spin coated high refractive index material for optical components
IT201900006740A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023140610A1 (ko) * 2022-01-19 2023-07-27 삼성전자 주식회사 인터포저를 포함하는 전자 장치 및 그 제조방법
TWI819623B (zh) * 2022-05-24 2023-10-21 友威科技股份有限公司 電漿製程系統的載體吸附機構

Also Published As

Publication number Publication date
TW202201684A (zh) 2022-01-01
US20220139884A1 (en) 2022-05-05
US11742330B2 (en) 2023-08-29
US11257790B2 (en) 2022-02-22
CN113382535A (zh) 2021-09-10
US20210288027A1 (en) 2021-09-16
US20240021582A1 (en) 2024-01-18

Similar Documents

Publication Publication Date Title
US11715700B2 (en) Reconstituted substrate structure and fabrication methods for heterogeneous packaging integration
KR102625123B1 (ko) 반도체 디바이스 어셈블리
US11742330B2 (en) High connectivity device stacking
US11521937B2 (en) Package structures with built-in EMI shielding
US20230148220A1 (en) Semiconductor device packages
TWI834012B (zh) 封裝核心組件及製造方法
US20230070053A1 (en) Stiffener frame for semiconductor device packages

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal