KR20210110855A - 적층된 삼차원 이종 메모리 디바이스 및 그 형성 방법 - Google Patents

적층된 삼차원 이종 메모리 디바이스 및 그 형성 방법 Download PDF

Info

Publication number
KR20210110855A
KR20210110855A KR1020217024293A KR20217024293A KR20210110855A KR 20210110855 A KR20210110855 A KR 20210110855A KR 1020217024293 A KR1020217024293 A KR 1020217024293A KR 20217024293 A KR20217024293 A KR 20217024293A KR 20210110855 A KR20210110855 A KR 20210110855A
Authority
KR
South Korea
Prior art keywords
bonding
layer
semiconductor structure
array
forming
Prior art date
Application number
KR1020217024293A
Other languages
English (en)
Other versions
KR102587642B1 (ko
Inventor
준 리우
Original Assignee
양쯔 메모리 테크놀로지스 씨오., 엘티디.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 양쯔 메모리 테크놀로지스 씨오., 엘티디. filed Critical 양쯔 메모리 테크놀로지스 씨오., 엘티디.
Publication of KR20210110855A publication Critical patent/KR20210110855A/ko
Application granted granted Critical
Publication of KR102587642B1 publication Critical patent/KR102587642B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/005Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor comprising combined but independently operative RAM-ROM, RAM-PROM, RAM-EPROM cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • H01L27/108
    • H01L27/11
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05657Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/32146Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the layer connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1437Static random-access memory [SRAM]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Abstract

삼차원(3D) 메모리 디바이스 및 그 제조 방법의 실시형태가 개시된다. 한 예에서, 3D 메모리 디바이스는 NAND 메모리 셀 및 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함한다. 3D 메모리 디바이스는, DRAM 셀 및 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체를 또한 포함한다. 3D 메모리 디바이스는, SRAM 셀, 제3 본딩 컨택트를 포함하는 제3 본딩 층, 및 제4 본딩 컨택트를 포함하는 제4 본딩 층을 포함하는 제3 반도체 구조체를 또한 포함한다. 제3 및 제4 본딩 층은 SRAM 셀의 양쪽 면 상에 있다. 반도체 디바이스는 제1 본딩 층과 제3 본딩 층 사이에서 제1 본딩 계면을 더 포함한다. 제1 본딩 컨택트는 제1 본딩 계면에서 제3 본딩 컨택트와 접촉한다. 3D 메모리 디바이스는 제2 본딩 층과 제4 본딩 층 사이에서 제2 본딩 계면을 더 포함한다. 제2 본딩 컨택트는 제2 본딩 계면에서 제4 본딩 컨택트와 접촉한다.

Description

적층된 삼차원 이종 메모리 디바이스 및 그 형성 방법
본 출원은 2019년 4월 15일자로 출원된 발명의 명칭이 "INTEGRATION OF THREE-DIMENSIONAL NAND MEMORY DEVICES WITH MULTIPLE FUNCTIONAL CHIPS"인 국제 출원 번호 제PCT/CN2019/082607호에 대한 우선권의 이익을 주장하는데, 이 국제 출원은 그 전체가 참조로서 본 명세서에 통합된다.
본 개시의 실시형태는 삼차원(three-dimensional; 3D) 메모리 디바이스 및 그 제조 방법에 관한 것이다.
평면 메모리 셀은 프로세스 기술, 회로 설계, 프로그래밍 알고리즘, 및 제조 프로세스를 향상시킴으로써 더 작은 크기로 축소된다. 그러나, 메모리 셀의 피쳐 사이즈가 하한에 가까워짐에 따라, 평면 프로세스 및 제조 기술은 어렵게 되고 비용이 많이 들게 된다. 결과적으로, 평면 메모리 셀에 대한 메모리 밀도는 상한에 가까워진다.
이 평면 메모리 셀에서의 밀도 제한은 3D 메모리 아키텍쳐를 통해서 해결될 수 있다. 3D 메모리 아키텍쳐는 메모리 어레이 및 메모리 어레이로의 그리고 그로부터의 신호를 제어하기 위한 주변장치 디바이스(peripheral device)를 포함한다.
본 명세서에서는 3D 메모리 디바이스 및 그 제조 방법의 실시형태가 개시된다.
하나의 예에서, 3D 메모리 디바이스는 NAND 메모리 셀의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체를 포함한다. 3D 메모리 디바이스는, DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체를 또한 포함한다. 3D 메모리 디바이스는, 정적 랜덤 액세스 메모리(static random-access memory; SRAM) 셀의 어레이, 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층, 및 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층을 포함하는 제3 반도체 구조체를 또한 포함한다. 제3 본딩 층 및 제4 본딩 층은 SRAM 셀의 어레이의 양쪽 면(both sides) 상에 있다. 3D 메모리 디바이스는 제1 본딩 층과 제3 본딩 층 사이에서 제1 본딩 계면을 더 포함한다. 제1 본딩 컨택트는 제1 본딩 계면에서 제3 본딩 컨택트와 접촉한다. 3D 메모리 디바이스는 제2 본딩 층과 제4 본딩 층 사이에서 제2 본딩 계면을 더 포함한다. 제2 본딩 컨택트는 제2 본딩 계면에서 제4 본딩 컨택트와 접촉한다.
다른 예에서, 3D 메모리 디바이스는 SRAM 셀의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체를 포함한다. 3D 메모리 디바이스는, DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체를 또한 포함한다. 3D 메모리 디바이스는, NAND 메모리 셀의 어레이, 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층, 및 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층을 포함하는 제3 반도체 구조체를 또한 포함한다. 제3 본딩 층 및 제4 본딩 층은 NAND 메모리 셀의 어레이의 양쪽 면 상에 있다. 3D 메모리 디바이스는 제1 본딩 층과 제3 본딩 층 사이에서 제1 본딩 계면을 더 포함한다. 제1 본딩 컨택트는 제1 본딩 계면에서 제3 본딩 컨택트와 접촉한다. 3D 메모리 디바이스는 제2 본딩 층과 제4 본딩 층 사이에서 제2 본딩 계면을 더 포함한다. 제2 본딩 컨택트는 제2 본딩 계면에서 제4 본딩 컨택트와 접촉한다.
여전히 다른 예에서, 3D 메모리 디바이스를 형성하기 위한 방법이 개시된다. NAND 메모리 셀의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체가 형성된다. DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체가 형성된다. SRAM 셀의 어레이 및 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층을 포함하는 제3 반도체 구조체가 형성된다. 제1 및 제2 반도체 구조체 중 하나와 제3 반도체 구조체는 전면 대 전면(face-to-face) 방식으로 본딩되어, 제1 및 제2 본딩 층 중 하나와 제3 본딩 층 사이에서 제1 본딩 계면을 갖는 본딩된 구조체를 형성한다. 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층이 제3 반도체 구조체에서 형성된다. 제3 본딩 층 및 제4 본딩 층은 SRAM 셀의 어레이의 양쪽 면 상에 있다. 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체는 전면 대 전면 방식으로 본딩되어 제1 및 제2 본딩 층 중 다른 하나와 제4 본딩 층 사이에서 제2 본딩 계면을 형성한다.
여전히 다른 예에서, 3D 메모리 디바이스를 형성하기 위한 방법이 개시된다. SRAM 셀의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체가 형성된다. DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체가 형성된다. NAND 메모리 셀의 어레이 및 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층을 포함하는 제3 반도체 구조체가 형성된다. 제1 및 제2 반도체 구조체 중 하나와 제3 반도체 구조체는 전면 대 전면 방식으로 본딩되어, 제1 및 제2 본딩 층 중 하나와 제3 본딩 층 사이에서 제1 본딩 계면을 갖는 본딩된 구조체를 형성한다. 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층이 제3 반도체 구조체에서 형성된다. 제3 본딩 층 및 제4 본딩 층은 NAND 메모리 셀의 어레이의 양쪽 면 상에 있다. 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체는 전면 대 전면 방식으로 본딩되어 제1 및 제2 본딩 층 중 다른 하나와 제4 본딩 층 사이에서 제2 본딩 계면을 형성한다.
본 명세서에서 통합되며 본 명세서의 일부를 형성하는 첨부의 도면은 본 개시의 실시형태를 예시하고, 설명과 함께, 본 개시의 원리를 설명하도록 그리고 관련 기술에서의 숙련된 자가 본 개시를 만들고 사용하는 것을 가능하게 하도록 또한 기능한다.
도 1은, 몇몇 실시형태에 따른, 이종 메모리(heterogeneous memory)를 갖는 예시적인 3D 메모리 디바이스의 단면의 개략도를 예시한다.
도 2는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 다른 예시적인 3D 메모리 디바이스의 단면의 개략도를 예시한다.
도 3은, 몇몇 실시형태에 따른, 이종 메모리를 갖는 여전히 다른 예시적인 3D 메모리 디바이스의 단면의 개략도를 예시한다.
도 4는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 또 다른 예시적인 3D 메모리 디바이스의 단면의 개략도를 예시한다.
도 5a는, 몇몇 실시형태에 따른, SRAM을 갖는 예시적인 반도체 구조체의 개략적인 평면도를 예시한다.
도 5b는, 몇몇 실시형태에 따른, NAND 메모리 및 주변장치 회로(peripheral circuit)를 갖는 예시적인 반도체 구조체의 개략적인 평면도를 예시한다.
도 5c는, 몇몇 실시형태에 따른, DRAM 및 주변장치 회로를 갖는 예시적인 반도체 구조체의 개략적인 평면도를 예시한다.
도 6a는, 몇몇 실시형태에 따른, SRAM 및 주변장치 회로를 갖는 예시적인 반도체 구조체의 개략적인 평면도를 예시한다.
도 6b는, 몇몇 실시형태에 따른, NAND 메모리를 갖는 예시적인 반도체 구조체의 개략적인 평면도를 예시한다.
도 6c는, 몇몇 실시형태에 따른, DRAM을 갖는 예시적인 반도체 구조체의 개략적인 평면도를 예시한다.
도 7a는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 예시적인 3D 메모리 디바이스의 단면을 예시한다.
도 7b는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 다른 예시적인 3D 메모리 디바이스의 단면을 예시한다.
도 8a 및 도 8b는, 몇몇 실시형태에 따른, SRAM 및 주변장치 회로를 갖는 예시적인 반도체 구조체를 형성하기 위한 제조 프로세스를 예시한다.
도 9a 및 도 9b는, 몇몇 실시형태에 따른, 3D NAND 메모리 스트링을 갖는 예시적인 반도체 구조체를 형성하기 위한 제조 프로세스를 예시한다.
도 10a 내지 도 10c는, 몇몇 실시형태에 따른, DRAM 셀을 갖는 예시적인 반도체 구조체를 형성하기 위한 제조 프로세스를 예시한다.
도 11a 및 도 11b는, 몇몇 실시형태에 따른, 예시적인 본딩된 구조체를 형성하기 위한 제조 프로세스를 예시한다.
도 12a 및 도 12b는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 예시적인 3D 메모리 디바이스에 대한 제조 프로세스를 예시한다.
도 13은, 몇몇 실시형태에 따른, 다중 스택 DRAM 셀을 갖는 예시적인 반도체 구조체의 단면을 예시한다.
도 14는, 몇몇 실시형태에 따른, 2D NAND 메모리 셀을 갖는 예시적인 반도체 구조체의 단면을 예시한다.
도 15a는, 몇몇 실시형태에 따른, NAND 메모리 및 주변장치 회로를 갖는 예시적인 반도체 구조체의 단면을 예시한다.
도 15b는, 몇몇 실시형태에 따른, NAND 메모리 및 주변장치 회로를 갖는 다른 예시적인 반도체 구조체의 단면을 예시한다.
도 16a 및 도 16b는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 3D 메모리 디바이스를 형성하기 위한 예시적인 방법의 플로우차트를 예시한다.
첨부의 도면을 참조하여 본 개시의 실시형태가 설명될 것이다.
특정한 구성 및 배열이 논의되지만, 이것은 단지 예시만을 위해 행해진 것이라는 것을 이해해야 한다. 관련 기술에서의 숙련된 자는 본 개시의 취지 및 범위를 벗어나지 않으면서 다른 구성 및 배열이 사용될 수 있다는 것을 인식할 것이다. 본 개시는 다양한 다른 애플리케이션에서도 또한 활용될 수 있다는 것이 관련 기술에서의 숙련된 자에게는 명백할 것이다.
본 명세서에서의 "하나의 실시형태", "한 실시형태", "예시적인 실시형태", "몇몇 실시형태", 등등에 대한 언급은, 설명되는 실시형태가 특정한 피쳐, 구조체, 또는 특성을 포함할 수 있지만, 그러나 모든 실시형태가 그 특정한 피쳐, 구조체, 또는 특성을 반드시 포함하지 않을 수 있음을 나타낸다는 것을 유의한다. 또한, 그러한 문구는 반드시 동일한 실시형태를 가리키는 것은 아니다. 게다가, 특정한 피쳐, 구조체 또는 특성이 실시형태와 관련하여 설명될 때, 명시적으로 설명되든 또는 그렇지 않든 간에, 다른 실시형태와 관련하여 그러한 피쳐, 구조체 또는 특성을 실행하는 것은 관련 기술에서의 숙련된 자의 지식 내에 있을 것이다.
일반적으로, 전문 용어는 문맥에서의 사용으로부터 적어도 부분적으로 이해될 수 있다. 예를 들면, 본 명세서에서 사용되는 바와 같은 용어 "하나 이상"은, 적어도 부분적으로 문맥에 따라, 임의의 피쳐, 구조체 또는 특성을 단수의 의미로 설명하기 위해 사용될 수 있거나 또는 피쳐, 구조체 또는 특성의 조합을 복수의 의미로 설명하기 위해 사용될 수 있다. 유사하게, "a(한)", "an(한)" 또는 "the(그)"와 같은 용어는, 다시, 적어도 부분적으로 문맥에 따라, 단수의 용법을 전달하는 것으로 또는 복수 용법을 전달하는 것으로 이해될 수 있다. 또한, 용어 "에 기초한(based on)"은, 요인의 배타적인 세트를 전달하도록 반드시 의도되는 것은 아닌 것으로 이해될 수 있으며, 대신, 다시, 적어도 부분적으로 문맥에 따라, 반드시 명시적으로 설명되지는 않는 추가적인 요인의 존재를 허용할 수 있다.
본 개시에서 "상에(on)", "위에(above)" 및 "위에(over)"의 의미는, "상에(on)"가 어떤 것 "바로 상에(directly on)"를 의미할 뿐만 아니라, 또한, 사이에서 중간 피쳐 또는 층을 갖는 어떤 것 "상에(on)"의 의미를 포함하도록, 그리고 "위에(above)" 또는 "위에(over)"가 어떤 것의 "위에(above)" 또는 "위에(over)"의 의미를 의미할 뿐만 아니라, 또한, 사이에서 어떠한 중간 피쳐 또는 층도 없이 어떤 것 "위에(above)" 또는 "위에(over)" 있다는(즉, 어떤 것 바로 상에 있다는) 의미를 포함할 수 있도록, 최광의의 방식으로 해석되어야 한다는 것이 쉽게 이해되어야 한다.
게다가, 도면에서 예시되는 바와 같은 다른 엘리먼트(들) 또는 피쳐(들)에 대한 하나의 엘리먼트 또는 피쳐의 관계를 설명하는 설명의 용이성을 위해, "밑에(beneath)", "아래에(below)", "하부의(lower)", "위에(above)", "상부의(upper)" 및 등등과 같은 공간적으로 상대적인 용어가 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어는, 도면에서 묘사되는 방위 외에, 사용 또는 동작에서 디바이스의 상이한 방위를 포괄하도록 의도된다. 장치는 다르게 배향될 수 있고(90 도 회전될 수 있거나 또는 다른 방위에 있을 수 있고), 본 명세서에서 사용되는 공간적으로 상대적인 서술어(descriptor)는 마찬가지로 그에 따라 해석될 수 있다.
본 명세서에서 사용될 때, 용어 "기판"은 후속하는 재료 층이 그 상에 추가되는 재료를 지칭한다. 기판 그 자체는 패턴화될 수 있다. 기판의 상단(top) 상에 추가되는 재료는 패턴화될 수 있거나 또는 패턴화되지 않은 상태로 남을 수 있다. 더구나, 기판은 실리콘, 게르마늄, 갈륨 비화물(gallium arsenide), 인듐 인화물(indium phosphide), 등등과 같은 다수의 반도체 재료를 포함할 수 있다. 대안적으로, 기판은 유리, 플라스틱, 또는 사파이어 웨이퍼와 같은 전기적으로 비전도성인 재료로 제조될 수 있다.
본 명세서에서 사용될 때, 용어 "층"은 두께를 갖는 영역을 포함하는 재료 부분을 가리킨다. 층은 아래에 있는 또는 위에 놓이는 구조체의 전체에 걸쳐 연장될 수 있거나 또는 아래에 있는 또는 위에 놓이는 구조체의 범위보다 더 작은 범위를 가질 수 있다. 게다가, 층은 연속 구조체의 두께보다 더 작은 두께를 갖는 균질한 또는 불균질한 연속 구조체의 영역일 수 있다. 예를 들면, 층은, 연속 구조체의 상단 표면(top surface)과 하단 표면(bottom surface) 사이의, 또는 상단 표면과 하단 표면에 있는 수평 평면의 임의의 쌍 사이에서 위치될 수 있다. 층은 수평으로, 수직으로, 및/또는 점점 가늘어지는(tapered) 표면을 따라 연장될 수 있다. 기판은 층일 수 있고, 내부에 하나 이상의 층을 포함할 수 있고, 및/또는 그 상에, 그 위에, 및/또는 그 아래에 하나 이상의 층을 가질 수 있다. 층은 다수의 층을 포함할 수 있다. 예를 들면, 상호 접속 층(interconnect layer)은 (상호 접속 라인(interconnect line) 및/또는 비아 컨택트가 형성되는) 하나 이상의 전도체 및 컨택트 층 및 하나 이상의 유전체 층을 포함할 수 있다.
본 명세서에서 사용될 때, 용어 "명목상의(nominal)/명목상으로(nominally)"는, 소망되는 값을 초과하는 및/또는 소망되는 값 미만의 값의 범위와 함께, 프로세스 또는 제품의 설계 국면 동안 설정되는, 컴포넌트 또는 프로세스 동작에 대한 특성 또는 파라미터의 소망되는 값, 또는 목표 값을 가리킨다. 값의 범위는 제조 프로세스에서의 약간의 변동 또는 공차에 기인할 수 있다. 본 명세서에서 사용될 때, 용어 "약(about)"은, 대상 반도체 디바이스와 관련되는 특정한 기술 노드에 기초하여 변할 수 있는 주어진 양의 값을 나타낸다. 특정한 기술 노드에 기초하여, 용어 "약"은, 예를 들면, 값의 10-30 %(예를 들면, 값의 ±10%, ±20%, 또는 ±30%) 내에서 변하는 주어진 양의 값을 나타낼 수 있다.
본 명세서에서 사용될 때, 용어 "삼차원(3D) NAND 메모리 스트링"은, 메모리 셀 트랜지스터의 스트링이 기판과 관련하여 수직 방향에서 연장되도록, 횡방향으로 배향된 기판 상에서 직렬로 접속되는 메모리 셀 트랜지스터의 수직으로 배향된 스트링을 지칭한다. 본 명세서에서 사용될 때, 용어 "수직의/수직으로"는 기판의 횡방향 표면(lateral surface)에 명목상 수직인 것을 의미한다.
종래의 메모리 디바이스는 일반적으로 동종이다(homogeneous), 즉, 동일한 타입의 메모리를 갖는다. 예를 들면, 메인 메모리는 NAND 메모리 또는 DRAM 중 어느 하나이다. 심지어 다중 칩 패키지(multi-chip package; MCP) 내의 메모리 디바이스의 경우에도, 동일한 패키지에서 동일한 타입의 메모리 다이, 예를 들면, NAND 다이 또는 DRAM 다이가 포함된다. 그러나, 상이한 타입의 메모리가 필요로 되는 경우, 인쇄 회로 기판(PCB) 상으로 솔더링되며 PCB 상에서 장거리 금속 와이어/라인을 통해 전기적으로 접속되는 (별개의 패키지 내의) 다수의 메모리 칩이 필요하고, 그에 의해, 추가적인 저항 용량(resistive-capacitive; RC) 지연 및 증가하는 PCB 영역을 초래한다.
다른 한편, 메모리 디바이스의 3D 집적은, 예컨대 MCP에서 별도로 제조된 칩을 적층하는 것에 의해 패키지 레벨에서 달성되거나, 또는 메모리 셀 레벨에서 달성된다. 단일체 3D 접근법(monolithic 3D approach)은 더 높은 층간 접속 밀도를 제공하여, 3D 메모리 디바이스가 더 조밀한 셀 밀도를 가지고 메모리 셀 레벨(예를 들면, 트랜지스터)에서 구성되는 것을 허용한다. 일반적으로, 단일체 3D 메모리 디바이스는, 기판 위에 순차적으로 제조되고 상호 접속되는 두 개 이상의 레벨의 메모리 셀을 수반한다. 그러나, 단일체 3D 메모리 디바이스는, 메모리 셀이 스택 단위로(stack by stack) 형성되기 때문에, 긴 사이클 시간을 가지면서 높은 제조 비용을 갖는다. 유입 층(incoming layer) 및/또는 스택과의 상호 작용 영향, 특히 열 예산 영향 및 제한은, 불균일성, 불만족스러운 프로파일, 결함, 응력, 등등과 같은 소망되지 않은 특성을 도입할 수 있다. 또한, 특히 장거리 층간 전기적 접속 및 불만족스러운 상호 접속에 기인하여, 시스템 레벨에서 데이터 전송 및 프로세싱 레이트가 느리다.
본 개시에 따른 다양한 실시형태는, 단일체 3D 메모리 디바이스와 비교하여, 더 짧은 사이클 시간 및 더 높은 수율을 통한 더 낮은 제조 비용, 층간 전기적 접속의 더 짧은 거리, 및 더 작은 다이 사이즈 및 비트 비용을 통한 더 나은 어레이 효율성을 달성하기 위해, 함께 본딩되는 이종 메모리(예를 들면, SRAM, DRAM, 및 NAND 메모리)를 갖는 적층된 3D 메모리 디바이스를 제공한다. 이종 메모리 아키텍쳐는, 불휘발성 메모리와 휘발성 메모리 둘 모두의 이점, 예를 들면, NAND 메모리의 큰 저장 용량 및 SRAM 및 DRAM의 빠른 액세스 속도를 활용할 수 있고, 그에 의해, 회로 설계를 위한 프로세스 윈도우를 확장할 수 있다.
몇몇 실시형태에서, 본 명세서에서 개시되는 반도체 디바이스는, 주변에 분포된 장거리 금속 라우팅, 또는 심지어 종래의 실리콘 관통 비아(through silicon via; TSV) 대신 두 개의 본딩 계면을 통과하는 많은 수의 단거리 수직 금속 상호 접속부(interconnect)를 사용하여 중첩되어 본딩되는(예를 들면, 하이브리드 본딩을 사용함) 이종 메모리, 예컨대 (예를 들면, 불휘발성 메모리로서) NAND 메모리를 갖는 제1 반도체 구조체, (예를 들면, 휘발성 메모리로서) DRAM을 갖는 제2 반도체 구조체, 및 (예를 들면, 온칩 캐시로서) SRAM을 갖는 제3 반도체 구조체를 포함할 수 있다. 결과적으로, SRAM 웨이퍼, NAND 메모리 웨이퍼, 및 DRAM 웨이퍼의 제조 프로세스로부터의 더 적은 상호 작용 영향뿐만 아니라, 공지된 양호한 하이브리드 본딩 수율에 기인하여, 더 높은 수율을 갖는 더 짧은 제조 사이클 시간이 달성될 수 있다. 예컨대 밀리미터 또는 센티미터 레벨로부터 마이크로미터 레벨까지의, SRAM, NAND 메모리, 및 DRAM 사이의 더 짧은 접속 거리는 더 빠른 데이터 전송 레이트를 통해 메모리 성능을 향상시킬 수 있다.
도 1은, 몇몇 실시형태에 따른, 이종 메모리를 갖는 예시적인 3D 메모리 디바이스(100)의 단면의 개략도를 예시한다. 3D 메모리 디바이스(100)는 본딩된 반도체 디바이스의 예를 나타낸다. 3D 메모리 디바이스(100)의 컴포넌트(예를 들면, SRAM, NAND 메모리, 및 DRAM)는 상이한 기판 상에서 개별적으로 형성될 수 있고, 그 다음 함께 결합되어 세 개의 상이한 타입의 메모리가 중첩되어 적층되는 본딩된 칩을 형성할 수 있다.
3D 메모리 디바이스(100)는 DRAM 셀의 어레이를 포함하는 제1 반도체 구조체(102)를 또한 포함할 수 있다. 즉, 제1 반도체 구조체(102)는 DRAM 메모리 디바이스일 수 있다. DRAM은 메모리 셀의 주기적인 리프레시를 필요로 한다. 몇몇 실시형태에서, 각각의 DRAM 셀은 데이터의 비트를 양전하 또는 음전하로서 저장하기 위한 커패시터뿐만 아니라, 그것에 대한 액세스를 제어하는 하나 이상의 트랜지스터를 포함한다. 하나의 예로서, 각각의 DRAM 셀은 1 트랜지스터 1 커패시터(one-transistor, one-capacitor; 1T1C) 셀이다.
3D 메모리 디바이스(100)는 SRAM 셀의 어레이를 포함하는 제2 반도체 구조체(104)를 또한 포함할 수 있다. 몇몇 실시형태에서, 제2 반도체 구조체(104)에서의 SRAM 셀 어레이는 상보형 금속 산화물 반도체(complementary metal-oxide-semiconductor; CMOS) 기술을 사용한다. SRAM 셀 어레이는 고속을 달성하기 위해 고급 로직 프로세스(예를 들면, 90 nm, 65 nm, 45 nm, 32 nm, 28 nm, 20 nm, 16 nm, 14 nm, 10 nm, 7 nm, 5 nm, 3 nm, 2 nm, 등등의 기술 노드)를 사용하여 구현될 수 있다. 몇몇 실시형태에서, 각각의 SRAM 셀은 데이터의 비트를 양전하 또는 음전하로서 저장하기 위한 복수의 트랜지스터뿐만 아니라, 그것에 대한 액세스를 제어하는 하나 이상의 트랜지스터를 포함한다. 하나의 예에서, 각각의 SRAM 셀은 여섯 개의 트랜지스터(예를 들면, 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET)), 예를 들면, 데이터의 비트를 저장하기 위한 네 개의 트랜지스터 및 데이터에 대한 액세스를 제어하기 위한 두 개의 트랜지스터를 구비한다. SRAM은 하나 이상의 캐시(예를 들면, 명령어 캐시 또는 데이터 캐시) 및/또는 데이터 버퍼로서 사용될 수 있다.
몇몇 실시형태에서, 3D 메모리 디바이스(100)의 제2 반도체 구조체(104)는 제1 반도체 구조체(102)에서의 DRAM의 주변장치 회로의 전체 또는 일부를 더 포함한다. 주변장치 회로(제어 및 감지 회로로서 또한 공지되어 있음)는 DRAM의 동작을 용이하게 하기 위해 사용되는 임의의 적절한 디지털, 아날로그, 및/또는 혼합 신호 회로를 포함할 수 있다. 예를 들면, 주변장치 회로는 입력/출력 버퍼, 디코더(예를 들면, 행 디코더 및 열 디코더), 감지 증폭기, 또는 회로의 임의의 능동 또는 수동 컴포넌트(예를 들면, 트랜지스터, 다이오드, 저항기, 또는 커패시터) 중 하나 이상을 포함할 수 있다. 몇몇 실시형태에서, 3D 메모리 디바이스(100)의 제2 반도체 구조체(104)는 제3 반도체 구조체(106)에서의 NAND 메모리의 주변장치 회로의 전체 또는 일부를 더 포함한다. 주변장치 회로(제어 및 감지 회로로서 또한 공지되어 있음)는 NAND 메모리의 동작을 용이하게 하기 위해 사용되는 임의의 적절한 디지털, 아날로그, 및/또는 혼합 신호 회로를 포함할 수 있다. 예를 들면, 주변장치 회로는, 페이지 버퍼, 디코더(예를 들면, 행 디코더 및 열 디코더), 감지 증폭기, 드라이버(예를 들면, 워드 라인 드라이버), 차지 펌프(charge pump), 전류 또는 전압 기준, 또는 회로의 임의의 능동 또는 수동 컴포넌트(예를 들면, 트랜지스터, 다이오드, 저항기, 또는 커패시터) 중 하나 이상을 포함할 수 있다. SRAM의 메모리 컨트롤러는 주변장치 회로의 일부로서 임베딩될 수 있다.
3D 메모리 디바이스(100)는 NAND 메모리 셀의 어레이를 포함하는 제3 반도체 구조체(106)를 더 포함할 수 있다. 즉, 제3 반도체 구조체(106)는, 메모리 셀이 3D NAND 메모리 스트링의 어레이 및/또는 2D NAND 메모리 셀의 어레이 형태로 제공되는 NAND 플래시 메모리 디바이스일 수 있다. NAND 메모리 셀은 페이지로 편제될 수 있는데, 페이지는, 그 다음, 각각의 NAND 메모리 셀이 비트 라인(bit line; BL)으로서 칭해지는 별개의 라인에 전기적으로 접속되는 블록으로 편제된다. NAND 메모리 셀에서 동일한 수직 위치를 갖는 모든 메모리 셀은 워드 라인(word line; WL)에 의해 제어 게이트를 통해 전기적으로 접속될 수 있다. 몇몇 실시형태에서, 메모리 평면은 동일한 비트 라인을 통해 전기적으로 접속되는 소정의 수의 블록을 포함한다.
몇몇 실시형태에서, NAND 메모리 셀의 어레이는 2D NAND 메모리 셀의 어레이인데, 그 각각은 플로팅 게이트 트랜지스터를 포함한다. 몇몇 실시형태에 따르면, 2D NAND 메모리 셀의 어레이는 복수의 2D NAND 메모리 스트링을 포함하는데, 그 각각은 직렬로 접속되는 복수의 메모리 셀(예를 들면, 32 내지 128 개의 메모리 셀)(NAND 게이트와 유사함) 및 두 개의 선택 트랜지스터를 포함한다. 몇몇 실시형태에 따르면, 각각의 2D NAND 메모리 스트링은 기판 상의 동일한 평면에서(2D에서) 배열된다. 몇몇 실시형태에서, NAND 메모리 셀의 어레이는 3D NAND 메모리 스트링의 어레이인데, 그 각각은 메모리 스택을 통해 기판 위로(3D로) 수직으로 연장된다. 3D NAND 기술(예를 들면, 메모리 스택에서의 층/계층의 수)에 따라, 3D NAND 메모리 스트링은 통상적으로 32 내지 256 개의 NAND 메모리 셀을 포함하는데, 그 각각은 플로팅 게이트 트랜지스터 또는 전하 트랩 트랜지스터를 포함한다.
도 1에서 도시되는 바와 같이, 3D 메모리 디바이스(100)는 제1 반도체 구조체(102)와 제2 반도체 구조체(104) 사이에서 수직으로 제1 본딩 계면(108), 및 제2 반도체 구조체(104)와 제3 반도체 구조체(106) 사이에서 수직으로 제2 본딩 계면(110)를 더 포함한다. 몇몇 실시형태에 따르면, 제1 본딩 계면(108) 및 제2 본딩 계면(110)은 상이한 평면 내에 있다. 예를 들면, 제1 본딩 계면(108)은 도 1에서 도시되는 바와 같이 제2 본딩 계면(110) 아래에 있을 수도 있다. 즉, 몇몇 실시형태에서, 제1, 제2, 및 제3 반도체 구조체(102, 104, 및 106)는 중첩되어 적층된다. 예를 들면, 도 1에서 도시되는 바와 같이, 제3 반도체 구조체(106)는 제2 반도체 구조체(104) 위에 있을 수 있는데, 제2 반도체 구조체(104)는 제1 반도체 구조체(102) 위에 있을 수 있다.
하기에서 상세하게 설명되는 바와 같이, 제1, 제2, 및 제3 반도체 구조체(102, 104, 및 106)는, 제1, 제2, 및 제3 반도체 구조체(102, 104, 및 106) 중 하나를 제조하는 열 예산이, 제1, 제2, 및 제3 반도체 구조체(102, 104, 및 106) 중 다른 하나를 제조하는 프로세스를 제한하지 않도록 개별적으로(몇몇 실시형태에서는 병렬로) 제조될 수 있다. 또한, PCB와 같은, 회로 기판 상의 장거리(예를 들면, 밀리미터 또는 센티미터 레벨) 칩 대 칩 데이터 버스와는 대조적으로, 제1과 제2 반도체 구조체(102과 104) 사이에서 그리고 제2와 제3 반도체 구조체(104과 106) 사이에서, 각각, 직접적인 단거리(예를 들면, 미크론 레벨) 전기적 접속을 만들기 위해, 제1 및 제2 본딩 계면(108 및 110)을 통해 다수의 상호 접속부(예를 들면, 본딩 컨택트)가 형성될 수 있고, 그에 의해, 칩 계면 지연을 제거할 수 있고 감소된 전력 소비와 함께 고속 I/O 스루풋을 달성한다. 제1 반도체 구조체(102)에서의 DRAM과 제2 반도체 구조체(104)에서의 SRAM 사이의 데이터 전송은, 제1 본딩 계면(108)에 걸친 상호 접속부(예를 들면, 본딩 컨택트)를 통해 수행될 수 있다. 유사하게, 제2 반도체 구조체(104)에서의 SRAM과 제3 반도체 구조체(106)에서의 NAND 메모리 사이의 데이터 전송은 제2 본딩 계면(110)에 걸친 상호 접속부(예를 들면, 본딩 컨택트)를 통해 수행될 수 있다. 이종 메모리를 갖는 제1, 제2, 및 제3 반도체 구조체(102, 104, 및 106)를 수직으로 집적하는 것에 의해, 메모리 칩 사이즈는 감소될 수 있고, 메모리 셀 밀도는 증가될 수 있다.
제1, 제2, 및 제3 반도체 구조체(102, 104, 및 106)에서 적층되는 이종 메모리의 상대적인 위치는 도 1의 예로 제한되지는 않는다는 것이 이해된다. 도 2는, 몇몇 실시형태에 따른, 다른 예시적인 3D 메모리 디바이스(200)의 단면의 개략도를 예시한다. NAND 메모리를 포함하는 제3 반도체 구조체(106)가 SRAM을 포함하는 제2 반도체 구조체(104) 위에 있고, SRAM을 포함하는 제2 반도체 구조체(104)가 DRAM을 포함하는 제1 반도체 구조체(102) 위에 있는 도 1의 3D 메모리 디바이스(100)와는 상이하게, 도 2의 3D 메모리 디바이스(200)에서는, 제1 반도체 구조체(102)는 제2 반도체 구조체(104) 위에 있고, 제2 반도체 구조체(104)는 제3 반도체 구조체(106) 위에 있다. 그럼에도 불구하고, 몇몇 실시형태에 따르면, 제1 본딩 계면(108)은 3D 메모리 디바이스(200)에서 제1과 제2 반도체 구조체(102과 104) 사이에서 수직으로 형성되고, 제1 및 제2 반도체 구조체(102 및 104)는 본딩(예를 들면, 하이브리드 본딩)을 통해 수직으로 결합된다. 유사하게, 몇몇 실시형태에 따르면, 제2 본딩 계면(110)은 3D 메모리 디바이스(200)에서 제2와 제3 반도체 구조체(104와 106) 사이에서 수직으로 형성되고, 제2 및 제3 반도체 구조체(104 및 106)는 본딩(예를 들면, 하이브리드 본딩)을 통해 수직으로 결합된다. 제2 본딩 계면(110)이 제1 본딩 계면(108) 위에 있는 도 1에서의 예와는 상이하게, 3D 메모리 디바이스(200)에서는, 제1 본딩 계면(108)이 제2 본딩 계면(110) 위에 있다. 제1 반도체 구조체(102)에서의 DRAM과 제2 반도체 구조체(104)에서의 SRAM 사이의 데이터 전송은, 제1 본딩 계면(108)에 걸친 상호 접속부(예를 들면, 본딩 컨택트)를 통해 수행될 수 있다. 유사하게, 제2 반도체 구조체(104)에서의 SRAM과 제3 반도체 구조체(106)에서의 NAND 메모리 사이의 데이터 전송은 제2 본딩 계면(110)에 걸친 상호 접속부(예를 들면, 본딩 컨택트)를 통해 수행될 수 있다.
도 1 및 도 2에서, SRAM을 포함하는 제2 반도체 구조체(104)가 세 개의 반도체 구조체(102, 104, 및 106)의 중간에 있지만, 즉, DRAM을 포함하는 제1 반도체 구조체(102)와 NAND 메모리를 포함하는 제3 반도체 구조체(106) 사이에서 끼이지만, 몇몇 다른 실시형태에서, NAND 메모리를 포함하는 제3 반도체 구조체(106)가 세 개의 반도체 구조체(102, 104, 및 106)의 중간에 있을 수도 있다는 것이 이해된다.
예를 들면, 도 3은, 몇몇 실시형태에 따른, 여전히 다른 예시적인 3D 메모리 디바이스(300)의 단면의 개략도를 예시한다. 도 3에서 도시되는 바와 같이, SRAM을 포함하는 제2 반도체 구조체(104)는 NAND 메모리를 포함하는 제3 반도체 구조체(106) 위에 있는데, NAND 메모리를 포함하는 제3 반도체 구조체(106)는 DRAM을 포함하는 제1 반도체 구조체(102) 위에 있다. 몇몇 실시형태에 따르면, 제1 본딩 계면(302)은 3D 메모리 디바이스(300)에서 제1과 제3 반도체 구조체(102과 106) 사이에서 수직으로 형성되고, 제1 및 제3 반도체 구조체(102 및 106)는 본딩(예를 들면, 하이브리드 본딩)을 통해 수직으로 결합된다. 유사하게, 몇몇 실시형태에 따르면, 제2 본딩 계면(304)은 3D 메모리 디바이스(300)에서 제2와 제3 반도체 구조체(104와 106) 사이에서 수직으로 형성되고, 제2 및 제3 반도체 구조체(104 및 106)는 본딩(예를 들면, 하이브리드 본딩)을 통해 수직으로 결합된다. 몇몇 실시형태에 따르면, 제1 및 제2 본딩 계면(302 및 304)은 상이한 평면 내에 있다. 예를 들면, 제2 본딩 계면(304)은 도 3에서 도시되는 바와 같이 제1 본딩 계면(302) 위에 있을 수도 있다. 제1 반도체 구조체(102)에서의 DRAM과 제3 반도체 구조체(106)에서의 NAND 메모리 사이의 데이터 전송은 제1 본딩 계면(302)에 걸친 상호 접속부(예를 들면, 본딩 컨택트)를 통해 수행될 수 있다. 유사하게, 제2 반도체 구조체(104)에서의 SRAM과 제3 반도체 구조체(106)에서의 NAND 메모리 사이의 데이터 전송은 제2 본딩 계면(304)에 걸친 상호 접속부(예를 들면, 본딩 컨택트)를 통해 수행될 수 있다.
도 4는, 몇몇 실시형태에 따른, 또 다른 예시적인 3D 메모리 디바이스(400)의 단면의 개략도를 예시한다. 도 4에서 도시되는 바와 같이, DRAM을 포함하는 제1 반도체 구조체(102)는 NAND 메모리를 포함하는 제3 반도체 구조체(106) 위에 있는데, NAND 메모리를 포함하는 제3 반도체 구조체(106)는 SRAM을 포함하는 제2 반도체 구조체(104) 위에 있다. 몇몇 실시형태에 따르면, 제1 본딩 계면(302)은 3D 메모리 디바이스(400)에서 제1과 제3 반도체 구조체(102과 106) 사이에서 수직으로 형성되고, 제1 및 제3 반도체 구조체(102 및 106)는 본딩(예를 들면, 하이브리드 본딩)을 통해 수직으로 결합된다. 유사하게, 몇몇 실시형태에 따르면, 제2 본딩 계면(304)은 3D 메모리 디바이스(400)에서 제2와 제3 반도체 구조체(104와 106) 사이에서 수직으로 형성되고, 제2 및 제3 반도체 구조체(104 및 106)는 본딩(예를 들면, 하이브리드 본딩)을 통해 수직으로 결합된다. 몇몇 실시형태에 따르면, 제1 및 제2 본딩 계면(302 및 304)은 상이한 평면 내에 있다. 예를 들면, 제1 본딩 계면(302)은 도 4에서 도시되는 바와 같이 제2 본딩 계면(304) 위에 있을 수도 있다. 제1 반도체 구조체(102)에서의 DRAM과 제3 반도체 구조체(106)에서의 NAND 메모리 사이의 데이터 전송은 제1 본딩 계면(302)에 걸친 상호 접속부(예를 들면, 본딩 컨택트)를 통해 수행될 수 있다. 유사하게, 제2 반도체 구조체(104)에서의 SRAM과 제3 반도체 구조체(106)에서의 NAND 메모리 사이의 데이터 전송은 제2 본딩 계면(304)에 걸친 상호 접속부(예를 들면, 본딩 컨택트)를 통해 수행될 수 있다. 몇몇 실시형태에서, 3D 메모리 디바이스(100, 200, 300, 및 400)는 중앙 프로세싱 유닛(central processing unit; CPU)과 같은 프로세서를 포함하지 않는다.
도 5a는, 몇몇 실시형태에 따른, SRAM을 갖는 예시적인 반도체 구조체(501)의 개략적인 평면도를 예시한다. 반도체 구조체(501)는 도 1 내지 도 4에서의 제2 반도체 구조체(104)의 하나의 예일 수도 있다. 반도체 구조체(501)는 로직 프로세스를 사용하여 제조되는 SRAM(504)을 포함할 수 있다. 예를 들면, 도 5a는 SRAM 셀의 어레이가 반도체 구조체(501) 내의 복수의 별개의 영역에서 분포되어 있는 예시적인 SRAM(504)의 레이아웃을 도시한다. 즉, SRAM(504)에 의해 형성되는 캐시 모듈은, 반도체 구조체(501)에서 분포되는 더 작은 캐시 영역으로 분할될 수 있다. 하나의 예에서, 캐시 영역의 분포는 본딩 컨택트의 설계에 기초할 수 있으며, 예를 들면, 본딩 컨택트가 없는 영역을 점유할 수도 있다. 다른 예에서, 캐시 영역의 분포는 랜덤할 수도 있다. 몇몇 실시형태에서, 반도체 구조체(501)는, 어떠한 주변장치 회로 및 다른 로직 디바이스, 예를 들면, 프로세서 코어 없이, SRAM(504)만을 포함한다.
도 5b는, 몇몇 실시형태에 따른, NAND 메모리 및 주변장치 회로를 갖는 예시적인 반도체 구조체(503)의 개략적인 평면도를 예시한다. 반도체 구조체(503)는 도 1 내지 도 4에서의 제3 반도체 구조체(106)의 하나의 예일 수도 있다. 반도체 구조체(503)는 NAND 메모리(506)의 주변장치 회로와 동일한 기판 상에서 NAND 메모리(506)를 포함할 수 있다. 반도체 구조체(503)는, 예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510), 및 임의의 다른 적절한 디바이스를 비롯한, NAND 메모리(506)를 제어 및 감지하기 위한 모든 주변장치 회로를 포함할 수 있다. 도 5b는 주변장치 회로(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510)) 및 NAND 메모리(506)가 동일한 평면 상의 상이한 영역에서 형성되는 주변장치 회로(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510)) 및 NAND 메모리(506)의 예시적인 레이아웃을 도시한다. 예를 들면, 주변장치 회로(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510))는 NAND 메모리(506) 외부에서 형성될 수도 있다. 몇몇 실시형태에서, 반도체 구조체(501)에서의 SRAM(504)의 주변장치 회로의 전체 또는 일부는 반도체 구조체(503)에서도 역시 있을 수 있다는 것이 이해된다.
도 5c는, 몇몇 실시형태에 따른, DRAM 및 주변장치 회로를 갖는 예시적인 반도체 구조체(505)의 개략적인 평면도를 예시한다. 반도체 구조체(505)는 도 1 내지 도 4에서의 제1 반도체 구조체(102)의 하나의 예일 수도 있다. 반도체 구조체(505)는 DRAM(512)의 주변장치 회로와 동일한 기판 상에서 DRAM(512)을 포함할 수 있다. 반도체 구조체(505)는, 예를 들면, 행 디코더(514), 열 디코더(516), 및 임의의 다른 적절한 디바이스를 비롯한, DRAM(512)을 제어 및 감지하기 위한 모든 주변장치 회로를 포함할 수 있다. 도 5c는, 주변장치 회로(예를 들면, 행 디코더(514), 열 디코더(516)) 및 DRAM(512)이 동일한 평면 상의 상이한 영역에서 형성되는 주변장치 회로(예를 들면, 행 디코더(514), 열 디코더(516)) 및 DRAM(512)의 예시적인 레이아웃을 도시한다. 예를 들면, 주변장치 회로(예를 들면, 행 디코더(514), 열 디코더(516))는 DRAM(512)의 외부에서 형성될 수도 있다. 몇몇 실시형태에서, 반도체 구조체(501) 내의 SRAM(504)의 주변장치 회로의 전체 또는 일부는 반도체 구조체(505)에서도 역시 있을 수 있다는 것이 이해된다.
반도체 구조체(501, 503, 및 505)의 레이아웃은 도 5a 내지 도 5c에서의 예시적인 레이아웃으로 제한되지는 않는다는 것이 이해된다. 몇몇 실시형태에서, NAND 메모리(506)의 주변장치 회로의 일부(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510), 및 임의의 다른 적절한 디바이스 중 하나 이상)는 SRAM(504)을 구비하는 반도체 구조체(501) 내에 있을 수도 있다. 즉, NAND 메모리(506)의 주변장치 회로는, 몇몇 다른 실시형태에 따르면, 반도체 구조체(501 및 503) 둘 모두 상에서 분포될 수도 있다. 몇몇 실시형태에서, DRAM(512)의 주변장치 회로의 일부(예를 들면, 행 디코더(514), 열 디코더(516), 및 임의의 다른 적절한 디바이스 중 하나 이상)는 SRAM(504)을 구비하는 반도체 구조체(501) 내에 있을 수도 있다. 즉, DRAM(512)의 주변장치 회로는, 몇몇 다른 실시형태에 따르면, 반도체 구조체(501 및 505) 둘 모두 상에서 분포될 수도 있다. 몇몇 실시형태에서, 주변장치 회로(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510)) 및 NAND 메모리(506)(예를 들면, NAND 메모리 셀의 어레이) 중 적어도 일부는 중첩되어, 즉, 상이한 평면에서 적층된다. 예를 들면, NAND 메모리(506)(예를 들면, NAND 메모리 셀의 어레이)는 칩 사이즈를 추가로 감소시키기 위해 주변장치 회로의 위에서 또는 아래에서 형성될 수도 있다. 몇몇 실시형태에서, 주변장치 회로(예를 들면, 행 디코더(514), 열 디코더(516)) 및 DRAM(512)(예를 들면, DRAM 셀의 어레이)의 적어도 일부는 중첩되어, 즉, 상이한 평면에서 적층된다. 예를 들면, DRAM(512)(예를 들면, DRAM 셀의 어레이)은 칩 사이즈를 추가로 감소시키기 위해 주변장치 회로 위에서 또는 아래에서 형성될 수도 있다.
도 6a는, 몇몇 실시형태에 따른, SRAM 및 주변장치 회로를 갖는 예시적인 반도체 구조체(601)의 개략적인 평면도를 예시한다. 반도체 구조체(601)는 도 1 내지 도 4에서의 제2 반도체 구조체(104)의 하나의 예일 수도 있다. 반도체 구조체(601)는, 동일한 로직 프로세스를 사용하여 제조되는 NAND 메모리(506) 및 DRAM(512) 둘 모두의 주변장치 회로(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510), 행 디코더(514), 열 디코더(516)) 및 SRAM(504)을 포함할 수 있다. 예를 들면, 도 6a는 SRAM 셀의 어레이가 반도체 구조체(601) 내의 복수의 별개의 영역에서 분포되어 있는 예시적인 SRAM(504)의 레이아웃을 도시한다. 반도체 구조체(601)는, 예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510), 및 임의의 다른 적절한 디바이스를 비롯한, NAND 메모리(506)를 제어 및 감지하기 위한 모든 주변장치 회로를 포함할 수 있다. 반도체 구조체(601)는, 예를 들면, 행 디코더(514), 열 디코더(516), 및 임의의 다른 적절한 디바이스를 비롯한, DRAM(512)을 제어 및 감지하기 위한 모든 주변장치 회로를 또한 포함할 수 있다. 몇몇 실시형태에서, 반도체 구조체(601)는 SRAM(504)의 주변장치 회로를 더 포함할 수도 있다. 도 6a는, 주변장치 회로 및 SRAM(504)이 동일한 평면 내의 상이한 영역에서 형성되는 주변장치 회로(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510), 행 디코더(514), 열 디코더(516))의 예시적인 레이아웃을 도시한다. 몇몇 실시형태에서, SRAM(504)(예를 들면, SRAM 셀의 어레이) 및 주변장치 회로(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510), 행 디코더(514), 열 디코더(516)) 중 적어도 일부가 중첩되어, 즉, 상이한 평면에서 적층된다는 것이 이해된다. 예를 들면, SRAM(504)(예를 들면, SRAM 셀의 어레이)은 칩 사이즈를 추가로 감소시키기 위해 주변장치 회로 위에서 또는 아래에서 형성될 수도 있다.
도 6b는, 몇몇 실시형태에 따른, NAND 메모리를 갖는 예시적인 반도체 구조체(603)의 개략적인 평면도를 예시한다. 반도체 구조체(603)는 도 1 내지 도 4에서의 제3 반도체 구조체(106)의 하나의 예일 수도 있다. 모든 주변장치 회로(예를 들면, 워드 라인 드라이버(508), 페이지 버퍼(510))를 반도체 구조체(603)로부터 멀리 (예를 들면, 반도체 구조체(601)로) 이동시키는 것에 의해, 반도체 구조체(603)에서의 NAND 메모리(506)의 사이즈(예를 들면, NAND 메모리 셀의 수)는 증가될 수 있다.
도 6c는, 몇몇 실시형태에 따른, DRAM을 갖는 예시적인 반도체 구조체(605)의 개략적인 평면도를 예시한다. 반도체 구조체(605)는 도 1 내지 도 4에서의 제1 반도체 구조체(102)의 하나의 예일 수도 있다. 모든 주변장치 회로(예를 들면, 행 디코더(514), 열 디코더(516))를 반도체 구조체(605)로부터 멀리 (예를 들면, 반도체 구조체(601)로) 이동시키는 것에 의해, 반도체 구조체(605)에서의 DRAM(512)의 사이즈(예를 들면, DRAM 셀의 수)는 증가될 수 있다.
도 7a는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 예시적인 3D 메모리 디바이스(700)의 단면을 예시한다. 도 1과 관련하여 상기에서 설명되는 3D 메모리 디바이스(100)의 하나의 예로서, 3D 메모리 디바이스(700)는, 제1 반도체 구조체(702), 제1 반도체 구조체(702) 아래에 있는 제2 반도체 구조체(704), 및 제1과 제2 반도체 구조체(702와 704) 사이에서 수직으로 제3 반도체 구조체(706)를 포함하는 본딩된 칩이다. 몇몇 실시형태에 따르면, 제1 및 제3 반도체 구조체(702 및 706)는 그들 사이의 제1 본딩 계면(708)에서 결합된다. 몇몇 실시형태에 따르면, 제2 및 제3 반도체 구조체(704 및 706)는 그들 사이의 제2 본딩 계면(710)에서 결합된다. 몇몇 실시형태에 따르면, 제1 본딩 계면(708)은 제2 본딩 계면(710) 위에, 즉 상이한 평면 내에 있다. 도 7a에서 도시되는 바와 같이, 제2 반도체 구조체(704)는, 실리콘(예를 들면, 단결정 실리콘(single crystalline silicon)(c-Si)), 실리콘 게르마늄(SiGe), 갈륨 비화물(GaAs), 게르마늄(Ge), 실리콘 온 인슐레이터(SOI), 또는 임의의 다른 적절한 재료를 포함할 수 있는 기판(712)을 포함할 수 있다.
3D 메모리 디바이스(700)의 제2 반도체 구조체(704)는 기판(712) 위에서 DRAM 셀(714)의 어레이를 포함할 수 있다. 3D 메모리 디바이스(700)에서의 컴포넌트의 공간적 관계를 추가적으로 예시하기 위해 x축 및 y축이 도 7a에서 추가되었다는 것을 유의한다. 기판(712)은 x 방향(횡방향 또는 폭 방향)에서 횡방향으로 연장되는 두 개의 횡방향 표면(예를 들면, 상단 표면 및 하단 표면)을 포함한다. 본 명세서에서 사용될 때, 하나의 컴포넌트(예를 들면, 층 또는 디바이스)가 반도체 디바이스(예를 들면, 3D 메모리 디바이스(700))의 다른 컴포넌트(예를 들면, 층 또는 디바이스)의 "상에" 있는지, "위에" 있는지 또는 "아래에" 있는지의 여부는, 기판이 y 방향에서 반도체 디바이스의 최하부 평면에 배치될 때 y 방향(수직 방향 또는 두께 방향)에서 반도체 디바이스의 기판(예를 들면, 기판(712))과 관련하여 결정된다. 공간적 관계를 설명하기 위한 동일한 개념이 본 개시 전체에 걸쳐 적용된다.
몇몇 실시형태에서, 각각의 DRAM 셀(714)은 DRAM 선택 트랜지스터(716) 및 커패시터(718)를 포함한다. DRAM 셀(714)은 하나의 트랜지스터와 하나의 커패시터로 구성되는 1T1C 셀일 수 있다. DRAM 셀(714)은 2T1C 셀, 3T1C 셀, 등등과 같은 임의의 적절한 구성일 수도 있다는 것이 이해된다. 몇몇 실시형태에서, DRAM 선택 트랜지스터(716)는, 기판(712) "상에서" 형성되는데, 여기서 DRAM 선택 트랜지스터(716)의 전체 또는 일부는 기판(712) 내에서 및/또는 기판(712) 바로 상에서 형성된다. 분리 영역(예를 들면, 얕은 트렌치 분리(shallow trench isolation; STI)) 및 도핑된 영역(예를 들면, DRAM 선택 트랜지스터(716)의 소스 영역 및 드레인 영역)이 기판(712)에서 역시 형성될 수 있다. 몇몇 실시형태에서, 커패시터(718)는 DRAM 선택 트랜지스터(716) 위에서 배치된다. 각각의 커패시터(718)는 두 개의 전극을 포함하는데, 몇몇 실시형태에 따르면, 그 중 하나는 각각의 DRAM 선택 트랜지스터(716)의 하나의 노드에 전기적으로 접속된다. 몇몇 실시형태에 따르면, 각각의 DRAM 선택 트랜지스터(716)의 다른 노드는 DRAM의 비트 라인(720)에 전기적으로 접속된다. 각각의 커패시터(718)의 다른 전극은 공통 플레이트(722), 예를 들면, 공통 접지에 전기적으로 접속될 수 있다. DRAM 셀(714)의 구조체 및 구성은 도 7a의 예로 제한되지 않으며 임의의 적절한 구조체 및 구성을 포함할 수도 있다는 것이 이해된다.
몇몇 실시형태에서, 3D 메모리 디바이스(700)의 제2 반도체 구조체(704)는 DRAM 셀(714)의 어레이로 그리고 그로부터 전기 신호를 전달하기 위해 DRAM 셀(714)의 어레이 위에서 상호 접속 층(724)을 더 포함한다. 상호 접속 층(724)은, 횡방향 상호 접속 라인 및 수직 상호 접속 액세스 (비아) 컨택트를 비롯한, 복수의 상호 접속부(본 명세서에서 "컨택트"로서 또한 지칭됨)를 포함할 수 있다. 본 명세서에서 사용될 때, 용어 "상호 접속"은, 라인 미들 엔드(middle-end-of-line; MEOL) 상호 접속부 및 라인 백엔드(BEOL) 상호 접속부와 같은 임의의 적절한 타입의 상호 접속부를 광범위하게 포함할 수 있다. 상호 접속 층(724)은 상호 접속 라인 및 비아 컨택트가 형성될 수 있는 하나 이상의 층간 유전체(interlayer dielectric; ILD) 층("금속간 유전체(intermetal dielectric; IMD) 층"으로 또한 공지되어 있음)을 더 포함할 수 있다. 즉, 상호 접속 층(724)은 다수의 ILD 층에서 상호 접속 라인 및 비아 컨택트를 포함할 수 있다. 상호 접속 층(724)에서의 상호 접속 라인 및 비아 컨택트는, 텅스텐(W), 코발트(Co), 구리(Cu), 알루미늄(Al), 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도성 재료를 포함할 수 있다. 상호 접속 층(724)에서의 ILD 층은, 실리콘 산화물(silicon oxide), 실리콘 질화물(silicon nitride), 실리콘 산질화물(silicon oxynitride), 낮은 유전 상수(저유전율(low-k)) 유전체, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체 재료를 포함할 수 있다.
도 7a에서 도시되는 바와 같이, 3D 메모리 디바이스(700)의 제2 반도체 구조체(704)는 제2 본딩 계면(710)에서 그리고 상호 접속 층(724) 및 DRAM 셀(714)의 어레이 위에서 본딩 층(726)을 더 포함할 수 있다. 본딩 층(726)은 복수의 본딩 컨택트(728) 및 본딩 컨택트(728)를 전기적으로 분리하는 유전체를 포함할 수 있다. 본딩 컨택트(728)는 W, Co, Cu, Al, 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도성 재료를 포함할 수 있다. 본딩 층(726)의 나머지 영역은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 저유전율 유전체, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체를 사용하여 형성될 수 있다. 본딩 층(726) 내의 본딩 컨택트(728) 및 주변 유전체는 하이브리드 본딩을 위해 사용될 수 있다.
유사하게, 도 7a에서 도시되는 바와 같이, 3D 메모리 디바이스(700)의 제3 반도체 구조체(706)는, 제2 본딩 계면(710)에서 그리고 제2 반도체 구조체(704)의 본딩 층(726) 위에서 본딩 층(730)을 또한 포함할 수 있다. 본딩 층(730)은 복수의 본딩 컨택트(732) 및 본딩 컨택트(732)를 전기적으로 분리하는 유전체를 포함할 수 있다. 본딩 컨택트(732)는 W, Co, Cu, Al, 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도성 재료를 포함할 수 있다. 본딩 층(730)의 나머지 영역은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 저유전율 유전체, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체를 사용하여 형성될 수 있다. 본딩 층(730) 내의 본딩 컨택트(732) 및 주변 유전체는 하이브리드 본딩을 위해 사용될 수 있다. 몇몇 실시형태에 따르면, 본딩 컨택트(732)는 제2 본딩 계면(710)에서 본딩 컨택트(728)와 접촉한다.
하기에서 상세하게 설명되는 바와 같이, 제3 반도체 구조체(706)는 제2 본딩 계면(710)에서 전면 대 전면 방식으로 제2 반도체 구조체(704) 상단 상에서 본딩될 수 있다. 몇몇 실시형태에서, (예를 들면, 솔더 또는 접착제와 같은 중간 층을 사용하지 않으면서 표면 사이에서 본딩을 형성하는) 직접 본딩 기술이며 금속-금속 본딩 및 유전체-유전체 본딩을 동시에 획득할 수 있는 하이브리드 본딩("금속/유전체 하이브리드 본딩"으로 또한 공지되어 있음)의 결과로서, 제2 본딩 계면(710)이 본딩 층(730과 726) 사이에서 배치된다. 몇몇 실시형태에서, 제2 본딩 계면(710)은 본딩 층(730 및 726)이 만나서 본딩되는 장소이다. 실제로, 제2 본딩 계면(710)은, 제3 반도체 구조체(706)의 본딩 층(730)의 하단 표면 및 제2 반도체 구조체(704)의 본딩 층(726)의 상단 표면의 일부를 포함하는 소정의 두께를 갖는 층일 수 있다.
도 7a에서 도시되는 바와 같이, 제3 반도체 구조체(706)는 본딩 층(730) 위에 배치되는 반도체 층(766)을 포함할 수 있다. 반도체 층(766)은 SRAM 셀(734)의 어레이가 상부에 형성되는 박형화된 기판일 수 있다. 몇몇 실시형태에서, 반도체 층(766)은 단결정 실리콘을 포함한다. 반도체 층(766)은 분리 영역(예를 들면, STI) 및 도핑된 영역(예를 들면, SRAM 셀(734)의 어레이를 형성하는 트랜지스터(736)의 소스 및 드레인)을 또한 포함할 수 있다.
3D 메모리 디바이스(700)의 제3 반도체 구조체(706)는, 반도체 층(766) 위에 있으며 그것과 접촉하는 SRAM 셀(734)의 어레이를 또한 포함할 수 있다. 몇몇 실시형태에서, 주변장치 회로는, 반도체 층(766) 위에 있으며 그것과 접촉하여, 즉, SRAM 셀(734)의 어레이와 동일한 평면에서 또한 형성된다. 예를 들면, 주변장치 회로는, 3D 메모리 디바이스(700)의 NAND 메모리, DRAM, 및/또는 SRAM을 제어 및 감지하기 위한 주변장치 회로의 일부 또는 전체일 수도 있다. 몇몇 실시형태에서, 트랜지스터(736)는, 예를 들면, 3D 메모리 디바이스(700)의 캐시 및/또는 데이터 버퍼로서 사용되는 SRAM 셀(734)의 어레이를 형성한다. 몇몇 실시형태에서, 트랜지스터(736)는 주변장치 회로, 즉, 페이지 버퍼, 디코더(예를 들면, 행 디코더 및 열 디코더), 감지 증폭기, 드라이버(예를 들면, 워드 라인 드라이버), 차지 펌프, 전류 또는 전압 기준, 또는 회로의 임의의 능동 또는 수동 컴포넌트(예를 들면, 트랜지스터, 다이오드, 저항기, 또는 커패시터, 등등)을 포함하는, 그러나 이들로 제한되지는 않는 NAND 메모리, DRAM, 및/또는 SRAM의 동작을 용이하게 하기 위해 사용되는 임의의 적절한 디지털, 아날로그, 및/또는 혼합 신호 제어 및 감지 회로를 또한 형성한다. 트랜지스터(736)는 반도체 층(766) "상에서" 형성될 수 있는데, 여기서 트랜지스터(736)의 전체 또는 일부는 반도체 층(766) 내에서(예를 들면, 반도체 층(766)의 상단 표면 아래에서) 및/또는 반도체 층(766) 바로 상에서 형성된다. 몇몇 실시형태에 따르면, 트랜지스터(736)는 고급 로직 프로세스(예를 들면, 90 nm, 65 nm, 45 nm, 32 nm, 28 nm, 20 nm, 16 nm, 14 nm, 10 nm, 7 nm, 5 nm, 3 nm, 2 nm, 등등의 기술 노드)에 의해 고속이다.
몇몇 실시형태에서, 3D 메모리 디바이스(700)의 제3 반도체 구조체(706)는 SRAM 셀(734)의 어레이로 그리고 그로부터 전기 신호를 전달하기 위해 SRAM 셀(734)의 어레이 위에서 상호 접속 층(738)을 더 포함한다. 상호 접속 층(738)은 MEOL 상호 접속부 및 BEOL 상호 접속부와 같은 복수의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(738) 내의 상호 접속부는, 비트 라인 컨택트 및 워드 라인 컨택트와 같은 로컬 상호 접속부를 또한 포함한다. 상호 접속 층(738)은 상호 접속 라인 및 비아 컨택트가 형성될 수 있는 하나 이상의 ILD 층을 더 포함할 수 있다. 상호 접속 층(738) 내의 상호 접속 라인 및 비아 컨택트는 W, Co, Cu, Al, 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도성 재료를 포함할 수 있다. 상호 접속 층(738) 내의 ILD 층은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 저유전율 유전체, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체 재료를 포함할 수 있다.
도 7a에서 도시되는 바와 같이, 3D 메모리 디바이스(700)의 제3 반도체 구조체(706)는, 제1 본딩 계면(708)에서 그리고 상호 접속 층(738) 및 SRAM 셀(734)의 어레이의 위에서 다른 본딩 층(740)을 더 포함할 수 있다. 즉, 몇몇 실시형태에 따르면, 제3 반도체 구조체(706)는 SRAM 셀(734)의 어레이의 양쪽 면 상에서 두 개의 본딩 층(730 및 740)을 포함한다. 예를 들면, 본딩 층(740)이 제3 반도체 구조체(706)의 전면(front side) 상에서 형성될 수도 있고, 본딩 층(730)이 제3 반도체 구조체(706)의 후면(backside) 상에서 형성될 수도 있다. 본딩 층(740)은 복수의 본딩 컨택트(742) 및 본딩 컨택트(742)를 전기적으로 분리하는 유전체를 포함할 수 있다. 본딩 컨택트(742)는 W, Co, Cu, Al, 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도성 재료를 포함할 수 있다. 본딩 층(740)의 나머지 영역은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 저유전율 유전체, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체를 사용하여 형성될 수 있다. 본딩 층(740) 내의 본딩 컨택트(742) 및 주변 유전체는 하이브리드 본딩을 위해 사용될 수 있다.
유사하게, 도 7a에서 도시되는 바와 같이, 3D 메모리 디바이스(700)의 제1 반도체 구조체(702)는 제1 본딩 계면(708)에서 그리고 제3 반도체 구조체(706)의 본딩 층(740) 위에서 본딩 층(744)을 또한 포함할 수 있다. 본딩 층(744)은 복수의 본딩 컨택트(746) 및 본딩 컨택트(746)를 전기적으로 분리하는 유전체를 포함할 수 있다. 본딩 컨택트(746)는 W, Co, Cu, Al, 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도성 재료를 포함할 수 있다. 본딩 층(744)의 나머지 영역은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 저유전율 유전체, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체를 사용하여 형성될 수 있다. 본딩 층(744) 내의 본딩 컨택트(746) 및 주변 유전체는 하이브리드 본딩을 위해 사용될 수 있다. 본딩 컨택트(746)는, 몇몇 실시형태에 따르면, 제1 본딩 계면(708)에서 본딩 컨택트(742)와 접촉한다.
하기에서 상세하게 설명되는 바와 같이, 제1 반도체 구조체(702)는 제1 본딩 계면(708)에서 전면 대 전면 방식으로 제3 반도체 구조체(706)의 상단 상에서 본딩될 수 있다. 몇몇 실시형태에서, 제1 본딩 계면(708)은 하이브리드 본딩의 결과로서 본딩 층(744와 740) 사이에서 배치된다. 몇몇 실시형태에서, 제1 본딩 계면(708)은 본딩 층(744 및 740)이 만나서 본딩되는 장소이다. 실제로, 제1 본딩 계면(708)은, 제1 반도체 구조체(702)의 본딩 층(744)의 하단 표면 및 제3 반도체 구조체(706)의 본딩 층(740)의 상단 표면의 일부를 포함하는 소정의 두께를 갖는 층일 수 있다.
몇몇 실시형태에서, 3D 메모리 디바이스(700)의 제1 반도체 구조체(702)는 전기 신호를 전달하기 위한 상호 접속 층(748)을 본딩 층(744) 위에서 더 포함한다. 상호 접속 층(748)은 MEOL 상호 접속부 및 BEOL 상호 접속부와 같은 복수의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(748) 내의 상호 접속부는, 비트 라인 컨택트 및 워드 라인 컨택트와 같은 로컬 상호 접속부를 또한 포함한다. 상호 접속 층(748)은 상호 접속 라인 및 비아 컨택트가 형성될 수 있는 하나 이상의 ILD 층을 더 포함할 수 있다. 상호 접속 층(748) 내의 상호 접속 라인 및 비아 컨택트는 W, Co, Cu, Al, 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도성 재료를 포함할 수 있다. 상호 접속 층(748) 내의 ILD 층은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 저유전율 유전체, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체 재료를 포함할 수 있다.
도 7a에서 도시되는 바와 같이, 3D 메모리 디바이스(700)의 제1 반도체 구조체(702)는, 메모리 셀이 상호 접속 층(748) 및 본딩 층(744) 위에서 3D NAND 메모리 스트링(750)의 어레이 형태로 제공되는 NAND 플래시 메모리 디바이스를 포함한다. 몇몇 실시형태에 따르면, 각각의 3D NAND 메모리 스트링(750)은, 각각이 전도체 층 및 유전체 층을 포함하는 복수의 쌍을 통해 수직으로 연장된다. 적층되고 인터리빙된 전도체 층 및 유전체 층은 본 명세서에서 메모리 스택(752)으로서 또한 지칭된다. 몇몇 실시형태에 따르면, 메모리 스택(752) 내의 인터리빙된 전도체 층 및 유전체 층은 수직 방향에서 교대한다. 다시 말하면, 메모리 스택(752)의 상단 또는 하단에 있는 것을 제외하면, 각각의 전도체 층은 양쪽 면 상에서 두 개의 유전체 층에 의해 인접될 수 있고, 각각의 유전 층은 양쪽 면 상에서 두 개의 전도체 층에 의해 인접될 수 있다. 도체 층 각각은 동일한 두께 또는 상이한 두께를 가질 수 있다. 유사하게, 유전체 층 각각은 동일한 두께 또는 상이한 두께를 가질 수 있다. 도체 층은 W, Co, Cu, Al, 도핑된 실리콘, 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도체 재료를 포함할 수 있다. 유전체 층은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체 재료를 포함할 수 있다.
몇몇 실시형태에서, 각각의 3D NAND 메모리 스트링(750)은, 반도체 채널 및 메모리 막을 포함하는 "전하 트랩" 타입의 NAND 메모리 스트링이다. 몇몇 실시형태에서, 반도체 채널은, 비정질 실리콘, 폴리실리콘, 또는 단결정 실리콘과 같은 실리콘을 포함한다. 몇몇 실시형태에서, 메모리 막은 터널링 층(tunneling layer), 저장 층("전하 트랩/저장 층"으로서 또한 공지되어 있음), 및 차단 층(blocking layer)을 포함하는 복합 유전체 층이다. 각각의 3D NAND 메모리 스트링(750)은 실린더 형상(예를 들면, 기둥 형상)를 가질 수 있다. 몇몇 실시형태에 따르면, 메모리 막의 반도체 채널, 터널링 층, 저장 층, 및 차단 층은 기둥의 중심으로부터 외부 표면을 향하는 방향을 따라 이 순서대로 배열된다. 터널링 층은 실리콘 산화물, 실리콘 산질화물, 또는 이들의 임의의 조합을 포함할 수 있다. 저장 층은 실리콘 질화물, 실리콘 산질화물, 실리콘, 또는 이들의 임의의 조합을 포함할 수 있다. 차단 층은 실리콘 산화물, 실리콘 산질화물, 높은 유전 상수(고유전율) 유전체, 또는 이들의 임의의 조합을 포함할 수 있다. 하나의 예에서, 차단 층은 실리콘 산화물/실리콘 산질화물/실리콘 산화물(ONO)의 복합 층을 포함할 수 있다. 다른 예에서, 차단 층은, 알루미늄 산화물(aluminum oxide)(Al2O3), 하프늄 산화물(hafnium oxide)(HfO2) 또는 탄탈룸 산화물(tantalum oxide)(Ta2O5) 층, 및 등등과 같은 고유전율 유전체 층을 포함할 수 있다.
몇몇 실시형태에서, 3D NAND 메모리 스트링(750)은 복수의 제어 게이트(각각은 워드 라인의 일부임)를 더 포함한다. 메모리 스택(752) 내의 각각의 전도체 층은 3D NAND 메모리 스트링(750)의 각각의 메모리 셀에 대한 제어 게이트로서 역할을 할 수 있다. 몇몇 실시형태에서, 각각의 3D NAND 메모리 스트링(750)은 수직 방향에서 각각의 단부에서 두 개의 플러그(756 및 754)를 포함한다. 플러그(756)는 반도체 층(758)으로부터 에피택셜하게 성장되는 단결정 실리콘과 같은 반도체 재료를 포함할 수 있다. 플러그(756)는 3D NAND 메모리 스트링(750)의 소스 선택 게이트의 컨트롤러로서 기능할 수 있다. 플러그(756)는 3D NAND 메모리 스트링(750)의 상부 단부(upper end)에 있을 수 있고 반도체 층(758)과 접촉할 수 있다. 본 명세서에서 사용될 때, 컴포넌트(예를 들면, 3D NAND 메모리 스트링(750))의 "상부 단부(upper end)"는 y 방향에서 기판(712)으로부터 더 멀리 떨어져 있는 단부이고, 컴포넌트(예를 들면, 3D NAND 메모리 스트링(750))의 "하부 단부(lower end)" 는, 기판(712)이 3D 메모리 디바이스(700)의 최하부 평면에서 배치될 때 y 방향에서 기판(712)에 더 가까운 단부이다. 다른 플러그(754)가 반도체 재료(예를 들면, 폴리실리콘)를 포함할 수 있다. 몇몇 실시형태에서, 플러그(754)는 3D NAND 메모리 스트링(750)의 드레인으로서 기능한다.
몇몇 실시형태에서, 제1 반도체 구조체(702)는 메모리 스택(752) 및 3D NAND 메모리 스트링(750) 위에 배치되는 반도체 층(758)을 더 포함한다. 반도체 층(758)은 메모리 스택(752) 및 3D NAND 메모리 스트링(750)이 상부에 형성되는 박형화된 기판일 수 있다. 몇몇 실시형태에서, 반도체 층(758)은 플러그(756)가 에피택셜하게 성장될 수 있는 단결정 실리콘을 포함한다. 몇몇 실시형태에서, 반도체 층(758)은 폴리실리콘, 비정질 실리콘, SiGe, GaAs, Ge, 또는 임의의 다른 적절한 재료를 포함할 수 있다. 반도체 층(758)은 분리 영역(예를 들면, STI) 및 도핑된 영역(예를 들면, 3D NAND 메모리 스트링(750)에 대한 어레이 공통 소스(array common source; ACS)로서 기능함, 도시되지 않음)을 또한 포함할 수 있다. 분리 영역(도시되지 않음)은, 도핑된 영역을 전기적으로 분리하기 위해 반도체 층(758)의 전체 두께 또는 두께의 일부에 걸쳐 연장될 수 있다. 몇몇 실시형태에서, 실리콘 산화물을 포함하는 패드 산화물 층이 메모리 스택(752)과 반도체 층(758) 사이에서 배치된다.
3D NAND 메모리 스트링(750)은 "차지 트랩" 타입의 3D NAND 메모리 스트링으로 제한되지 않으며 다른 실시형태에서 "플로팅 게이트(floating gate)" 타입의 3D NAND 메모리 스트링일 수도 있다는 것이 이해된다. 또한, 메모리 스택(752)은 단일의 데크 구조체를 갖는 것으로 제한되는 것이 아니라, 3D NAND 메모리 스트링(750)의 전기적 접속을 위해 상이한 데크 사이에서 데크간 플러그를 갖는 다중 데크 구조체를 또한 구비할 수 있다는 것이 또한 이해된다. 반도체 층(758)은 "플로팅 게이트" 타입의 3D NAND 메모리 스트링의 소스 플레이트로서 폴리실리콘을 포함할 수 있다.
도 7a에서 도시되는 바와 같이, 3D 메모리 디바이스(700)의 제1 반도체 구조체(702)는 반도체 층(758) 위에서 패드 아웃 상호 접속 층(pad-out interconnect layer)(760)을 더 포함할 수 있다. 패드아웃 상호 접속 층(760)은, 하나 이상의 ILD 층에서 상호 접속부, 예를 들면, 컨택트 패드(762)를 포함할 수 있다. 패드 아웃 상호 접속 층(760) 및 상호 접속 층(748)은 반도체 층(758)의 양쪽 면 상에서 형성될 수 있다. 몇몇 실시형태에서, 패드 아웃 상호 접속 층(760)에서의 상호 접속부는, 예를 들면, 패드 아웃 목적을 위해, 3D 메모리 디바이스(700)와 외부 회로 사이에서 전기 신호를 전달할 수 있다.
몇몇 실시형태에서, 제1 반도체 구조체(702)는, 패드 아웃 상호 접속 층(760)과 상호 접속 층(748)을 전기적으로 접속하기 위해 반도체 층(758)을 통해 연장되는 하나 이상의 컨택트(764)를 더 포함한다. 유사하게, 몇몇 실시형태에서, 제3 반도체 구조체(706)는, 제3 반도체 구조체(706) 내의 상호 접속 층(738) 및 제2 반도체 구조체(704) 내의 상호 접속 층(724)을 전기적으로 접속하기 위해 반도체 층(766)을 통해 연장되는 하나 이상의 컨택트(768)를 더 포함한다. 결과적으로, SRAM 셀(734)의 어레이(및 만약 있다면 주변장치 회로)는, 상호 접속 층(738 및 748)뿐만 아니라 본딩 컨택트(742 및 746)를 통해 3D NAND 메모리 스트링(750)의 어레이에 전기적으로 접속될 수 있다. SRAM 셀(734)의 어레이(및 만약 있다면 주변장치 회로)는 컨택트(768), 상호 접속 층(724)뿐만 아니라, 본딩 컨택트(732 및 728)를 통해 DRAM 셀(714)의 어레이에 전기적으로 접속될 수 있다. 3D NAND 메모리 스트링(750)의 어레이는, 컨택트(768), 상호 접속 층(748, 738, 및 724)뿐만 아니라, 본딩 컨택트(746, 742, 732, 및 728)를 통해 DRAM 셀(714)의 어레이에 전기적으로 접속될 수 있다. 또한, SRAM 셀(734)의 어레이, 3D NAND 메모리 스트링(750)의 어레이, 및 DRAM 셀(714)의 어레이는, 컨택트(764) 및 패드 아웃 상호 접속 층(760)을 통해 외부 회로에 전기적으로 접속될 수 있다.
도 7b는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 다른 예시적인 3D 메모리 디바이스(701)의 단면을 예시한다. 도 2와 관련하여 상기에서 설명되는 3D 메모리 디바이스(200)의 하나의 예로서, 3D 메모리 디바이스(701)는, SRAM을 포함하는 제3 반도체 구조체(707) 위에서 DRAM을 포함하는 제2 반도체 구조체(705)를 포함하는 본딩된 칩인데, SRAM을 포함하는 제3 반도체 구조체(707)는 NAND 메모리를 포함하는 제1 반도체 구조체(703) 위에 있다. 도 7a의 상기에서 설명되는 3D 메모리 디바이스(700)와 유사하게, 3D 메모리 디바이스(701)는, SRAM을 포함하는 제3 반도체 구조체(707), NAND 메모리를 포함하는 제1 반도체 구조체(703), 및 DRAM을 포함하는 제2 반도체 구조체(705)가 개별적으로 형성되며, 상이한 평면에서, 제1 본딩 계면(709) 및 제2 본딩 계면(711)에서, 각각, 전면 대 전면 방식으로 본딩되는 본딩 칩의 예를 나타낸다. 도 7a의 상기에서 설명되는 3D 메모리 디바이스(700)와 유사하게, SRAM을 포함하는 제3 반도체 구조체(707)는 세 개의 반도체 구조체(703, 705, 및 707)의 중간에 있다, 즉, NAND 메모리를 포함하는 제1 반도체 구조체(703)과 DRAM을 포함하는 제2 반도체 구조체(705) 사이에서 끼인다. DRAM을 포함하는 제2 반도체 구조체(704)가 NAND 메모리를 포함하는 제1 반도체 구조체(702) 아래에 있는 도 7a의 상기에서 설명되는 3D 메모리 디바이스(700)와는 상이하게, 도 7b에서의 3D 메모리 디바이스(701)는, NAND 메모리를 포함하는 제1 반도체 구조체(703) 위에 배치되는 DRAM을 포함하는 제3 반도체 구조체(705)를 포함한다. 3D 메모리 디바이스(700 및 701) 둘 모두에서 유사한 구조체의 세부 사항(예를 들면, 재료, 제조 프로세스, 기능, 등등)은 하기에서 반복되지 않을 수도 있다는 것이 이해된다.
3D 메모리 디바이스(701)의 제1 반도체 구조체(703)는 기판(713) 및 기판(713) 위의 인터리빙된 전도체 층 및 유전체 층을 포함하는 메모리 스택(715)을 포함할 수 있다. 몇몇 실시형태에서, 3D NAND 메모리 스트링(717)의 어레이 각각은 기판(713) 위의 메모리 스택(715) 내의 인터리빙된 전도체 층 및 유전체 층을 통해 수직으로 연장된다. 각각의 3D NAND 메모리 스트링(717)은 반도체 채널 및 메모리 막을 포함할 수 있다. 각각의 3D NAND 메모리 스트링(717)은 그것의 하부 단부 및 상부 단부에서 두 개의 플러그(719 및 721)를 각각 더 포함한다. 3D NAND 메모리 스트링(717)은 "전하 트랩" 타입의 3D NAND 메모리 스트링 또는 "플로팅 게이트" 타입의 3D NAND 메모리 스트링일 수 있다. 몇몇 실시형태에서, 실리콘 산화물을 포함하는 패드 산화물 층이 메모리 스택(715)과 기판(713) 사이에서 배치된다.
몇몇 실시형태에서, 3D 메모리 디바이스(701)의 제1 반도체 구조체(703)는, 3D NAND 메모리 스트링(717)으로 그리고 그로부터 전기 신호를 전달하기 위해, 메모리 스택(715) 및 3D NAND 메모리 스트링(717) 위에서 상호 접속 층(723)을 또한 포함한다. 상호 접속 층(723)은, 상호 접속 라인 및 비아 컨택트를 비롯한, 복수의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(723) 내의 상호 접속부는, 비트 라인 컨택트 및 워드 라인 컨택트와 같은 로컬 상호 접속부를 또한 포함한다. 몇몇 실시형태에서, 3D 메모리 디바이스(701)의 제1 반도체 구조체(703)는, 제1 본딩 계면(709)에서 그리고 상호 접속 층(723) 및 메모리 스택(715)(이들을 관통하는 3D NAND 메모리 스트링(717) 포함함) 위에서 본딩 층(725)을 더 포함한다. 본딩 층(725)은 복수의 본딩 컨택트(727) 및 본딩 컨택트(727)를 둘러싸며 그들을 전기적으로 분리하는 유전체를 포함할 수 있다.
유사하게, 도 7b에서 도시되는 바와 같이, 3D 메모리 디바이스(701)의 제3 반도체 구조체(707)는 제1 본딩 계면(709)에서 그리고 제1 반도체 구조체(703)의 본딩 층(725) 위에서 본딩 층(729)을 또한 포함할 수 있다. 본딩 층(729)은 복수의 본딩 컨택트(731) 및 본딩 컨택트(731)를 둘러싸며 그들을 전기적으로 분리하는 유전체를 포함할 수 있다. 몇몇 실시형태에 따르면, 본딩 컨택트(731)는 제1 본딩 계면(709)에서 본딩 컨택트(727)와 접촉한다. 제3 반도체 구조체(707)는, 제1 본딩 계면(709)에서, 전면 대 전면 방식으로 제1 반도체 구조체(704) 상단 상에서 본딩될 수 있다. 몇몇 실시형태에서, 제1 본딩 계면(709)은 하이브리드 본딩의 결과로서 본딩 층(729과 725) 사이에서 배치된다. 몇몇 실시형태에서, 제1 본딩 계면(709)은 본딩 층(729 및 725)이 만나서 본딩되는 장소이다. 실제로, 제1 본딩 계면(709)은 제3 반도체 구조체(707)의 본딩 층(729)의 하단 표면 및 제1 반도체 구조체(703)의 본딩 층(725)의 상단 표면의 일부를 포함하는 소정의 두께를 갖는 층일 수 있다.
도 7b에서 도시되는 바와 같이, 제3 반도체 구조체(707)는 본딩 층(729) 위에 배치되는 반도체 층(733)을 포함할 수 있다. 반도체 층(733)은 SRAM 셀(735)의 어레이가 상부에 형성되는 박형화된 기판일 수 있다. 몇몇 실시형태에서, 반도체 층(733)은 단결정 실리콘을 포함한다. 반도체 층(733)은, 분리 영역(예를 들면, STI) 및 도핑된 영역(예를 들면, SRAM 셀(735)의 어레이를 형성하는 트랜지스터(769)의 소스 및 드레인)을 또한 포함할 수 있다.
3D 메모리 디바이스(701)의 제3 반도체 구조체(707)는 반도체 층(733) 위에 있으며 그것과 접촉하는 SRAM 셀(735)의 어레이를 또한 포함할 수 있다. 몇몇 실시형태에서, 주변장치 회로는, 반도체 층(733) 위에 있으며 그것과 접촉하여, 즉, SRAM 셀(735)의 어레이와 동일한 평면에서 또한 형성된다. 몇몇 실시형태에서, 트랜지스터(769)는, 예를 들면, 3D 메모리 디바이스(701)의 캐시 및/또는 데이터 버퍼로서 사용되는 SRAM 셀(735)의 어레이를 형성한다. 몇몇 실시형태에서, 트랜지스터(769)는, 주변장치 회로, 즉 NAND 메모리, DRAM, 및/또는 SRAM의 동작을 용이하게 하기 위해 사용되는 임의의 적절한 디지털, 아날로그, 및/또는 혼합 신호 제어 및 감지 회로를 또한 형성한다. 트랜지스터(769)는 반도체 층(733) "상에서" 형성될 수 있는데, 여기서 트랜지스터(769)의 전체 또는 일부는 반도체 층(733) 내에서(예를 들면, 반도체 층(733)의 상단 표면 아래에서) 및/또는 반도체 층(733) 바로 상에서 형성된다. 몇몇 실시형태에 따르면, 트랜지스터(769)는 고급 로직 프로세스(예를 들면, 90 nm, 65 nm, 45 nm, 32 nm, 28 nm, 20 nm, 16 nm, 14 nm, 10 nm, 7 nm, 5 nm, 3 nm, 2 nm, 등등의 기술 노드)에 의해 고속이다.
몇몇 실시형태에서, 3D 메모리 디바이스(701)의 제3 반도체 구조체(707)는 SRAM 셀(735)의 어레이로 그리고 그로부터 전기 신호를 전달하기 위해 SRAM 셀(735)의 어레이 위에서 상호 접속 층(737)을 더 포함한다. 상호 접속 층(737)은, 상호 접속 라인 및 비아 컨택트를 비롯한, 복수의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(737) 내의 상호 접속부는, 비트 라인 컨택트 및 워드 라인 컨택트와 같은 로컬 상호 접속부를 또한 포함한다. 몇몇 실시형태에서, 3D 메모리 디바이스(701)의 제3 반도체 구조체(707)는, 제2 본딩 계면(711)에서 그리고 상호 접속 층(737) 및 SRAM 셀(735)의 어레이 위에서 본딩 층(739)을 더 포함한다. 즉, 몇몇 실시형태에 따르면, 제3 반도체 구조체(707)는 SRAM 셀(735)의 어레이의 양쪽 면 상에서 두 개의 본딩 층(729 및 739)을 포함한다. 예를 들면, 본딩 층(739)이 제3 반도체 구조체(707)의 전면 상에서 형성될 수도 있고, 본딩 층(729)이 제3 반도체 구조체(707)의 후면 상에서 형성될 수도 있다. 본딩 층(739)은 복수의 본딩 컨택트(741) 및 본딩 컨택트(741)를 둘러싸며 그들을 전기적으로 분리하는 유전체를 포함할 수 있다.
유사하게, 도 7b에서 도시되는 바와 같이, 3D 메모리 디바이스(701)의 제2 반도체 구조체(705)는 제2 본딩 계면(711)에서 그리고 제3 반도체 구조체(707)의 본딩 층(739) 위에서 본딩 층(743)을 또한 포함할 수 있다. 본딩 층(743)은 복수의 본딩 컨택트(745) 및 본딩 컨택트(745)를 둘러싸며 그들을 전기적으로 분리하는 유전체를 포함할 수 있다. 몇몇 실시형태에 따르면, 본딩 컨택트(745)는 제2 본딩 계면(711)에서 본딩 컨택트(741)와 접촉한다. 제2 반도체 구조체(705)는 제2 본딩 계면(711)에서 전면 대 전면 방식으로 제3 반도체 구조체(707)의 상단 상에서 본딩될 수 있다. 몇몇 실시형태에서, 제2 본딩 계면(711)은 하이브리드 본딩의 결과로서 본딩 층(743과 739) 사이에서 배치된다. 몇몇 실시형태에서, 제2 본딩 계면(711)은 본딩 층(743 및 739)이 만나서 본딩되는 장소이다. 실제로, 제2 본딩 계면(711)은, 제2 반도체 구조체(705)의 본딩 층(743)의 하단 표면 및 제3 반도체 구조체(707)의 본딩 층(739)의 상단 표면의 일부를 포함하는 소정의 두께를 갖는 층일 수 있다.
몇몇 실시형태에서, 3D 메모리 디바이스(701)의 제2 반도체 구조체(705)는 전기 신호를 전달하기 위해 본딩 층(743) 위에서 상호 접속 층(747)을 또한 포함한다. 상호 접속 층(747)은, 상호 접속 라인 및 비아 컨택트를 비롯한, 복수의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(747) 내의 상호 접속부는, 비트 라인 컨택트 및 워드 라인 컨택트와 같은 로컬 상호 접속부를 또한 포함한다.
도 7b에서 도시되는 바와 같이, 3D 메모리 디바이스(701)의 제2 반도체 구조체(705)는 상호 접속 층(747) 위에서 DRAM 셀(749)의 어레이를 더 포함할 수 있다. 몇몇 실시형태에서, 각각의 DRAM 셀(749)은 DRAM 선택 트랜지스터(751) 및 커패시터(753)를 포함한다. DRAM 셀(749)은 하나의 트랜지스터 및 하나의 커패시터로 구성되는 1T1C 셀일 수 있다. DRAM 셀(749)은 2T1C 셀, 3T1C 셀, 등등과 같은 임의의 적절한 구성일 수도 있다는 것이 이해된다. 몇몇 실시형태에서, DRAM 선택 트랜지스터(751)는 반도체 층(759) 상에서 형성되는데, 여기서 DRAM 선택 트랜지스터(751)의 전체 또는 일부는 반도체 층(759) 내에서 및/또는 반도체 층(759) 바로 상에서 형성된다. 몇몇 실시형태에서, 커패시터(753)는 DRAM 선택 트랜지스터(751) 아래에서 배치된다. 각각의 커패시터(753)는 두 개의 전극을 포함하는데, 몇몇 실시형태에 따르면, 그 중 하나는 각각의 DRAM 선택 트랜지스터(751)의 하나의 노드에 전기적으로 접속된다. 몇몇 실시형태에 따르면, 각각의 DRAM 선택 트랜지스터(751)의 다른 노드는 DRAM의 비트 라인(755)에 전기적으로 접속된다. 각각의 커패시터(753)의 다른 전극은 공통 플레이트(757), 예를 들면, 공통 접지에 전기적으로 접속될 수 있다. DRAM 셀(749)의 구조체 및 구성은 도 7b의 예로 제한되지 않으며 임의의 적절한 구조체 및 구성을 포함할 수도 있다는 것이 이해된다.
몇몇 실시형태에서, 제2 반도체 구조체(705)는 DRAM 셀(749)의 어레이 위에 배치되는 반도체 층(759)을 더 포함한다. 반도체 층(759)은 DRAM 셀(749)의 어레이가 상부에 형성되는 박형화된 기판일 수 있다. 몇몇 실시형태에서, 반도체 층(759)은 단결정 실리콘을 포함한다. 반도체 층(759)은, 분리 영역(예를 들면, STI) 및 도핑된 영역(예를 들면, DRAM 선택 트랜지스터(751)의 소스 및 드레인, 도시되지 않음)을 또한 포함할 수 있다.
도 7b에서 도시되는 바와 같이, 3D 메모리 디바이스(701)의 제2 반도체 구조체(705)는 반도체 층(759) 위에서 패드 아웃 상호 접속 층(761)을 더 포함할 수 있다. 패드아웃 상호 접속 층(761)은, 하나 이상의 ILD 층에서 상호 접속부, 예를 들면, 컨택트 패드(763)를 포함할 수 있다. 패드 아웃 상호 접속 층(761) 및 상호 접속 층(747)은 반도체 층(759)의 양쪽 면 상에서 형성될 수 있다. 몇몇 실시형태에서, 패드 아웃 상호 접속 층(761)에서의 상호 접속부는, 예를 들면, 패드 아웃 목적을 위해, 3D 메모리 디바이스(701)와 외부 회로 사이에서 전기 신호를 전달할 수 있다. 몇몇 실시형태에서, 제2 반도체 구조체(705)는 패드 아웃 상호 접속 층(761)과 상호 접속 층(747)을 전기적으로 접속하기 위해 반도체 층(759)을 통해 연장되는 하나 이상의 컨택트(765)를 더 포함한다. 유사하게, 몇몇 실시형태에서, 제3 반도체 구조체(707)는 제3 반도체 구조체(707) 내의 상호 접속 층(737)과 제1 반도체 구조체(703) 내의 상호 접속 층(723)을 전기적으로 접속하기 위해 반도체 층(733)을 통해 연장되는 하나 이상의 컨택트(767)를 더 포함한다.
결과적으로, SRAM 셀(735)의 어레이(및 만약 있다면 주변장치 회로)는 컨택트(767), 상호 접속 층(723)뿐만 아니라, 본딩 컨택트(731 및 727)를 통해 3D NAND 메모리 스트링(717)의 어레이에 전기적으로 접속될 수 있다. SRAM 셀(735)의 어레이(및 만약 있다면 주변장치 회로)는 상호 접속 층(747 및 737)뿐만 아니라 본딩 컨택트(745 및 741)를 통해 DRAM 셀(749)의 어레이에 전기적으로 접속될 수 있다. NAND 메모리 스트링(717)의 어레이는 컨택트(767), 상호 접속 층(723, 737, 및 747), 뿐만 아니라 본딩 컨택트(745, 741, 731, 및 727)를 통해 DRAM 셀(749)의 어레이에 전기적으로 접속될 수 있다. 또한, SRAM 셀(735)의 어레이, 3D NAND 메모리 스트링(717)의 어레이, 및 DRAM 셀(749)의 어레이는 컨택트(765) 및 패드 아웃 상호 접속 층(761)을 통해 외부 회로에 전기적으로 접속될 수 있다.
도 7a 및 도 7b에서의 3D 메모리 디바이스(700 및 701)가 도 1 및 도 2에서의 3D 메모리 디바이스(100 및 200)의 예를 각각 예시하지만, 도 3 및 도 4에서의 3D 메모리 디바이스(300 및 400)는, 여기서는 반복되지 않는 도 7a 및 도 7b와 관련하여 상기에서 설명되는 것과 동일한 맥락에서 구현될 수도 있다는 것이 이해된다.
도 8a 및 도 8b는, 몇몇 실시형태에 따른, SRAM 및 주변장치 회로를 갖는 예시적인 반도체 구조체를 형성하기 위한 제조 프로세스를 예시한다. 도 9a 및 도 9b는, 몇몇 실시형태에 따른, 3D NAND 메모리 스트링을 갖는 예시적인 반도체 구조체를 형성하기 위한 제조 프로세스를 예시한다. 도 10a 내지 도 10c는, 몇몇 실시형태에 따른, DRAM 셀을 갖는 예시적인 반도체 구조체를 형성하기 위한 제조 프로세스를 예시한다. 도 11a 및 도 11b는, 몇몇 실시형태에 따른, 예시적인 본딩된 구조체를 형성하기 위한 제조 프로세스를 예시한다. 도 12a 및 도 12b는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 예시적인 3D 메모리 디바이스에 대한 제조 프로세스를 예시한다. 도 16a 및 도 16b는, 몇몇 실시형태에 따른, 이종 메모리를 갖는 3D 메모리 디바이스를 형성하기 위한 예시적인 방법(1600)의 플로우차트를 예시한다. 도 8a, 도 8b, 도 9a, 도 9b, 도 10a 내지 도 10c, 도 11a, 도 11b, 도 12a, 도 12b, 도 16a, 및 도 16b에서 묘사되는 반도체 디바이스의 예는, 도 7a 및 도 7b에서 묘사되는 3D 메모리 디바이스(700 및 701)를 포함한다. 방법(1600)에서 도시되는 동작은 망라하는 것은 아니다는 것 및 예시된 동작 중 임의의 것 이전에, 그 이후에, 또는 그들 사이에서 다른 동작이 역시 수행될 수 있다는 것이 이해된다. 게다가, 동작 중 일부는 동시에 수행될 수 있거나 또는 도 16a 및 도 16b에서 도시되는 것과는 상이한 순서로 수행될 수 있다.
도 9a 및 도 9b에서 묘사되는 바와 같이, 3D NAND 메모리 스트링의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체가 형성된다. 도 10a 내지 도 10c에서 묘사되는 바와 같이, DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체가 형성된다. 도 8a 및 도 8b에서 묘사되는 바와 같이, SRAM 셀의 어레이, 주변장치 회로, 및 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층을 포함하는 제3 반도체 구조체가 형성된다. 도 11a 및 도 11b에서 묘사되는 바와 같이, 제1 및 제2 반도체 구조체 중 하나와 제3 반도체 구조체는 전면 대 전면 방식으로 본딩되어, 제1 및 제2 본딩 층 중 하나와 제3 본딩 층 사이에서 제1 본딩 계면을 갖는 본딩된 구조체를 형성한다. 도 12a 및 도 12b에서 묘사되는 바와 같이, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체는 전면 대 전면 방식으로 본딩되어 제1 및 제2 본딩 층 중 다른 하나와 제4 본딩 층 사이에서 제2 본딩 계면을 형성한다.
도 16a를 참조하면, 방법(1600)은, NAND 메모리 셀의 어레이가 제1 기판 위에서 형성되는 동작(1602)에서 시작한다. 제1 기판은 실리콘 기판일 수 있다. NAND 메모리 셀의 어레이는 3D NAND 메모리 스트링의 어레이일 수 있다. 몇몇 실시형태에서, NAND 메모리 셀의 어레이의 주변장치 회로가 제1 기판 상에서 또한 형성된다.
도 9a에서 예시되는 바와 같이, 인터리빙된 희생 층(도시되지 않음) 및 유전체 층(908)이 실리콘 기판(902) 위에서 형성된다. 인터리빙된 희생 층 및 유전체 층(908)은 유전체 스택(도시되지 않음)을 형성할 수 있다. 몇몇 실시형태에서, 각각의 희생 층은 실리콘 질화물의 층을 포함하고, 각각의 유전체 층(908)은 실리콘 산화물의 층을 포함한다. 인터리빙된 희생 층 및 유전체 층(908)은 화학적 증착(chemical vapor deposition; CVD), 물리적 증착(physical vapor deposition; PVD), 원자 층 퇴적(atomic layer deposition; ALD), 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 형성될 수 있다. 몇몇 실시형태에서, 메모리 스택(904)은 게이트 대체 프로세스, 예를 들면, 유전체 층(908)에 대해 선택적인 희생 층의 습식/건식 에칭을 사용하여 희생 층을 전도체 층(906)으로 대체하고 결과적으로 나타나는 리세스를 전도체 층(906)으로 충진하는 것에 의해 형성될 수 있다. 결과적으로, 메모리 스택(904)은 인터리빙된 전도체 층(906) 및 유전체 층(908)을 포함할 수 있다. 몇몇 실시형태에서, 각각의 전도체 층(906)은 텅스텐의 층과 같은 금속 층을 포함한다. 메모리 스택(904)은, 다른 실시형태에서, 게이트 대체 프로세스 없이 전도체 층(예를 들면, 도핑된 폴리실리콘 층) 및 유전체 층(예를 들면, 실리콘 산화물 층)을 교대로 퇴적하는 것에 의해 형성될 수도 있다는 것이 이해된다. 몇몇 실시형태에서, 실리콘 산화물을 포함하는 패드 산화물 층이 메모리 스택(904)과 실리콘 기판(902) 사이에서 형성된다.
도 9a에서 예시되는 바와 같이, 3D NAND 메모리 스트링(910)이 실리콘 기판(902) 위에서 형성되는데, 그 각각은 메모리 스택(904)의 인터리빙된 전도체 층(906) 및 유전체 층(908)을 통해 수직으로 연장된다. 몇몇 실시형태에서, 3D NAND 메모리 스트링(910)을 형성하기 위한 제조 프로세스는, 딥 반응성 이온 에칭(deep reactive-ion etching; DRIE)과 같은 습식 에칭 및/또는 건식 에칭을 사용하여 메모리 스택(904)을 통해 실리콘 기판(902) 안으로 채널 홀을 형성하는 것, 후속하여, 실리콘 기판(902)으로부터 채널 홀의 하부 부분에서 플러그(912)를 에피택셜하게 성장시키는 것을 포함한다. 몇몇 실시형태에서, 3D NAND 메모리 스트링(910)을 형성하기 위한 제조 프로세스는, 후속하여, ALD, CVD, PVD, 또는 이들의 임의의 조합과 같은 박막 퇴적 프로세스를 사용하여, 채널 홀을, 복수의 층, 예컨대 메모리 막(914)(예를 들면, 터널링 층, 저장 층, 및 차단 층) 및 반도체 층(916)으로 충진하는 것을 또한 포함한다. 몇몇 실시형태에서, 3D NAND 메모리 스트링(910)을 형성하기 위한 제조 프로세스는, 3D NAND 메모리 스트링(910)의 상부 단부에서 리세스를 에칭하는 것에 의해 채널 홀의 상부 부분에서 다른 플러그(918)를 형성하는 것, 후속하여, ALD, CVD, PVD, 또는 이들의 임의의 조합과 같은 박막 퇴적 프로세스를 사용하여, 리세스를 반도체 재료로 충진하는 것을 더 포함한다.
방법(1600)은, 도 16a에서 예시되는 바와 같이, 동작(1604)으로 진행되는데, 여기서는, 제1 상호 접속 층이 NAND 메모리 셀의 어레이 위에서 형성된다. 제1 상호 접속 층은 하나 이상의 ILD 층에서 제1 복수의 상호 접속부를 포함할 수 있다. 도 9b에서 예시되는 바와 같이, 상호 접속 층(920)은 메모리 스택(904) 및 3D NAND 메모리 스트링(910)의 어레이 위에서 형성될 수 있다. 상호 접속 층(920)은 3D NAND 메모리 스트링(910)의 어레이와의 전기적 접속을 만들기 위해, 복수의 ILD 층에서 MEOL 및/또는 BEOL의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(920)은 다수의 ILD 층 및 다수의 프로세스에서 그 내부에 형성되는 상호 접속부를 포함한다. 예를 들면, 상호 접속 층(920) 내의 상호 접속부는, CVD, PVD, ALD, 전기 도금, 무전해 도금, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 퇴적되는 전도성 재료를 포함할 수 있다. 상호 접속부를 형성하기 위한 제조 프로세스는, 포토리소그래피, 화학적 기계적 연마(chemical mechanical polishing; CMP), 습식/건식 에칭, 또는 임의의 다른 적절한 프로세스를 또한 포함할 수 있다. ILD 층은, CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 퇴적되는 유전체 재료를 포함할 수 있다. 도 9b에서 예시되는 ILD 층 및 상호 접속부는 일괄적으로 상호 접속 층(920)으로서 지칭될 수 있다.
방법(1600)은, 도 16a에서 예시되는 바와 같이, 동작(1606)으로 진행되는데, 여기서는, 제1 상호 접속 층 위에서 제1 본딩 층이 형성된다. 제1 본딩 층은 복수의 제1 본딩 컨택트를 포함할 수 있다. 도 9b에서 예시되는 바와 같이, 본딩 층(922)이 상호 접속 층(920) 위에서 형성된다. 본딩 층(922)은 유전체에 의해 둘러싸이는 복수의 본딩 컨택트(924)를 포함할 수 있다. 몇몇 실시형태에서, CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 상호 접속 층(920)의 상단 표면 상에서 유전체 층이 퇴적된다. 그 다음, 패턴화 프로세스(예를 들면, 포토리소그래피 및 유전체 층 내의 유전체 재료의 건식/습식 에칭)를 사용하여 유전체 층을 통해 컨택트 홀을 먼저 패턴화하는 것에 의해, 유전체 층을 통과하는 그리고 상호 접속 층(920) 내의 상호 접속부와 접촉하는 본딩 컨택트(924)가 형성될 수 있다. 컨택트 홀은 전도체(예를 들면, 구리)로 충진될 수 있다. 몇몇 실시형태에서, 컨택트 홀을 충진하는 것은, 전도체를 퇴적하기 이전에, 접착(글루) 층, 배리어 층, 및/또는 씨드 층을 퇴적하는 것을 포함한다.
방법(1600)은, 도 16a에서 예시되는 바와 같이, 동작(1608)으로 진행되는데, 여기서는, DRAM 셀의 어레이가 제2 기판 위에서 형성된다. 제2 기판은 실리콘 기판일 수 있다. 몇몇 실시형태에서, DRAM 셀의 어레이를 형성하기 위해, 복수의 트랜지스터가 제2 기판 상에서 형성되고, 복수의 커패시터가 트랜지스터 위에서 그리고 트랜지스터와 접촉하여 형성된다. 몇몇 실시형태에서, DRAM 셀의 어레이의 주변장치 회로가 제2 기판 상에서 또한 형성된다.
도 10a에서 예시되는 바와 같이, 복수의 트랜지스터(1004)가 실리콘 기판(1002) 상에서 형성된다. 트랜지스터(1004)는, 포토리소그래피, 건식/습식 에칭, 박막 퇴적, 열 성장, 주입, CMP, 및 임의의 다른 적절한 프로세스를 포함하는, 그러나 이들로 제한되지는 않는 복수의 프로세스에 의해 형성될 수 있다. 몇몇 실시형태에서, 예를 들면, 트랜지스터(1004)의 소스 및/또는 드레인 영역으로서 기능하는 도핑된 영역이, 이온 주입 및/또는 열 확산에 의해 실리콘 기판(1002)에서 형성된다. 몇몇 실시형태에서, 습식/건식 에칭 및 박막 퇴적에 의해 실리콘 기판(1002)에서 분리 영역(예를 들면, STI)이 또한 형성된다.
도 10b에서 예시되는 바와 같이, 복수의 커패시터(1006)가 트랜지스터(1004), 즉 DRAM 선택 트랜지스터(1004) 위에서 그리고 그들과 접촉하여 형성된다. 각각의 커패시터(1006)는, 예를 들면, 커패시터(1006)의 하나의 전극을 각각의 DRAM 선택 트랜지스터(1004)의 하나의 노드와 전기적으로 접속하는 것에 의해, 1T1C 메모리 셀을 형성하기 위해, 각각의 DRAM 선택 트랜지스터(1004)와 정렬되도록 포토리소그래피에 의해 패턴화될 수 있다. 몇몇 실시형태에서, DRAM 선택 트랜지스터(1004) 및 커패시터(1006)를 전기적으로 접속하기 위해 비트 라인(1007) 및 공통 플레이트(1009)가 역시 형성된다. 커패시터(1006)는, 포토리소그래피, 건식/습식 에칭, 박막 퇴적, 열 성장, 주입, CMP, 및 임의의 다른 적절한 프로세스를 포함하는, 그러나 이들로 제한되지는 않는 복수의 프로세스에 의해 형성될 수 있다. 그에 의해, DRAM 셀(1008)의 어레이(각각은 DRAM 선택 트랜지스터(1004) 및 커패시터(1006)를 구비함)가 형성된다.
방법(1600)은, 도 16a에서 예시되는 바와 같이, 동작(1610)으로 진행되는데, 여기서는, 제2 상호 접속 층이 DRAM 셀의 어레이 위에서 형성된다. 제2 상호 접속 층은 하나 이상의 ILD 층에서 제2 복수의 상호 접속부를 포함할 수 있다. 도 10c에서 예시되는 바와 같이, 상호 접속 층(1014)은 DRAM 셀(1008)의 어레이 위에서 형성될 수 있다. 상호 접속 층(1014)은, DRAM 셀(1008)의 어레이와의 전기적 접속을 만들기 위해, 복수의 ILD 층에서 MEOL 및/또는 BEOL의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(1014)은 다수의 ILD 층 및 다수의 프로세스에서 그 내부에 형성되는 상호 접속부를 포함한다. 예를 들면, 상호 접속 층(1014) 내의 상호 접속부는, CVD, PVD, ALD, 전기 도금, 무전해 도금, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 퇴적되는 전도성 재료를 포함할 수 있다. 상호 접속부를 형성하기 위한 제조 프로세스는, 포토리소그래피, CMP, 습식/건식 에칭, 또는 임의의 다른 적절한 프로세스를 또한 포함할 수 있다. ILD 층은, CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 퇴적되는 유전체 재료를 포함할 수 있다. 도 10c에서 예시되는 ILD 층 및 상호 접속부는 일괄적으로 상호 접속 층(1014)으로서 지칭될 수 있다.
방법(1600)은, 도 16a에서 예시되는 바와 같이, 동작(1612)으로 진행되는데, 여기서는, 제2 상호 접속 층 위에서 제2 본딩 층이 형성된다. 제2 본딩 층은 복수의 제2 본딩 컨택트를 포함할 수 있다. 도 10c에서 예시되는 바와 같이, 본딩 층(1016)이 상호 접속 층(1014) 위에서 형성된다. 본딩 층(1016)은 유전체에 의해 둘러싸이는 복수의 본딩 컨택트(1018)를 포함할 수 있다. 몇몇 실시형태에서, CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 상호 접속 층(1014)의 상단 표면 상에서 유전체 층이 퇴적된다. 그 다음, 패턴화 프로세스(예를 들면, 포토리소그래피 및 유전체 층 내의 유전체 재료의 건식/습식 에칭)를 사용하여 유전체 층을 통해 컨택트 홀을 먼저 패턴화하는 것에 의해, 유전체 층을 통과하는 그리고 상호 접속 층(1014) 내의 상호 접속부와 접촉하는 본딩 컨택트(1018)가 형성될 수 있다. 컨택트 홀은 전도체(예를 들면, 구리)로 충진될 수 있다. 몇몇 실시형태에서, 컨택트 홀을 충진하는 것은, 전도체를 퇴적하기 이전에, 접착(글루) 층, 배리어 층, 및/또는 씨드 층을 퇴적하는 것을 포함한다.
방법(1600)은, 도 16a에서 예시되는 바와 같이, 동작(1614)으로 진행되는데, 여기서는, SRAM 셀의 어레이가 제3 기판 상에서 형성된다. 제3 기판은 실리콘 기판일 수 있다. 몇몇 실시형태에서, SRAM 셀의 어레이를 형성하기 위해, 복수의 트랜지스터가 제3 기판 상에서 형성된다. 몇몇 실시형태에서, SRAM 셀의 어레이, NAND 메모리 셀의 어레이, 또는 DRAM 셀의 어레이 중 적어도 하나의 주변장치 회로가 또한 제3 기판 상에서 또한 형성된다.
도 8a에서 예시되는 바와 같이, 포토리소그래피, 건식/습식 에칭, 박막 퇴적, 열 성장, 주입, CMP, 및 임의의 다른 적절한 프로세스를 포함하는, 그러나 이들로 제한되지는 않는 복수의 프로세스에 의해, 복수의 트랜지스터(804)가 실리콘 기판(802) 상에서 형성된다. 몇몇 실시형태에서, 예를 들면, 트랜지스터(804)의 소스 영역 및/또는 드레인 영역으로서 기능하는 도핑된 영역이, 이온 주입 및/또는 열 확산에 의해 실리콘 기판(802)에서 형성된다. 몇몇 실시형태에서, 습식/건식 에칭 및 박막 퇴적에 의해 실리콘 기판(802)에서 분리 영역(예를 들면, STI)이 또한 형성된다. 트랜지스터(804)는 실리콘 기판(802) 상에서 디바이스 층(806)을 형성할 수 있다. 몇몇 실시형태에서, 디바이스 층(806)은 SRAM 셀(803)의 어레이 및 주변장치 회로(805)를 포함한다.
방법(1600)은, 도 16b에서 예시되는 바와 같이, 동작(1616)으로 진행되는데, 여기서는, 제3 상호 접속 층이 SRAM 셀의 어레이 위에서 형성된다. 제3 상호 접속 층은 하나 이상의 ILD 층에서 제3 복수의 상호 접속부를 포함할 수 있다. 도 8b에서 예시되는 바와 같이, SRAM 셀(803)의 어레이를 포함하는 디바이스 층(806) 위에서 상호 접속 층(814)이 형성될 수 있다. 상호 접속 층(814)은 디바이스 층(806)과의 전기적 접속을 만들기 위해 복수의 ILD 층에서 MEOL 및/또는 BEOL의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(814)은 다수의 ILD 층 및 다수의 프로세스에서 그 내부에 형성되는 상호 접속부를 포함한다. 예를 들면, 상호 접속 층(814) 내의 상호 접속부는, CVD, PVD, ALD, 전기 도금, 무전해 도금, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 퇴적되는 전도성 재료를 포함할 수 있다. 상호 접속부를 형성하기 위한 제조 프로세스는, 포토리소그래피, CMP, 습식/건식 에칭, 또는 임의의 다른 적절한 프로세스를 또한 포함할 수 있다. ILD 층은, CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 퇴적되는 유전체 재료를 포함할 수 있다. 도 8b에서 예시되는 ILD 층 및 상호 접속부는 일괄적으로 상호 접속 층(814)으로서 지칭될 수 있다.
방법(1600)은, 도 16b에서 예시되는 바와 같이, 동작(1618)으로 진행되는데, 여기서는, 제3 본딩 층이 제3 상호 접속 층 위에서 형성된다. 제3 본딩 층은 복수의 제3 본딩 컨택트를 포함할 수 있다. 도 8b에서 예시되는 바와 같이, 본딩 층(816)이 상호 접속 층(814) 위에서 형성된다. 본딩 층(816)은 유전체에 의해 둘러싸이는 복수의 본딩 컨택트(818)를 포함할 수 있다. 몇몇 실시형태에서, CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해 상호 접속 층(814)의 상단 표면 상에서 유전체 층이 퇴적된다. 그 다음, 패턴화 프로세스(예를 들면, 포토리소그래피 및 유전체 층 내의 유전체 재료의 건식/습식 에칭)를 사용하여 유전체 층을 통해 컨택트 홀을 먼저 패턴화하는 것에 의해, 유전체 층을 통과하는 그리고 상호 접속 층(814) 내의 상호 접속부와 접촉하는 본딩 컨택트(818)가 형성될 수 있다. 컨택트 홀은 전도체(예를 들면, 구리)로 충진될 수 있다. 몇몇 실시형태에서, 컨택트 홀을 충진하는 것은, 전도체를 퇴적하기 이전에, 배리어 층, 접착제 층, 및/또는 씨드 층을 퇴적하는 것을 포함한다.
방법(1600)은, 도 16b에서 예시되는 바와 같이, 동작(1620)으로 진행되는데, 여기서는, 제1 및 제2 반도체 구조체 중 하나와 제3 반도체 구조체가 전면 대 전면 방식으로 본딩되어, 제1 및 제2 본딩 층 중 하나와 제3 본딩 층 사이에서 제1 본딩 계면을 갖는 본딩된 구조체를 형성한다. 몇몇 실시형태에서, 제1 및 제2 반도체 구조체 중 하나는 본딩된 구조체에서 제3 반도체 구조체 위에 있다. 몇몇 실시형태에서, 제3 본딩 컨택트는 제1 본딩 계면에서 제1 및 제2 본딩 컨택트 중 하나와 접촉한다. 본딩은 하이브리드 본딩일 수 있다. 몇몇 실시형태에서, 제1 및 제3 반도체 구조체가 본딩된다. 몇몇 실시형태에서, 제2 및 제3 반도체 구조체가 본딩된다.
도 11a에서 예시되는 바와 같이, 실리콘 기판(902) 및 그 상에 형성되는 컴포넌트(예를 들면, 메모리 스택(904) 및 이들을 관통하여 형성되는 3D NAND 메모리 스트링(910)의 어레이)는 윗면이 아래로 뒤집혀 있다. 하방을 향하는 본딩 층(922)이 상방을 향하는 본딩 층(816)과, 즉 전면 대 전면 방식으로 본딩되고, 그에 의해, (도 11b에서 도시되는 바와 같이) 제1 본딩 계면(1102)을 형성한다. 즉, 실리콘 기판(902) 및 그 상에 형성되는 컴포넌트는, 실리콘 기판(802) 및 그 상에 형성되는 컴포넌트와 전면 대 전면 방식으로 본딩될 수 있다. 몇몇 실시형태에서, 본딩 이전에, 처리 프로세스, 예를 들면, 플라즈마 처리, 습식 처리 및/또는 열 처리가 본딩 표면에 적용된다. 도 11a에서 도시되지는 않지만, 실리콘 기판(1002) 및 그 상에 형성되는 컴포넌트(예를 들면, DRAM 셀(1008)의 어레이)는 윗면이 아래로 뒤집힐 수도 있고, 몇몇 다른 실시형태에서, 하방을 향하는 본딩 층(1016)이 상방을 향하는 본딩 층(816)과, 즉, 전면 대 전면 방식으로 본딩될 수 있다. 본딩 이후, 본딩 층(922) 내의 본딩 컨택트(924) 및 본딩 층(816) 내의 본딩 컨택트(818)는 정렬되어 서로 접촉하고, 그 결과, 메모리 스택(904) 및 이들을 관통하여 형성되는 3D NAND 메모리 스트링(910)의 어레이는 디바이스 층(806)(예를 들면, 그 내부의 SRAM 셀(803)의 어레이 및 주변장치 회로(805))에 전기적으로 접속될 수 있다. 도 11b에서 예시되는 바와 같은 본딩 이후에, 디바이스 층(806)(예를 들면, 그 내부의 SRAM 셀(803)의 어레이 및 주변장치 회로(805))과 메모리 스택(904)(및 이들을 관통하여 형성되는 3D NAND 메모리 스트링(910)의 어레이) 사이에서 제1 본딩 계면(1102)이 형성될 수 있다.
방법(1600)은, 도 16b에서 예시되는 바와 같이, 동작(1622)으로 진행되는데, 여기서는, 본딩된 구조체 내의 제3 기판이 박형화되어 반도체 층을 형성한다. 몇몇 실시형태에서, 박형화된 제3 기판을 통해 수직으로 연장되는 컨택트가 제3 상호 접속 층과 접촉하도록 형성된다.
도 11b에서 예시되는 바와 같이, 본딩 이후 실리콘 기판(802)은 (도 11a에서 도시되는 바와 같이) 박형화되고, 그 결과, 박형화된 실리콘 기판(802)은, 반도체 층(1104), 예를 들면, 단결정 실리콘 층으로서 기능할 수 있다. 하나의 예에서, 반도체 층(1104)의 두께는, 예를 들면, 에칭 및 CMP 프로세스의 조합을 사용하여, 약 1 ㎛와 약 20 ㎛ 사이, 예컨대 1 ㎛와 20 ㎛ 사이(예를 들면, 1 ㎛, 2 ㎛, 3 ㎛, 4 ㎛, 5 ㎛, 6 ㎛, 7 ㎛, 8 ㎛, 9 ㎛, 10 ㎛, 15 ㎛, 20 ㎛, 이들 값 중 임의의 것에 의한 하한에 의해 경계가 지정되는 임의의 범위, 또는 이들 값 중 임의의 두 개에 의해 정의되는 임의의 범위)에 있을 수도 있다. 몇몇 실시형태에서, 추가적인 에칭 프로세스를 추가로 적용하는 것에 의해, 반도체 층(1104)의 두께는 1 ㎛ 미만으로, 예를 들면, 미크론 이하의 범위 내로 추가로 감소될 수 있다는 것이 이해된다. 도 11b에서 예시되는 바와 같이, 반도체 층(1104)을 통해 수직으로 연장되는 컨택트(1107)는 건식 에칭 및/또는 습식을 사용하여, 후속하여, CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막, 예를 들면, 금속 막 퇴적 프로세스를 사용하여 형성된다. 그에 의해 형성되는 컨택트(1107)는 전기적 접속을 만들기 위해 상호 접속 층(814) 내의 상호 접속부와 접촉할 수 있다.
방법(1600)은, 도 16b에서 예시되는 바와 같이, 동작(1624)으로 진행되는데, 여기서는, 제4 본딩 층이 박형화된 제3 기판 상에서 형성되고 컨택트와 접촉한다. 제4 본딩 층은 복수의 제4 본딩 컨택트를 포함할 수 있다. 몇몇 실시형태에서, 제3 본딩 층 및 제4 본딩 층은 SRAM 셀의 어레이의 양쪽 면 상에 있다.
도 11b에서 예시되는 바와 같이, 본딩 층(1106)이 반도체 층(1104) 상에서 형성된다. 본딩 층(1106)은, 상호 접속 층(814) 내의 상호 접속부와의 전기적 접속을 만들기 위해, 유전체에 의해 둘러싸이며 컨택트(1107)와 접촉하는 복수의 본딩 컨택트(1108)를 포함할 수 있다. 본딩 층(816 및 1106)은, 몇몇 실시형태에 따른, SRAM 셀(803)의 어레이를 포함하는 디바이스 층(806)의 양쪽 면 상에 있다. 몇몇 실시형태에서, CVD, PVD, ALD, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 하나 이상의 박막 퇴적 프로세스에 의해, 반도체 층(1104) 상에서 유전체 층이 퇴적된다. 그 다음, 패턴화 프로세스(예를 들면, 포토리소그래피 및 유전체 층 내의 유전체 재료의 건식/습식 에칭)를 사용하여 유전체 층을 통해 컨택트 홀을 먼저 패턴화하는 것에 의해, 유전체 층을 통과하는 그리고 상호 접속 층(814) 내의 상호 접속부와 접촉하는 본딩 컨택트(1108)가 형성될 수 있다. 컨택트 홀은 전도체(예를 들면, 구리)로 충진될 수 있다. 몇몇 실시형태에서, 컨택트 홀을 충진하는 것은, 전도체를 퇴적하기 이전에, 배리어 층, 접착제 층, 및/또는 씨드 층을 퇴적하는 것을 포함한다.
방법(1600)은, 도 16b에서 예시되는 바와 같이, 동작(1626)으로 진행되는데, 여기서는, 본딩된 구조체 및 제1 및 제2 반도체 구조체 중 다른 하나가 전면 대 전면 방식으로 본딩되어, 제4 본딩 층과 제1 및 제2 본딩 층 중 다른 하나 사이에서 제2 본딩 계면을 형성한다. 몇몇 실시형태에서, 본딩된 구조체는, 본딩 이후에, 제1 및 제2 반도체 구조체 중 다른 하나 위에 있다. 몇몇 실시형태에서, 제4 본딩 컨택트는 제2 본딩 계면에서 제1 및 제2 본딩 컨택트 중 하나와 접촉한다. 본딩은 하이브리드 본딩일 수 있다. 몇몇 실시형태에서, 본딩된 구조체 및 제1 반도체 구조체가 본딩된다. 몇몇 실시형태에서, 본딩된 구조체 및 제2 반도체 구조체가 본딩된다.
도 12a에서 예시되는 바와 같이, 하방을 향하는 본딩 층(1106)이 상방을 향하는 실리콘 기판(1002) 위의 본딩 층(1016)과, 즉 전면 대 전면 방식으로, 본딩되고, 그에 의해, (도 12b에서 도시되는 바와 같은) 제2 본딩 계면(1202)을 형성한다. 즉, 실리콘 기판(902) 및 그 상에 형성되는 컴포넌트(즉, 도 11b에서의 본딩된 구조체)은 실리콘 기판(1002) 및 그 상에 형성되는 컴포넌트(예를 들면, DRAM 셀(1008)의 어레이)와 전면 대 전면 방식으로 본딩될 수 있다. 몇몇 실시형태에서, 본딩 이전에, 처리 프로세스, 예를 들면, 플라즈마 처리, 습식 처리 및/또는 열 처리가 본딩 표면에 적용된다. 본딩 이후, 본딩 층(1106) 내의 본딩 컨택트(1108) 및 본딩 층(1016) 내의 본딩 컨택트(1018)는 정렬되어 서로 접촉하고, 그 결과, 3D NAND 메모리 스트링(910)의 어레이 및 디바이스 층(806)(예를 들면, 그 내부의 SRAM 셀(803)의 어레이 및 주변장치 회로(805))는 DRAM 셀(1008)의 어레이에 전기적으로 접속될 수 있다. 제2 본딩 계면(1202)은, 도 12b에서 예시되는 바와 같은 본딩 이후에 디바이스 층(806)(예를 들면, 그 내부의 SRAM 셀(803)의 어레이 및 주변장치 회로(805))과 DRAM 셀(1008)의 어레이 사이에서 형성될 수 있다.
방법(1600)은, 도 16b에서 예시되는 바와 같이, 동작(1628)으로 진행되는데, 여기서는, 제1 기판 또는 제2 기판이 박형화되어 다른 반도체 층을 형성한다. 도 11b에서 예시되는 바와 같이, 본딩 이후 본딩된 칩의 상단에 있는(예를 들면, 도 12a에서 도시되는 바와 같이 실리콘 기판(1002) 위에 있는) 실리콘 기판(902)은 박형화되고, 그 결과, 박형화된 실리콘 기판(902)은, 반도체 층(1204), 예를 들면, 단결정 실리콘 층으로서 기능할 수 있다. 하나의 예에서, 반도체 층(1204)의 두께는, 예를 들면, 에칭 및 CMP 프로세스의 조합을 사용하여, 약 1 ㎛ 내지 약 20 ㎛ 사이, 예컨대 1 ㎛와 20 ㎛ 사이(예를 들면, 1 ㎛, 2 ㎛, 3 ㎛, 4 ㎛, 5 ㎛, 6 ㎛, 7 ㎛, 8 ㎛, 9 ㎛, 10 ㎛, 15 ㎛, 20 ㎛, 이들 값 중 임의의 것에 의한 하한에 의해 경계가 지정되는 임의의 범위, 또는 이들 값 중 임의의 두 개에 의해 정의되는 임의의 범위)에 있을 수도 있다. 몇몇 실시형태에서, 추가적인 에칭 프로세스를 추가로 적용하는 것에 의해, 반도체 층(1204)의 두께는 1 ㎛ 미만으로, 예를 들면, 미크론 이하의 범위 내로 추가로 감소될 수 있다는 것이 이해된다. 실리콘 기판(1002)이 본딩된 칩의 상단에 있는(예를 들면, 실리콘 기판(902) 위에 있는) 기판인 경우, 실리콘 기판(1002)을 박형화하는 것에 의해 다른 반도체 층이 형성될 수도 있다는 것이 추가로 이해된다.
방법(1600)은, 도 16b에서 예시되는 바와 같이, 동작(1630)으로 진행하는데, 여기서는, 반도체 층 위에서 패드 아웃 상호 접속 층이 형성된다. 도 12b에서 예시되는 바와 같이, 패드 아웃 상호 접속 층(1206)이 반도체 층(1204) 위에서 형성된다. 패드 아웃 상호 접속 층(1206)은, 하나 이상의 ILD 층에서 형성되는 상호 접속부, 예컨대 패드 컨택트(1208)를 포함할 수 있다. 패드 컨택트(1208)는 W, Co, Cu, Al, 도핑된 실리콘, 실리사이드, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 전도성 재료를 포함할 수 있다. ILD 층은, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 저유전율 유전체, 또는 이들의 임의의 조합을 포함하는, 그러나 이들로 제한되지는 않는 유전체 재료를 포함할 수 있다. 몇몇 실시형태에서, 본딩 및 박형화 이후, 예를 들면, 습식/건식 에칭에 의해, 후속하여, 전도성 재료를 퇴적하는 것에 의해, 반도체 층(1204)을 통해 수직으로 연장되는 컨택트(1210)가 형성된다. 컨택트(1210)는 패드 아웃 상호 접속 층(1206) 및 상호 접속 층(920) 내의 상호 접속부와 접촉할 수 있다.
도 8a, 도 8b, 도 9a, 도 9b, 도 10a 내지 도 10c, 도 11a, 도 11b, 도 12a, 도 12b, 도 16a, 및 도 16b에서 묘사되는 반도체 디바이스가 도 1 및 도 2에서 묘사되는 3D 메모리 디바이스(100 및 200)를 포함하지만, 도 3 및 도 4에서의 3D 메모리 디바이스(300 및 400)는, 도 8a, 도 8b, 도 9a, 도 9b, 도 10a 내지 도 10c, 도 11a, 도 11b, 도 12a, 도 12b, 도 16a, 및 도 16b와 관련하여 상기에서 설명되는 바와 동일한 맥락에서 구현될 수도 있다는 것이 이해되는데, 이들은 여기서는 반복되지 않는다.
본 명세서에서 개시되는 DRAM은 몇몇 실시형태에서 다중 스택 DRAM 셀을 포함할 수도 있다는 것이 이해된다. 예를 들면, 도 13은, 몇몇 실시형태에 따른, 다중 스택 DRAM 셀을 갖는 예시적인 반도체 구조체(1300)의 단면을 예시한다. DRAM 셀을 포함하는 반도체 구조체(704 및 1300) 둘 모두에서 유사한 구조체(예를 들면, 재료, 제조 프로세스, 기능, 등등)의 세부 사항은 반복되지 않는다. 몇몇 실시형태에 따르면, 반도체 구조체(1300)는 제1 DRAM 스택(1302) 및 제1 DRAM 스택(1302) 위의 제2 DRAM 스택(1304)을 포함한다. 도 13에서 도시되는 바와 같이, 제1 DRAM 스택(1302)은 기판(1306) 및 기판(1306) 위의 DRAM 셀(1308)의 어레이를 포함할 수 있다. 몇몇 실시형태에서, 각각의 DRAM 셀(1308)은 기판(1306) 상에서 형성되는 DRAM 선택 트랜지스터(1310) 및 DRAM 선택 트랜지스터(1310) 위에 있으며 그것과 접촉하는 커패시터(1312)를 포함한다. 제1 DRAM 스택(1302)은 DRAM 선택 트랜지스터(1310)와 접촉하는 비트 라인(1314)을 또한 포함할 수 있다. 제1 DRAM 스택(1302)은 DRAM 셀(1308)의 어레이 위에서 상호 접속 층(1316)을 또한 포함할 수 있다.
도 13에서 도시되는 바와 같이, 제2 DRAM 스택(1304)은 상호 접속 층(1316) 위의 실리사이드 층(1318) 및 실리사이드 층(1318) 상의 폴리실리콘 층(1320)을 포함할 수 있다. 몇몇 실시형태에 따르면, 실리사이드 층(1318)은, 텅스텐 실리사이드, 티타늄 실리사이드, 코발트 실리사이드, 또는 이들의 임의의 조합과 같은 실리사이드 재료의 하나 이상의 층을 포함한다. 몇몇 실시형태에서, 폴리실리콘 층(1320)은 더 높은 전도성을 달성하기 위해 도핑된다. 몇몇 실시형태에서, 제2 DRAM 스택(1304)은 폴리실리콘 층(1320) 위에서 DRAM 셀(1322)의 어레이를 더 포함한다. 몇몇 실시형태에서, 각각의 DRAM 셀(1322)은, 폴리실리콘 층(1320) 상에서 형성되는 DRAM 선택 트랜지스터(1324) 및 DRAM 선택 트랜지스터(1324) 위에 있으며 그것과 접촉하는 커패시터(1326)를 포함한다. 제2 DRAM 스택(1304)은 DRAM 선택 트랜지스터(1324)와 접촉하는 비트 라인(1328)을 역시 포함할 수 있다. 제2 DRAM 스택(1304)은, DRAM 셀(1308)의 어레이 위의 상호 접속 층(1323) 및 상호 접속 층(1323) 위의 본딩 컨택트(1327)를 포함하는 본딩 층(1325)을 또한 포함할 수 있다. 몇몇 실시형태에서, 반도체 구조체(1300)는, 본딩 층(1325), 제2 DRAM 스택(1304)의 상호 접속 층(1323), 및 제1 DRAM 스택(1302)의 상호 접속 층(1316)을 전기적으로 접속하기 위한, 실리사이드 층(1318) 및 폴리실리콘 층(1320)을 통해 수직으로 연장되는 컨택트(1328)를 더 포함한다.
본 명세서에서 개시되는 NAND 메모리는, 몇몇 실시형태에서, 3D NAND 메모리 스트링의 어레이에 더하여 또는 그 대신에, 2D NAND 메모리 셀의 어레이를 포함할 수도 있다는 것이 이해된다. 예를 들면, 도 14는, 몇몇 실시형태에 따른, 2D NAND 메모리 셀을 갖는 예시적인 반도체 구조체(1400)의 단면을 예시한다. 반도체 구조체(1400)는, 메모리 셀이 기판(1402) 상에서 2D NAND 메모리 셀(1403)의 어레이 형태로 제공되는 NAND 플래시 메모리 디바이스를 포함한다. 2D NAND 메모리 셀(1403)의 어레이는 복수의 2D NAND 메모리 스트링을 포함할 수 있는데, 그 각각은 2D NAND 메모리 스트링의 단부에 있는 두 개의 선택 트랜지스터(1407) 및 소스/드레인(1405)(NAND 게이트와 유사함)에 의해 직렬로 접속되는 복수의 메모리 셀을 각각 포함한다. 몇몇 실시형태에서, 각각의 2D NAND 메모리 셀(1403)은 수직으로 적층되는 플로팅 게이트(1409) 및 제어 게이트(1411)를 갖는 플로팅 게이트 트랜지스터를 포함한다. 몇몇 실시형태에서, 플로팅 게이트 트랜지스터는 유전체 층, 예컨대, 제어 게이트(1411)와 플로팅 게이트(1409) 사이에서 수직으로 배치되는 차단 층 및 플로팅 게이트(1409) 아래에 배치되는 터널링 층을 더 포함한다. 채널은 소스/드레인(1405) 사이에서 그리고 게이트 스택(터널링 층, 플로팅 게이트(1409), 차단 층, 및 제어 게이트(1411)를 포함함) 아래에서 횡방향으로 형성될 수 있다. 몇몇 실시형태에 따르면, 각각의 채널은 제어 게이트(1411)를 통해 각각의 게이트 스택에 인가되는 전압 신호에 의해 제어된다. 2D NAND 메모리 셀(1403)은, 플로팅 게이트(1409)를 저장 층으로 대체하는 전하 트랩 트랜지스터를 포함할 수 있다는 것이 이해된다.
몇몇 실시형태에서, 반도체 구조체(1400)는 2D NAND 메모리 셀(1403)의 어레이로 그리고 그로부터 전기 신호를 전달하기 위해 2D NAND 메모리 셀(1403)의 어레이 위에서 상호 접속 층(1413)을 또한 포함한다. 상호 접속 층(1413)은, 상호 접속 라인 및 비아 컨택트를 비롯한, 복수의 상호 접속부를 포함할 수 있다. 몇몇 실시형태에서, 상호 접속 층(1413) 내의 상호 접속부는, 비트 라인 컨택트 및 워드 라인 컨택트와 같은 로컬 상호 접속부를 또한 포함한다. 몇몇 실시형태에서, 반도체 구조체(1400)는 상호 접속 층(1413) 및 2D NAND 메모리 셀(1403)의 어레이 위에서 본딩 층(1415)을 더 포함한다. 본딩 층(1415)은 복수의 본딩 컨택트(1417) 및 본딩 컨택트(1417)를 둘러싸며 그들을 전기적으로 분리하는 유전체를 포함할 수 있다.
SRAM이 형성되는 상기에서 개시되는 반도체 구조체(예를 들면, 706 및 707) 각각이 SRAM, NAND 메모리 및/또는 DRAM의 주변장치 회로를 포함하지만, 몇몇 실시형태에서, 주변장치 회로의 전체 또는 일부는 본딩된 반도체 디바이스 내의 반도체 구조체에 포함되지 않을 수도 있다는 것이 이해된다. NAND 메모리가 형성되는 상기에서 개시되는 반도체 구조체(예를 들면, 702 및 703) 각각이 NAND 메모리의 주변장치 회로를 포함하지 않지만, 몇몇 실시형태에서, 주변장치 회로의 전체 또는 일부가 본딩된 반도체 디바이스 내의 반도체 구조체에서 포함될 수도 있다는 것이 또한 이해된다. DRAM이 형성되는 상기에서 개시되는 반도체 구조체(예를 들면, 704 및 705) 각각이 DRAM의 주변장치 회로를 포함하지 않지만, 몇몇 실시형태에서, 주변장치 회로의 전체 또는 일부가 본딩된 반도체 디바이스 내의 반도체 구조체에서 포함될 수도 있다는 것이 또한 이해된다.
예를 들면, 도 15a는, 몇몇 실시형태에 따른, NAND 메모리 및 주변장치 회로를 갖는 예시적인 반도체 구조체(1500)의 단면을 예시한다. 예시적인 목적만을 위해서, 반도체 구조체(1500) 내의 NAND 메모리(1504)는 도 7b와 관련하여 제1 반도체 구조체(703)에서 상기에서 상세하게 설명되는 바와 같이 기판(1502) 위로 메모리 스택(715)을 통해 수직으로 연장되는 3D NAND 메모리 스트링(717)의 어레이를 포함한다. 반도체 구조체(703 및 1500) 둘 모두에서의 유사한 구조체(예를 들면, 재료, 제조 프로세스, 기능, 등등)의 세부 사항은 반복되지 않는다. 다른 실시형태에서, NAND 메모리(1504)는 2D NAND 메모리 셀(예를 들면, 1403)의 어레이를 포함할 수도 있다는 것이 이해된다.
도 15a에서 예시되는 바와 같이, 반도체 구조체(1500)는 기판(1502) 상에서 그리고 NAND 메모리(1504)(예를 들면, 3D NAND 메모리 스트링(717)의 어레이) 외부에서 형성되는 주변장치 회로(1506)를 더 포함한다. NAND 메모리(1504) 및 NAND 메모리(1504)의 주변장치 회로(1506) 둘 모두는 동일한 평면에서, 예를 들면, 기판(1502) 상에서 형성될 수 있다. 주변장치 회로(1506)는, 페이지 버퍼, 디코더(예를 들면, 행 디코더 및 열 디코더), 감지 증폭기, 드라이버(예를 들면, 워드 라인 드라이버), 차지 펌프, 전류 또는 전압 기준, 또는 회로의 임의의 능동 또는 수동 컴포넌트(예를 들면, 트랜지스터, 다이오드, 저항기, 또는 커패시터) 중 하나 이상을 비롯한, NAND 메모리(1504)를 감지하고 제어하기 위한 주변장치 회로의 전체 또는 일부일 수 있다. 몇몇 실시형태에서, 주변장치 회로(1506)는 복수의 트랜지스터(1508)를 포함한다. 트랜지스터(1508)는 기판(1502) "상에서" 형성될 수 있는데, 여기서 트랜지스터(1508)의 전체 또는 일부는 기판(1502) 내에서(예를 들면, 기판(1502)의 상단 표면 아래에서) 및/또는 기판(1502) 바로 상에서 형성된다. 분리 영역(예를 들면, STI) 및 도핑된 영역(예를 들면, 트랜지스터(1508)의 소스 영역 및 드레인 영역)이 기판(1502)에서 역시 형성될 수 있다. 몇몇 실시형태에 따르면, 트랜지스터(1508)는 고급 로직 프로세스(예를 들면, 90 nm, 65 nm, 45 nm, 32 nm, 28 nm, 20 nm, 16 nm, 14 nm, 10 nm, 7 nm, 5 nm, 3 nm, 2 nm, 등등의 기술 노드)에 의해 고속이다.
몇몇 실시형태에서, 반도체 구조체(1500)는, 3D NAND 메모리 스트링(717) 및 주변장치 회로(1506)로 그리고 그들로부터 전기 신호를 전달하기 위해, NAND 메모리(1504)(예를 들면, 메모리 스택(715), 3D NAND 메모리 스트링(717)) 및 주변장치 회로(1506) 위에서 상호 접속 층(1510)을 또한 포함한다. 상호 접속 층(1510)은, 상호 접속 라인 및 비아 컨택트를 비롯한, 복수의 상호 접속부를 포함할 수 있다. NAND 메모리(1504)(예를 들면, 3D NAND 메모리 스트링(717)) 및 주변장치 회로(1506)는 상호 접속 층(1510) 내의 상호 접속부에 의해 역시 전기적으로 접속될 수 있다. 몇몇 실시형태에서, 반도체 구조체(1500)는, 상호 접속 층(1510) 위의 본딩 층(1512), 메모리 스택(715)(및 그들을 관통하는 3D NAND 메모리 스트링(717)), 및 주변장치 회로(1506)를 더 포함한다. 본딩 층(1512)은 복수의 본딩 컨택트(1514) 및 본딩 컨택트(1514)를 둘러싸며 그들을 전기적으로 절연하는 유전체를 포함할 수 있다.
동일한 반도체 구조체에서 NAND 메모리 및 NAND 메모리의 주변장치 회로의 상대적 위치는, 도 15a에서 도시되는 바와 같이 동일한 평면에 있는 것으로 제한되지는 않는다. 몇몇 실시형태에서, NAND 메모리의 주변장치 회로는 NAND 메모리 위에 있다. 몇몇 실시형태에서, NAND 메모리의 주변장치 회로는 NAND 메모리 아래에 있다. 예를 들면, 도 15b는, 몇몇 실시형태에 따르면, NAND 메모리 및 주변장치 회로를 갖는 다른 예시적인 반도체 구조체(1501)의 단면을 예시한다. 반도체 구조체(1501)는 반도체 구조체(703)와 유사한데, 그들 둘 모두는 메모리 스택(715), 3D NAND 메모리 스트링(717)의 어레이, 메모리 스택(715) 위의 상호 접속 층(723), 및 상호 접속 층(723) 위의 본딩 층(725)을 포함한다. 따라서, 반도체 구조체(703 및 1501) 둘 모두에서의 유사한 구조체(예를 들면, 재료, 제조 프로세스, 기능, 등등)의 세부 사항은 반복되지 않는다.
반도체 구조체(703)와는 상이하게, 반도체 구조체(1501)는 기판(1503) 상의 메모리 스택(715)(및 그들을 관통하는 3D NAND 메모리 스트링(717)) 아래에서 주변장치 회로(1507)를 더 포함한다. 주변장치 회로(1507)는, 페이지 버퍼, 디코더(예를 들면, 행 디코더 및 열 디코더), 감지 증폭기, 드라이버(예를 들면, 워드 라인 드라이버), 차지 펌프, 전류 또는 전압 기준, 또는 회로의 임의의 능동 또는 수동 컴포넌트(예를 들면, 트랜지스터, 다이오드, 저항기, 또는 커패시터) 중 하나 이상을 비롯한, 3D NAND 메모리 스트링(717)을 감지하고 제어하기 위한 주변장치 회로의 전체 또는 일부일 수 있다. 몇몇 실시형태에서, 주변장치 회로(1507)는 복수의 트랜지스터(1509)를 포함한다. 트랜지스터(1509)는 기판(1503) "상에서" 형성될 수 있는데, 여기서 트랜지스터(1509)의 전체 또는 일부는 기판(1503) 내에서(예를 들면, 기판(1503)의 상단 표면 아래에서) 및/또는 기판(1503) 바로 상에서 형성된다. 분리 영역(예를 들면, STI) 및 도핑된 영역(예를 들면, 트랜지스터(1509)의 소스 영역 및 드레인 영역)이 기판(1503)에서 역시 형성될 수 있다. 몇몇 실시형태에 따르면, 트랜지스터(1509)는 고급 로직 프로세스(예를 들면, 90 nm, 65 nm, 45 nm, 32 nm, 28 nm, 20 nm, 16 nm, 14 nm, 10 nm, 7 nm, 5 nm, 3 nm, 2 nm, 등등의 기술 노드)에 의해 고속이다.
몇몇 실시형태에서, 반도체 구조체(1501)는, 3D NAND 메모리 스트링(717)과 주변장치 회로(1507) 사이에서 전기 신호를 전달하기 위해 3D NAND 메모리 스트링(717) 및 주변장치 회로(1507)를 전기적으로 접속하기 위한 주변장치 회로(1507)와 메모리 스택(715)(및 그들을 관통하는 3D NAND 메모리 스트링(717)) 사이에서 수직으로 형성되는 상호 접속 층(1511)을 또한 포함한다. 상호 접속 층(1511)은, 상호 접속 라인 및 비아 컨택트를 비롯한, 복수의 상호 접속부를 포함할 수 있다. 3D NAND 메모리 스트링(717) 및 주변장치 회로(1507)는 상호 접속 층(1511) 내의 상호 접속부에 의해 역시 전기적으로 접속될 수 있다. 몇몇 실시형태에서, 반도체 구조체(1501)는, 메모리 스택(715)(및 그들을 관통하는 3D NAND 메모리 스트링(717))이 위에서 형성될 수 있는 반도체 층(1505)을 더 포함한다. 반도체 층(1505)은, 예를 들면, 하나 이상의 박막 퇴적 프로세스에 의해 상호 접속 층(1511) 위에서 형성되는 폴리실리콘 층일 수 있다. 메모리 스택(715)은, 그 다음, 반도체 층(1505) 위에서 형성될 수 있다. 도 15b에서 도시되는 바와 같이 주변장치 회로(1507)가 메모리 스택(715)(및 그들을 관통하는 3D NAND 메모리 스트링(717)) 아래에 있지만, 몇몇 실시형태에서, 주변장치 회로(1507)가 메모리 스택(715)(및 그들을 관통하는 3D NAND 메모리 스트링(717)) 위에 있을 수도 있다는 것이 이해된다.
도 15a 및 도 15b에서의 반도체 구조체(1500 및 1501)가 NAND 플래시 메모리를 포함하지만, DRAM을 포함하는 반도체 구조체가 반도체 구조체(1500 및 1501)와 유사한 구성을 가질 수도 있다는 것이 이해된다. 예를 들면, 본 명세서에서 개시되는 바와 같은 DRAM을 포함하는 반도체 구조체(예를 들면, 704 및 705)는, DRAM 셀의 주변장치 회로의 전체 또는 일부를 역시 포함할 수도 있다. DRAM 셀의 주변장치 회로는 DRAM 셀과 동일한 평면에서(예를 들면, DRAM 셀 어레이 외부에서), DRAM 셀 어레이 위에서, 및/또는 DRAM 셀 어레이 아래에서 있을 수 있다.
본 개시의 하나의 양태에 따르면, 3D 메모리 디바이스는, NAND 메모리 셀의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체를 포함한다. 3D 메모리 디바이스는, DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체를 또한 포함한다. 3D 메모리 디바이스는, 정적 랜덤 액세스 메모리(SRAM) 셀의 어레이, 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층, 및 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층을 포함하는 제3 반도체 구조체를 또한 포함한다. 제3 본딩 층 및 제4 본딩 층은 SRAM 셀의 어레이의 양쪽 면 상에 있다. 3D 메모리 디바이스는 제1 본딩 층과 제3 본딩 층 사이에서 제1 본딩 계면을 더 포함한다. 제1 본딩 컨택트는 제1 본딩 계면에서 제3 본딩 컨택트와 접촉한다. 3D 메모리 디바이스는 제2 본딩 층과 제4 본딩 층 사이에서 제2 본딩 계면을 더 포함한다. 제2 본딩 컨택트는 제2 본딩 계면에서 제4 본딩 컨택트와 접촉한다.
몇몇 실시형태에서, 제2 반도체 구조체는, 기판, 기판 위의 DRAM 셀의 어레이, 및 DRAM 셀의 어레이 위의 제2 본딩 층을 포함한다.
몇몇 실시형태에서, 제3 반도체 구조체는, 제2 본딩 층 위의 제4 본딩 층, 제4 본딩 층 위의 SRAM 셀의 어레이, 및 SRAM 셀의 어레이 위의 제3 본딩 층을 포함한다.
몇몇 실시형태에서, 제1 반도체 구조체는, 제3 본딩 층 위의 제1 본딩 층, 제1 본딩 층 위의 NAND 메모리 셀의 어레이, 및 NAND 메모리 셀의 어레이 위에 있으며 그것과 접촉하는 반도체 층을 포함한다. 몇몇 실시형태에서, NAND 메모리 셀의 어레이는 3D NAND 메모리 스트링 또는 2D NAND 메모리 셀 중 적어도 하나를 포함한다.
몇몇 실시형태에서, 반도체 구조체는 반도체 층 위에서 패드 아웃 상호 접속 층을 더 포함한다. 몇몇 실시형태에서, 반도체 층은 단결정 실리콘을 포함한다. 몇몇 실시형태에서, 반도체 층은 폴리실리콘을 포함한다.
몇몇 실시형태에서, 제1 반도체 구조체는 기판, 기판 위의 NAND 메모리 셀의 어레이, 및 NAND 메모리 셀의 어레이 위의 제1 본딩 층을 포함한다. 몇몇 실시형태에서, NAND 메모리 셀의 어레이는 3D NAND 메모리 스트링 또는 2D NAND 메모리 셀 중 적어도 하나를 포함한다.
몇몇 실시형태에서, 제3 반도체 구조체는, 제1 본딩 층 위의 제3 본딩 층, 제3 본딩 층 위의 SRAM 셀의 어레이, 및 SRAM 셀의 어레이 위의 제4 본딩 층을 포함한다.
몇몇 실시형태에서, 제2 반도체 구조체는, 제4 본딩 층 위의 제2 본딩 층, 제2 본딩 층 위의 DRAM 셀의 어레이, 및 DRAM 셀의 어레이 위에 있으며 그것과 접촉하는 반도체 층을 포함한다.
몇몇 실시형태에서, 반도체 구조체는 반도체 층 위에서 패드 아웃 상호 접속 층을 더 포함한다. 몇몇 실시형태에서, 반도체 층은 단결정 실리콘을 포함한다.
몇몇 실시형태에서, 제1, 제2, 및 제3 반도체 구조체 중 적어도 하나는 주변장치 회로를 더 포함한다.
몇몇 실시형태에서, 제1 반도체 구조체는, 제1 본딩 층과 NAND 메모리 셀의 어레이 사이에서 수직으로 제1 상호 접속 층을 포함하고, 제2 반도체 구조체는, 제2 본딩 층과 DRAM 셀의 어레이 사이에서 수직으로 제2 상호 접속 층을 포함한다.
몇몇 실시형태에서, SRAM 셀의 어레이는 제1 상호 접속 층 및 제1 및 제3 본딩 컨택트를 통해 NAND 메모리 셀의 어레이에 전기적으로 접속되고, SRAM 셀의 어레이는 제2 상호 접속 층과 제2 및 제4 본딩 컨택트를 통해 DRAM 셀의 어레이에 전기적으로 접속된다. 몇몇 실시형태에서, NAND 메모리 셀의 어레이는, 제1 및 제2 상호 접속 층 및 제1, 제2, 제3, 및 제4 본딩 컨택트를 통해 DRAM 셀의 어레이에 전기적으로 접속된다.
몇몇 실시형태에서, 3D 메모리 디바이스는 프로세서를 포함하지 않는다.
본 개시의 다른 양태에 따르면, 3D 메모리 디바이스는, SRAM 셀의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체를 포함한다. 3D 메모리 디바이스는, DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체를 또한 포함한다. 3D 메모리 디바이스는, NAND 메모리 셀의 어레이, 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층, 및 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층을 포함하는 제3 반도체 구조체를 또한 포함한다. 제3 본딩 층 및 제4 본딩 층은 NAND 메모리 셀의 어레이의 양쪽 면 상에 있다. 3D 메모리 디바이스는 제1 본딩 층과 제3 본딩 층 사이에서 제1 본딩 계면을 더 포함한다. 제1 본딩 컨택트는 제1 본딩 계면에서 제3 본딩 컨택트와 접촉한다. 3D 메모리 디바이스는 제2 본딩 층과 제4 본딩 층 사이에서 제2 본딩 계면을 더 포함한다. 제2 본딩 컨택트는 제2 본딩 계면에서 제4 본딩 컨택트와 접촉한다.
몇몇 실시형태에서, 제2 반도체 구조체는, 기판, 기판 위의 DRAM 셀의 어레이, 및 DRAM 셀의 어레이 위의 제2 본딩 층을 포함한다.
몇몇 실시형태에서, 제3 반도체 구조체는, 제2 본딩 층 위의 제4 본딩 층, 제4 본딩 층 위의 NAND 메모리 셀의 어레이, 및 NAND 메모리 셀의 어레이 위의 제3 본딩 층을 포함한다.
몇몇 실시형태에서, 제1 반도체 구조체는, 제3 본딩 층 위의 제1 본딩 층, 제1 본딩 층 위의 SRAM 셀의 어레이, 및 SRAM 셀의 어레이 위에 있으며 그것과 접촉하는 반도체 층을 포함한다.
몇몇 실시형태에서, 반도체 구조체는 반도체 층 위에서 패드 아웃 상호 접속 층을 더 포함한다.
몇몇 실시형태에서, 제1 반도체 구조체는 기판, 기판 위의 SRAM 셀의 어레이, 및 SRAM 셀의 어레이 위의 제1 본딩 층을 포함한다.
몇몇 실시형태에서, 제3 반도체 구조체는 제1 본딩 층 위의 제3 본딩 층, 제3 본딩 층 위의 NAND 메모리 셀의 어레이, 및 NAND 메모리 셀의 어레이 위의 제4 본딩 층을 포함한다.
몇몇 실시형태에서, 제2 반도체 구조체는, 제4 본딩 층 위의 제2 본딩 층, 제2 본딩 층 위의 DRAM 셀의 어레이, 및 DRAM 셀의 어레이 위에 있으며 그것과 접촉하는 반도체 층을 포함한다.
몇몇 실시형태에서, 반도체 구조체는 반도체 층 위에서 패드 아웃 상호 접속 층을 더 포함한다.
몇몇 실시형태에서, 제1, 제2, 및 제3 반도체 구조체 중 적어도 하나는 주변장치 회로를 더 포함한다.
몇몇 실시형태에서, 3D 메모리 디바이스는 프로세서를 포함하지 않는다.
본 개시의 여전히 다른 양태에 따르면, 3D 메모리 디바이스를 형성하기 위한 방법이 개시된다. NAND 메모리 셀의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체가 형성된다. DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체가 형성된다. SRAM 셀의 어레이 및 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층을 포함하는 제3 반도체 구조체가 형성된다. 제1 및 제2 반도체 구조체 중 하나와 제3 반도체 구조체는 전면 대 전면 방식으로 본딩되어, 제1 및 제2 본딩 층 중 하나와 제3 본딩 층 사이에서 제1 본딩 계면을 갖는 본딩된 구조체를 형성한다. 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층이 제3 반도체 구조체에서 형성된다. 제3 본딩 층 및 제4 본딩 층은 SRAM 셀의 어레이의 양쪽 면 상에 있다. 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체는 전면 대 전면 방식으로 본딩되어 제1 및 제2 본딩 층 중 다른 하나와 제4 본딩 층 사이에서 제2 본딩 계면을 형성한다.
몇몇 실시형태에서, 제1 반도체 구조체를 형성하기 위해, NAND 메모리 셀의 어레이가 제1 기판 위에서 형성되고, 제1 상호 접속 층이 NAND 메모리 셀의 어레이 위에서 형성되며, 제1 본딩 층이 제1 상호 접속 층 위에서 형성된다. 몇몇 실시형태에서, 제1 반도체 구조체를 형성하기 위해, 주변장치 회로가 제1 기판 상에서 형성된다.
몇몇 실시형태에서, 제2 반도체 구조체를 형성하기 위해, DRAM 셀의 어레이가 제2 기판 위에서 형성되고, 제2 상호 접속 층이 DRAM 셀의 어레이 위에서 형성되며, 제2 본딩 층이 제2 상호 접속 층 위에서 형성된다. 몇몇 실시형태에서, 제2 반도체 구조체를 형성하기 위해, 주변장치 회로가 제2 기판 상에서 형성된다.
몇몇 실시형태에서, 제3 반도체 구조체를 형성하기 위해, SRAM 셀의 어레이가 제3 기판 상에서 형성되고, 제3 상호 접속 층이 SRAM 셀의 어레이 위에서 형성되며, 제3 본딩 층이 제3 상호 접속 층 위에서 형성된다.
몇몇 실시형태에서, 제1 및 제2 반도체 구조체 중 하나와 제3 반도체 구조체를 본딩한 이후 제3 기판이 박형화되고, 박형화된 제3 기판을 통해 수직으로 연장되는 컨택트가 제3 상호 접속 층과 접촉하도록 형성되며, 제4 본딩 층은 박형화된 제3 기판 상에서 그리고 컨택트와 접촉하여 형성된다.
몇몇 실시형태에서, 제1 반도체 구조체는, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체를 본딩한 이후, 제2 반도체 구조체 위에 있다. 몇몇 실시형태에서, 제1 기판은, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체를 본딩한 이후 반도체 층을 형성하도록 박형화되고, 패드 아웃 상호 접속 층이 반도체 층 위에서 형성된다.
몇몇 실시형태에서, 제1 반도체 구조체는, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체를 본딩한 이후 제2 반도체 구조체 아래에 있다. 몇몇 실시형태에서, 제2 기판은, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체를 본딩한 이후 반도체 층을 형성하도록 박형화되고, 패드 아웃 상호 접속 층이 반도체 층 위에서 형성된다.
몇몇 실시형태에서, 본딩은 하이브리드 본딩을 포함한다.
본 개시의 또 다른 양태에 따르면, 3D 메모리 디바이스를 형성하기 위한 방법이 개시된다. SRAM 셀의 어레이 및 복수의 제1 본딩 컨택트를 포함하는 제1 본딩 층을 포함하는 제1 반도체 구조체가 형성된다. DRAM 셀의 어레이 및 복수의 제2 본딩 컨택트를 포함하는 제2 본딩 층을 포함하는 제2 반도체 구조체가 형성된다. NAND 메모리 셀의 어레이 및 복수의 제3 본딩 컨택트를 포함하는 제3 본딩 층을 포함하는 제3 반도체 구조체가 형성된다. 제1 및 제2 반도체 구조체 중 하나와 제3 반도체 구조체는 전면 대 전면 방식으로 본딩되어, 제1 및 제2 본딩 층 중 하나와 제3 본딩 층 사이에서 제1 본딩 계면을 갖는 본딩된 구조체를 형성한다. 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층이 제3 반도체 구조체에서 형성된다. 제3 본딩 층 및 제4 본딩 층은 NAND 메모리 셀의 어레이의 양쪽 면 상에 있다. 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체는 전면 대 전면 방식으로 본딩되어 제1 및 제2 본딩 층 중 다른 하나와 제4 본딩 층 사이에서 제2 본딩 계면을 형성한다.
몇몇 실시형태에서, 제1 반도체 구조체를 형성하기 위해, SRAM 셀의 어레이가 제1 기판 위에서 형성되고, 제1 상호 접속 층이 SRAM 셀의 어레이 위에서 형성되며, 제1 본딩 층이 제1 상호 접속 층 위에서 형성된다.
몇몇 실시형태에서, 제2 반도체 구조체를 형성하기 위해, DRAM 셀의 어레이가 제2 기판 위에서 형성되고, 제2 상호 접속 층이 DRAM 셀의 어레이 위에서 형성되며, 제2 본딩 층이 제2 상호 접속 층 위에서 형성된다.
몇몇 실시형태에서, 제3 반도체 구조체를 형성하기 위해, NAND 메모리 셀의 어레이가 제3 기판 상에서 형성되고, 제3 상호 접속 층이 NAND 메모리 셀의 어레이 위에서 형성되며, 제3 본딩 층이 제3 상호 접속 층 위에서 형성된다.
몇몇 실시형태에서, 제1 및 제2 반도체 구조체 중 하나와 제3 반도체 구조체를 본딩한 이후 제3 기판이 박형화되고, 박형화된 제3 기판을 통해 수직으로 연장되는 컨택트가 제3 상호 접속 층과 접촉하도록 형성되며, 제4 본딩 층은 박형화된 제3 기판 상에서 그리고 컨택트와 접촉하여 형성된다.
몇몇 실시형태에서, 제1 반도체 구조체는, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체를 본딩한 이후, 제2 반도체 구조체 위에 있다. 몇몇 실시형태에서, 제1 기판은, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체를 본딩한 이후 반도체 층을 형성하도록 박형화되고, 패드 아웃 상호 접속 층이 반도체 층 위에서 형성된다.
몇몇 실시형태에서, 제1 반도체 구조체는, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체를 본딩한 이후 제2 반도체 구조체 아래에 있다. 몇몇 실시형태에서, 제2 기판은, 제1 및 제2 반도체 구조체 중 다른 하나와 본딩된 구조체를 본딩한 이후 반도체 층을 형성하도록 박형화되고, 패드 아웃 상호 접속 층이 반도체 층 위에서 형성된다.
몇몇 실시형태에서, 본딩은 하이브리드 본딩을 포함한다.
특정한 실시형태의 전술한 설명은, 따라서, 본 개시의 일반적인 개념을 벗어나지 않으면서, 과도한 실험 없이, 본 기술 분야의 기술 내의 지식을 적용하는 것에 의해, 다양한 애플리케이션을 위해 그러한 특정한 실시형태를 쉽게 수정 및/또는 적응시킬 수 있다는 본 개시의 일반적인 성질을 드러낼 것이다. 따라서, 그러한 적응 및 수정은, 본 명세서에서 제시되는 교시 및 지침에 기초하여, 개시된 실시형태의 등가물의 의미 및 범위 내에 있도록 의도된다. 본 명세서에서의 문체(phraseology) 또는 전문 용어는 제한의 목적이 아니라 설명의 목적을 위한 것이며, 그 결과, 본 명세서의 전문 용어 또는 문체는 본 교시 및 지침을 고려하여 숙련된 기술자에 의해 해석되어야 한다는 것이 이해되어야 한다.
본 개시의 실시형태는, 명시된 기능 및 그들의 관계의 구현을 예시하는 기능적 빌딩 블록(functional building block)의 도움으로 상기에서 설명되었다. 이들 기능적 빌딩 블록의 경계는 설명의 편의성을 위해 본 명세서에서 임의적으로 정의되었다. 명시된 기능 및 그들의 관계가 적절하게 수행되는 한, 대안적인 경계가 정의될 수 있다.
발명의 내용(Summary) 및 요약서 섹션은, 본 발명자(들)에 의해 고려되는 바와 같은 본 개시의 하나 이상의, 그러나 모두는 아닌 실시형태를 기술할 수 있으며, 따라서, 본 개시 및 첨부된 청구범위를 어떤 식으로든 제한하도록 의도되지는 않는다.
본 개시의 폭 및 범위는, 상기에서 설명된 예시적인 실시형태 중 어느 것에 의해 제한되어서는 안되며, 오히려, 오로지 이하의 청구범위 및 그들의 균등물에 따라 정의되어야 한다.

Claims (52)

  1. 삼차원(three-dimensional; 3D) 메모리 디바이스로서,
    NAND 메모리 셀의 어레이 및 제1 본딩 층 - 상기 제 1 본딩 층은 복수의 제1 본딩 컨택트를 포함함 - 을 포함하는 제1 반도체 구조체와,
    동적 랜덤 액세스 메모리(dynamic random-access memory; DRAM) 셀의 어레이 및 제2 본딩 층 - 상기 제2 본딩 층은 복수의 제2 본딩 컨택트를 포함함 - 을 포함하는 제2 반도체 구조체와,
    정적 랜덤 액세스 메모리(static random-access memory; SRAM) 셀의 어레이, 제3 본딩 층, 및 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층 - 상기 제3 본딩 층은 복수의 제3 본딩 컨택트를 포함하고, 상기 제3 본딩 층 및 상기 제4 본딩 층은 상기 SRAM 셀의 어레이의 양쪽 면(both sides) 상에 있음 - 을 포함하는 제3 반도체 구조체와,
    상기 제1 본딩 층과 상기 제3 본딩 층 사이의 제1 본딩 계면 - 상기 제1 본딩 컨택트는 상기 제1 본딩 계면에서 상기 제3 본딩 컨택트와 접촉함 - 과,
    상기 제2 본딩 층과 상기 제4 본딩 층 사이의 제2 본딩 계면 - 상기 제2 본딩 컨택트는 상기 제2 본딩 계면에서 상기 제4 본딩 컨택트와 접촉함 - 을 포함하는,
    삼차원(3D) 메모리 디바이스.
  2. 제1항에 있어서,
    상기 제2 반도체 구조체는,
    기판과,
    상기 기판 위의 상기 DRAM 셀의 어레이와,
    상기 DRAM 셀의 어레이 위의 상기 제2 본딩 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  3. 제2항에 있어서,
    상기 제3 반도체 구조체는,
    상기 제2 본딩 층 위의 상기 제4 본딩 층과,
    상기 제4 본딩 층 위의 상기 SRAM 셀의 어레이와,
    상기 SRAM 셀의 어레이 위의 상기 제3 본딩 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  4. 제3항에 있어서,
    상기 제1 반도체 구조체는,
    상기 제3 본딩 층 위의 상기 제1 본딩 층과,
    상기 제1 본딩 층 위의 상기 NAND 메모리 셀의 어레이와,
    상기 NAND 메모리 셀의 어레이 위에 있으며 상기 NAND 메모리 셀의 어레이와 접촉하는 반도체 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  5. 제4항에 있어서,
    상기 NAND 메모리 셀의 어레이는 3D NAND 메모리 스트링 또는 이차원(two-dimensional; 2D) NAND 메모리 셀 중 적어도 하나를 포함하는,
    삼차원(3D) 메모리 디바이스.
  6. 제4항 또는 제5항에 있어서,
    상기 반도체 층 위에서 패드 아웃 상호 접속 층(pad-out interconnect layer)을 더 포함하는,
    삼차원(3D) 메모리 디바이스.
  7. 제4항 내지 제6항 중 어느 한 항에 있어서,
    상기 반도체 층은 단결정 실리콘을 포함하는,
    삼차원(3D) 메모리 디바이스.
  8. 제4항 내지 제6항 중 어느 한 항에 있어서,
    상기 반도체 층은 폴리실리콘을 포함하는,
    삼차원(3D) 메모리 디바이스.
  9. 제1항에 있어서,
    상기 제1 반도체 구조체는,
    기판과,
    상기 기판 위의 상기 NAND 메모리 셀의 어레이와,
    상기 NAND 메모리 셀의 어레이 위의 상기 제1 본딩 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  10. 제9항에 있어서,
    상기 NAND 메모리 셀의 어레이는 3D NAND 메모리 스트링 또는 2D NAND 메모리 셀 중 적어도 하나를 포함하는,
    삼차원(3D) 메모리 디바이스.
  11. 제9항 또는 제10항에 있어서,
    상기 제3 반도체 구조체는,
    상기 제1 본딩 층 위의 상기 제3 본딩 층과,
    상기 제3 본딩 층 위의 상기 SRAM 셀의 어레이와,
    상기 SRAM 셀의 어레이 위의 상기 제4 본딩 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  12. 제11항에 있어서,
    상기 제2 반도체 구조체는,
    상기 제4 본딩 층 위의 상기 제2 본딩 층과,
    상기 제2 본딩 층 위의 상기 DRAM 셀의 어레이와,
    상기 DRAM 셀의 어레이 위에 있으며 상기 DRAM 셀의 어레이와 접촉하는 반도체 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  13. 제12항에 있어서,
    상기 반도체 층 위에서 패드 아웃 상호 접속 층을 더 포함하는,
    삼차원(3D) 메모리 디바이스.
  14. 제12항 또는 제13항에 있어서,
    상기 반도체 층은 단결정 실리콘을 포함하는,
    삼차원(3D) 메모리 디바이스.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서,
    상기 제1 반도체 구조체, 상기 제2 반도체 구조체, 및 상기 제3 반도체 구조체 중 적어도 하나는 주변장치 회로(peripheral circuit)를 더 포함하는,
    삼차원(3D) 메모리 디바이스.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서,
    상기 제1 반도체 구조체는 상기 제1 본딩 층과 상기 NAND 메모리 셀의 어레이 사이에서 수직으로 제1 상호 접속 층을 포함하고,
    상기 제2 반도체 구조체는 상기 제2 본딩 층과 상기 DRAM 셀의 어레이 사이에서 수직으로 제2 상호 접속 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  17. 제16항에 있어서,
    상기 SRAM 셀의 어레이는 상기 제1 상호 접속 층 및 상기 제1 본딩 층 및 상기 제3 본딩 컨택트를 통해 상기 NAND 메모리 셀의 어레이에 전기적으로 접속되고,
    상기 SRAM 셀의 어레이는 상기 제2 상호 접속 층 및 상기 제2 및 제4 본딩 컨택트를 통해 상기 DRAM 셀의 어레이에 전기적으로 접속되는,
    삼차원(3D) 메모리 디바이스.
  18. 제17항에 있어서,
    상기 상기 NAND 메모리 셀의 어레이는, 상기 제1 상호 접속 층과 상기 제2 상호 접속 층 및 상기 제1 본딩 컨택트, 상기 제2 본딩 컨택트, 상기 제3 본딩 컨택트, 및 상기 제4 본딩 컨택트를 통해 상기 DRAM 셀의 어레이에 전기적으로 접속되는,
    삼차원(3D) 메모리 디바이스.
  19. 제1항 내지 제18항 중 어느 한 항에 있어서,
    상기 3D 메모리 디바이스는 프로세서를 포함하지 않는,
    삼차원(3D) 메모리 디바이스.
  20. 삼차원(3D) 메모리 디바이스로서,
    정적 랜덤 액세스 메모리(SRAM) 셀의 어레이 및 제1 본딩 층 - 상기 제1 본딩 층은 복수의 제1 본딩 컨택트를 포함함 - 을 포함하는 제1 반도체 구조체와,
    동적 랜덤 액세스 메모리(DRAM) 셀의 어레이 및 제2 본딩 층 - 상기 제2 본딩 층은 복수의 제2 본딩 컨택트를 포함함 - 을 포함하는 제2 반도체 구조체와,
    NAND 메모리 셀의 어레이, 제3 본딩 층, 및 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층 - 상기 제3 본딩 층은 복수의 제3 본딩 컨택트를 포함하고, 상기 제3 본딩 층 및 상기 제4 본딩 층은 상기 NAND 메모리 셀의 어레이의 양쪽 면 상에 있음 - 을 포함하는 제3 반도체 구조체와,
    상기 제1 본딩 층과 상기 제3 본딩 층 사이의 제1 본딩 계면 - 상기 제1 본딩 컨택트는 상기 제1 본딩 계면에서 상기 제3 본딩 컨택트와 접촉함 - 과,
    상기 제2 본딩 층과 상기 제4 본딩 층 사이의 제2 본딩 계면 - 상기 제2 본딩 컨택트는 상기 제2 본딩 계면에서 상기 제4 본딩 컨택트와 접촉함 - 을 포함하는,
    삼차원(3D) 메모리 디바이스.
  21. 제20항에 있어서,
    상기 제2 반도체 구조체는,
    기판과,
    상기 기판 위의 상기 DRAM 셀의 어레이와,
    상기 DRAM 셀의 어레이 위의 상기 제2 본딩 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  22. 제21항에 있어서,
    상기 제3 반도체 구조체는,
    상기 제2 본딩 층 위의 상기 제4 본딩 층과,
    상기 제4 본딩 층 위의 상기 NAND 메모리 셀의 어레이와,
    상기 NAND 메모리 셀의 어레이 위의 상기 제3 본딩 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  23. 제22항에 있어서,
    상기 제1 반도체 구조체는,
    상기 제3 본딩 층 위의 상기 제1 본딩 층과,
    상기 제1 본딩 층 위의 상기 SRAM 셀의 어레이와,
    상기 SRAM 셀의 어레이 위에 있으며 상기 SRAM 셀의 어레이와 접촉하는 반도체 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  24. 제23항에 있어서,
    상기 반도체 층 위에서 패드 아웃 상호 접속 층을 더 포함하는,
    삼차원(3D) 메모리 디바이스.
  25. 제20항에 있어서,
    상기 제1 반도체 구조체는,
    기판과,
    상기 기판 상의 상기 SRAM 셀의 어레이와,
    상기 SRAM 셀의 어레이 위의 상기 제1 본딩 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  26. 제25항에 있어서,
    상기 제3 반도체 구조체는,
    상기 제1 본딩 층 위의 상기 제3 본딩 층과,
    상기 제3 본딩 층 위의 상기 NAND 메모리 셀의 어레이와,
    상기 NAND 메모리 셀의 어레이 위의 상기 제4 본딩 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  27. 제26항에 있어서,
    상기 제2 반도체 구조체는,
    상기 제4 본딩 층 위의 상기 제2 본딩 층과,
    상기 제2 본딩 층 위의 상기 DRAM 셀의 어레이와,
    상기 DRAM 셀의 어레이 위에 있으며 상기 DRAM 셀의 어레이와 접촉하는 반도체 층을 포함하는,
    삼차원(3D) 메모리 디바이스.
  28. 제27항에 있어서,
    상기 반도체 층 위에서 패드 아웃 상호 접속 층을 더 포함하는,
    삼차원(3D) 메모리 디바이스.
  29. 제20항 내지 제28항 중 어느 한 항에 있어서,
    상기 제1 반도체 구조체, 상기 제2 반도체 구조체, 및 상기 제3 반도체 구조체 중 적어도 하나는 주변장치 회로를 더 포함하는,
    삼차원(3D) 메모리 디바이스.
  30. 제20항 내지 제29항 중 어느 한 항에 있어서,
    상기 3D 메모리 디바이스는 프로세서를 포함하지 않는,
    삼차원(3D) 메모리 디바이스.
  31. 삼차원(3D) 메모리 디바이스를 형성하기 위한 방법으로서,
    NAND 메모리 셀의 어레이 및 제1 본딩 층 - 상기 제1 본딩 층은 복수의 제1 본딩 컨택트를 포함함 - 을 포함하는 제1 반도체 구조체를 형성하는 단계와,
    동적 랜덤 액세스 메모리(DRAM) 셀의 어레이 및 제2 본딩 층 - 상기 제2 본딩 층은 복수의 제2 본딩 컨택트를 포함함 - 을 포함하는 제2 반도체 구조체를 형성하는 단계와,
    정적 랜덤 액세스 메모리(SRAM) 셀의 어레이 및 제3 본딩 층 - 상기 제3 본딩 층은 복수의 제3 본딩 컨택트를 포함함 - 을 포함하는 제3 반도체 구조체를 형성하는 단계와,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 하나와 상기 제3 반도체 구조체를 전면 대 전면(face-to-face) 방식으로 본딩하여, 상기 제1 본딩 층 및 상기 제2 본딩 층 중 하나와 상기 제3 본딩 층 사이에서 제1 본딩 계면을 갖는 본딩된 구조체를 형성하는 단계와,
    상기 제3 반도체 구조체에서 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층 - 상기 제3 본딩 층 및 상기 제4 본딩 층은 상기 SRAM 셀의 어레이의 양쪽 면 상에 있음 - 을 형성하는 단계와,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 전면 대 전면 방식으로 본딩하여, 상기 제1 본딩 층 및 상기 제2 본딩 층 중 다른 하나와 상기 제4 본딩 층 사이에서 제2 본딩 계면을 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  32. 제31항에 있어서,
    상기 제1 반도체 구조체를 형성하는 단계는,
    제1 기판 위에서 상기 NAND 메모리 셀의 어레이를 형성하는 단계와,
    상기 NAND 메모리 셀의 어레이 위에서 제1 상호 접속 층을 형성하는 단계와,
    상기 제1 상호 접속 층 위에서 상기 제1 본딩 층을 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  33. 제32항에 있어서,
    상기 제1 반도체 구조체를 형성하는 단계는, 상기 제1 기판 상에서 상기 NAND 메모리 셀의 어레이의 주변장치 회로를 형성하는 단계를 더 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  34. 제31항 내지 제33항 중 어느 한 항에 있어서,
    상기 제2 반도체 구조체를 형성하는 단계는,
    제2 기판 위에서 상기 DRAM 셀의 어레이를 형성하는 단계와,
    상기 DRAM 셀의 어레이 위에서 제2 상호 접속 층을 형성하는 단계와,
    상기 제2 상호 접속 층 위에서 상기 제2 본딩 층을 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  35. 제34항에 있어서,
    상기 제2 반도체 구조체를 형성하는 단계는 상기 제2 기판 상에서 상기 DRAM 셀의 어레이의 주변장치 회로를 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  36. 제31항 내지 제35항 중 어느 한 항에 있어서,
    상기 제3 반도체 구조체를 형성하는 단계는,
    제3 기판 상에서 상기 SRAM 셀의 어레이를 형성하는 단계와,
    상기 SRAM 셀의 어레이 위에서 제3 상호 접속 층을 형성하는 단계와,
    상기 제3 상호 접속 층 위에서 상기 제3 본딩 층을 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  37. 제36항에 있어서,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 하나와 상기 제3 반도체 구조체를 본딩한 이후 상기 제3 기판을 박형화하는 단계와,
    상기 제3 상호 접속 층과 접촉하도록 상기 박형화된 제3 기판을 통해 수직으로 연장되는 컨택트를 형성하는 단계와,
    상기 박형화된 제3 기판 상에 있으며 상기 컨택트와 접촉하는 상기 제4 본딩 층을 형성하는 단계를 더 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  38. 제31항 내지 제37항 중 어느 한 항에 있어서,
    상기 제1 반도체 구조체는, 상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 본딩한 이후, 상기 제2 반도체 구조체 위에 있는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  39. 제38항에 있어서,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 본딩한 이후 상기 제1 기판을 박형화하여 반도체 층을 형성하는 단계와,
    상기 반도체 층 위에서 패드 아웃 상호 접속 층을 형성하는 단계를 더 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  40. 제31항 내지 제37항 중 어느 한 항에 있어서,
    상기 제1 반도체 구조체는, 상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 본딩한 이후, 상기 제2 반도체 구조체 아래에 있는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  41. 제40항에 있어서,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 본딩한 이후 상기 제2 기판을 박형화하여 반도체 층을 형성하는 단계와,
    상기 반도체 층 위에서 패드 아웃 상호 접속 층을 형성하는 단계를 더 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  42. 제31항 내지 제41항 중 어느 한 항에 있어서,
    상기 본딩은 하이브리드 본딩을 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  43. 삼차원(3D) 메모리 디바이스를 형성하기 위한 방법으로서,
    정적 랜덤 액세스 메모리(SRAM) 셀의 어레이 및 제1 본딩 층 - 상기 제1 본딩 층은 복수의 제1 본딩 컨택트를 포함함 - 을 포함하는 제1 반도체 구조체를 형성하는 단계와,
    동적 랜덤 액세스 메모리(DRAM) 셀의 어레이 및 제2 본딩 층 - 상기 제2 본딩 층은 복수의 제2 본딩 컨택트를 포함함 - 을 포함하는 제2 반도체 구조체를 형성하는 단계와,
    NAND 메모리 셀의 어레이 및 제3 본딩 층 - 상기 제3 본딩 층은 복수의 제3 본딩 컨택트를 포함함 - 을 포함하는 제3 반도체 구조체를 형성하는 단계와,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 하나와 상기 제3 반도체 구조체를 전면 대 전면 방식으로 본딩하여, 상기 제1 본딩 층 및 상기 제2 본딩 층 중 하나와 상기 제3 본딩 층 사이에서 제1 본딩 계면을 갖는 본딩된 구조체를 형성하는 단계와,
    상기 제3 반도체 구조체에서 복수의 제4 본딩 컨택트를 포함하는 제4 본딩 층 - 상기 제3 본딩 층 및 상기 제4 본딩 층은 상기 NAND 메모리 셀의 어레이의 양쪽 면 상에 있음 - 을 형성하는 단계와,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 전면 대 전면 방식으로 본딩하여, 상기 제1 본딩 층 및 상기 제2 본딩 층 중 다른 하나와 상기 제4 본딩 층 사이에서 제2 본딩 계면을 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  44. 제43항에 있어서,
    상기 제1 반도체 구조체를 형성하는 단계는,
    제1 기판 상에서 상기 SRAM 셀의 어레이를 형성하는 단계와,
    상기 SRAM 셀의 어레이 위에서 제1 상호 접속 층을 형성하는 단계와,
    상기 제1 상호 접속 층 위에서 상기 제1 본딩 층을 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  45. 제43항 또는 제44항에 있어서,
    상기 제2 반도체 구조체를 형성하는 단계는,
    제2 기판 위에서 상기 DRAM 셀의 어레이를 형성하는 단계와,
    상기 DRAM 셀의 어레이 위에서 제2 상호 접속 층을 형성하는 단계와,
    상기 제2 상호 접속 층 위에서 상기 제2 본딩 층을 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  46. 제43항 내지 제45항 중 어느 한 항에 있어서,
    상기 제3 반도체 구조체를 형성하는 단계는,
    제3 기판 위에서 상기 NAND 메모리 셀의 어레이를 형성하는 단계와,
    상기 NAND 메모리 셀의 어레이 위에서 제3 상호 접속 층을 형성하는 단계와,
    상기 제3 상호 접속 층 위에서 상기 제3 본딩 층을 형성하는 단계를 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  47. 제46항에 있어서,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 하나와 상기 제3 반도체 구조체를 본딩한 이후 상기 제3 기판을 박형화하는 단계와,
    상기 제3 상호 접속 층과 접촉하도록 상기 박형화된 제3 기판을 통해 수직으로 연장되는 컨택트를 형성하는 단계와,
    상기 박형화된 제3 기판 상에 있으며 상기 컨택트와 접촉하는 상기 제4 본딩 층을 형성하는 단계를 더 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  48. 제43항 내지 제47항 중 어느 한 항에 있어서,
    상기 제1 반도체 구조체는, 상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 본딩한 이후, 상기 제2 반도체 구조체 위에 있는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  49. 제48항에 있어서,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 본딩한 이후 상기 제1 기판을 박형화하여 반도체 층을 형성하는 단계와,
    상기 반도체 층 위에서 패드 아웃 상호 접속 층을 형성하는 단계를 더 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  50. 제43항 내지 제47항 중 어느 한 항에 있어서,
    상기 제1 반도체 구조체는, 상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 본딩한 이후, 상기 제2 반도체 구조체 아래에 있는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  51. 제50항에 있어서,
    상기 제1 반도체 구조체 및 상기 제2 반도체 구조체 중 다른 하나와 상기 본딩된 구조체를 본딩한 이후 상기 제2 기판을 박형화하여 반도체 층을 형성하는 단계와,
    상기 반도체 층 위에서 패드 아웃 상호 접속 층을 형성하는 단계를 더 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
  52. 제43항 내지 제51항 중 어느 한 항에 있어서,
    상기 본딩은 하이브리드 본딩을 포함하는,
    삼차원(3D) 메모리 디바이스를 형성하기 위한 방법.
KR1020217024293A 2019-04-15 2019-11-05 적층된 삼차원 이종 메모리 디바이스 및 그 형성 방법 KR102587642B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CNPCT/CN2019/082607 2019-04-15
PCT/CN2019/082607 WO2020210928A1 (en) 2019-04-15 2019-04-15 Integration of three-dimensional nand memory devices with multiple functional chips
PCT/CN2019/115664 WO2020211332A1 (en) 2019-04-15 2019-11-05 Stacked three-dimensional heterogeneous memory devices and methods for forming same

Publications (2)

Publication Number Publication Date
KR20210110855A true KR20210110855A (ko) 2021-09-09
KR102587642B1 KR102587642B1 (ko) 2023-10-10

Family

ID=67725906

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020217027519A KR102601225B1 (ko) 2019-04-15 2019-04-15 복수의 기능 칩이 있는 3차원 nand 메모리 디바이스의 집적화
KR1020217024680A KR20210114011A (ko) 2019-04-15 2019-09-11 프로세서 및 동적 랜덤 액세스 메모리를 갖는 본디드 반도체 장치 및 이를 형성하는 방법
KR1020217024409A KR20210111277A (ko) 2019-04-15 2019-10-14 프로그램가능 논리 소자 및 동적 랜덤-액세스 메모리를 갖는 본딩된 반도체 장치 및 이의 형성 방법
KR1020217024293A KR102587642B1 (ko) 2019-04-15 2019-11-05 적층된 삼차원 이종 메모리 디바이스 및 그 형성 방법

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020217027519A KR102601225B1 (ko) 2019-04-15 2019-04-15 복수의 기능 칩이 있는 3차원 nand 메모리 디바이스의 집적화
KR1020217024680A KR20210114011A (ko) 2019-04-15 2019-09-11 프로세서 및 동적 랜덤 액세스 메모리를 갖는 본디드 반도체 장치 및 이를 형성하는 방법
KR1020217024409A KR20210111277A (ko) 2019-04-15 2019-10-14 프로그램가능 논리 소자 및 동적 랜덤-액세스 메모리를 갖는 본딩된 반도체 장치 및 이의 형성 방법

Country Status (7)

Country Link
US (2) US11031377B2 (ko)
EP (4) EP3891784A4 (ko)
JP (2) JP7331119B2 (ko)
KR (4) KR102601225B1 (ko)
CN (2) CN110192269A (ko)
TW (4) TWI743507B (ko)
WO (3) WO2020210928A1 (ko)

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11605630B2 (en) * 2009-10-12 2023-03-14 Monolithic 3D Inc. 3D integrated circuit device and structure with hybrid bonding
US11411036B2 (en) * 2017-04-04 2022-08-09 Sony Semiconductor Solutions Corporation Solid-state imaging device and electronic apparatus
US11211328B2 (en) * 2017-10-16 2021-12-28 SK Hynix Inc. Semiconductor memory device of three-dimensional structure
US10903216B2 (en) * 2018-09-07 2021-01-26 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
JP2020145231A (ja) * 2019-03-04 2020-09-10 キオクシア株式会社 半導体装置およびその製造方法
CN110731012B (zh) 2019-04-15 2021-01-29 长江存储科技有限责任公司 具有处理器和异构存储器的一体化半导体器件及其形成方法
EP3891784A4 (en) 2019-04-15 2022-08-17 Yangtze Memory Technologies Co., Ltd. INTEGRATION OF NON-AND THREE-DIMENSIONAL MEMORY DEVICES WITH MULTIPLE FUNCTIONAL CHIPS
CN111033728A (zh) 2019-04-15 2020-04-17 长江存储科技有限责任公司 具有可编程逻辑器件和动态随机存取存储器的键合半导体器件及其形成方法
CN111727503B (zh) 2019-04-15 2021-04-16 长江存储科技有限责任公司 具有可编程逻辑器件和异构存储器的统一半导体器件及其形成方法
KR20240045345A (ko) 2019-04-15 2024-04-05 양쯔 메모리 테크놀로지스 씨오., 엘티디. 프로세서 및 동적 랜덤 액세스 메모리를 갖는 본디드 반도체 장치 및 이를 형성하는 방법
KR102639431B1 (ko) * 2019-04-15 2024-02-22 양쯔 메모리 테크놀로지스 씨오., 엘티디. 프로세서 및 이종 메모리를 갖는 통합 반도체 디바이스 및 이를 형성하는 방법
WO2020211272A1 (en) * 2019-04-15 2020-10-22 Yangtze Memory Technologies Co., Ltd. Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same
CN110720143B (zh) 2019-04-30 2021-01-29 长江存储科技有限责任公司 具有处理器和nand闪存的键合半导体器件及其形成方法
KR20210141589A (ko) 2019-04-30 2021-11-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 3차원 상변화 메모리를 갖는 3차원 메모리 디바이스
EP3891799A4 (en) * 2019-04-30 2022-07-27 Yangtze Memory Technologies Co., Ltd. THREE-DIMENSIONAL STORAGE DEVICE WITH EMBEDDED DYNAMIC RAW MEMORY
CN110870062A (zh) 2019-04-30 2020-03-06 长江存储科技有限责任公司 具有可编程逻辑器件和nand闪存的键合半导体器件及其形成方法
EP3915147A4 (en) * 2019-06-27 2022-11-23 Yangtze Memory Technologies Co., Ltd. NOVEL 3D NAND MEMORY DEVICE AND METHOD OF MAKING THERE
SG11202112524SA (en) 2019-08-23 2021-12-30 Yangtze Memory Technologies Co Ltd Vertical memory devices
CN110770901A (zh) * 2019-09-11 2020-02-07 长江存储科技有限责任公司 具有处理器和静态随机存取存储器的键合半导体器件及其形成方法
JP2021044399A (ja) * 2019-09-11 2021-03-18 キオクシア株式会社 半導体装置およびその製造方法
US11195818B2 (en) * 2019-09-12 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contact for thermal displacement in a multi-wafer stacked integrated circuit
KR20220020368A (ko) * 2019-10-12 2022-02-18 양쯔 메모리 테크놀로지스 씨오., 엘티디. 수소 차단 층을 갖는 3차원 메모리 디바이스들 및 그 제조 방법들
JP7378503B2 (ja) * 2019-10-12 2023-11-13 長江存儲科技有限責任公司 ダイ同士の接合のための方法および構造
CN110854116A (zh) * 2019-10-28 2020-02-28 中国科学院上海微系统与信息技术研究所 一种三维异质集成芯片及其制备方法
CN110854125A (zh) * 2019-10-28 2020-02-28 中国科学院上海微系统与信息技术研究所 一种双衬底三维异质集成芯片及其制备方法
WO2021087720A1 (en) 2019-11-05 2021-05-14 Yangtze Memory Technologies Co., Ltd. Semiconductor devices having adjoined via structures formed by bonding and methods for forming the same
CN110783311B (zh) * 2019-11-11 2021-04-27 合肥恒烁半导体有限公司 一种闪存电路及其制备方法
KR20210061166A (ko) * 2019-11-19 2021-05-27 에스케이하이닉스 주식회사 반도체 메모리 장치
KR20210088810A (ko) * 2020-01-06 2021-07-15 에스케이하이닉스 주식회사 3차원 반도체 메모리 장치
US20240096798A1 (en) * 2020-01-20 2024-03-21 Monolithic 3D Inc. 3d semiconductor devices and structures with electronic circuit units
US11270988B2 (en) * 2020-01-20 2022-03-08 Monolithic 3D Inc. 3D semiconductor device(s) and structure(s) with electronic control units
US11488939B2 (en) * 2020-01-20 2022-11-01 Monolithic 3D Inc. 3D semiconductor devices and structures with at least one vertical bus
TWI783369B (zh) * 2020-02-07 2022-11-11 美商森恩萊斯記憶體公司 準揮發性系統級記憶體
US11527545B2 (en) * 2020-02-12 2022-12-13 Tokyo Electron Limited Architecture design and process for 3D logic and 3D memory
JP7367055B2 (ja) * 2020-02-20 2023-10-23 長江存儲科技有限責任公司 Xtackingアーキテクチャを有するDRAMメモリデバイス
US11282828B2 (en) 2020-02-20 2022-03-22 Tokyo Electron Limited High density architecture design for 3D logic and 3D memory circuits
KR20220129620A (ko) * 2020-04-14 2022-09-23 양쯔 메모리 테크놀로지스 씨오., 엘티디. 후면 인터커넥트 구조물들을 갖는 3차원 메모리 디바이스
KR20210134141A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 반도체 장치
WO2021237489A1 (en) 2020-05-27 2021-12-02 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
EP3942612B1 (en) 2020-05-27 2024-01-03 Yangtze Memory Technologies Co., Ltd. Methods for forming three-dimensional memory devices
WO2021237488A1 (en) 2020-05-27 2021-12-02 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices
WO2021237491A1 (en) * 2020-05-27 2021-12-02 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices
CN111758161B (zh) 2020-05-29 2021-08-17 长江存储科技有限责任公司 垂直存储器件
US11289455B2 (en) * 2020-06-11 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contact to improve thermal dissipation away from semiconductor devices
US11550158B2 (en) 2020-06-24 2023-01-10 Meta Platforms Technologies, Llc Artificial reality system having system-on-a-chip (SoC) integrated circuit components including stacked SRAM
US11444069B2 (en) * 2020-06-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. 3D semiconductor package including memory array
CN113704137A (zh) * 2020-07-30 2021-11-26 西安紫光国芯半导体有限公司 存内计算模块和方法、存内计算网络及构建方法
JP2022035158A (ja) * 2020-08-20 2022-03-04 キオクシア株式会社 半導体記憶装置
WO2022047649A1 (en) * 2020-09-02 2022-03-10 Yangtze Memory Technologies Co., Ltd. Pad-out structure for xtacking architecture
JP2022045192A (ja) * 2020-09-08 2022-03-18 キオクシア株式会社 半導体装置およびその製造方法
CN112164674A (zh) * 2020-09-24 2021-01-01 芯盟科技有限公司 堆叠式高带宽存储器
WO2022077148A1 (en) * 2020-10-12 2022-04-21 Yangtze Advanced Memory Industrial Innovation Center Co., Ltd Multiple integration scheme with asic or fpga chip bonding to 3d crosspoint chip
KR20220060612A (ko) * 2020-11-04 2022-05-12 삼성전자주식회사 반도체 장치 및 이를 포함하는 데이터 저장 시스템
CN112928136B (zh) * 2021-01-29 2023-07-04 长江先进存储产业创新中心有限责任公司 中央处理器及其制造方法
US20220271033A1 (en) * 2021-02-19 2022-08-25 Daniel Chanemougame Inverted top-tier fet for multi-tier gate-on-gate 3-dimension integration (3di)
CN113097383B (zh) * 2021-03-09 2023-07-18 长江先进存储产业创新中心有限责任公司 中央处理器及其制造方法
CN113053900B (zh) * 2021-03-22 2023-01-20 长鑫存储技术有限公司 半导体结构及其制造方法
CN116322056A (zh) * 2021-04-30 2023-06-23 长江存储科技有限责任公司 三维存储器及制造三维存储器的方法
CN116058100A (zh) * 2021-06-30 2023-05-02 长江存储科技有限责任公司 三维存储器装置及其形成方法
US11776925B2 (en) 2021-06-30 2023-10-03 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
CN113678253A (zh) * 2021-06-30 2021-11-19 长江存储科技有限责任公司 具有凹陷栅极晶体管的外围电路及其形成方法
US11842990B2 (en) 2021-06-30 2023-12-12 Micron Technology, Inc. Microelectronic devices and electronic systems
CN115735423A (zh) * 2021-06-30 2023-03-03 长江存储科技有限责任公司 三维存储器装置及其形成方法
WO2023272550A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Phase-change memory devices, systems, and methods of operating thereof
US11930634B2 (en) 2021-06-30 2024-03-12 Micron Technology, Inc. Methods of forming microelectronic devices
WO2023272627A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
WO2023272578A1 (en) * 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US11785764B2 (en) 2021-06-30 2023-10-10 Micron Technology, Inc. Methods of forming microelectronic devices
JP2024500456A (ja) 2021-06-30 2024-01-09 長江存儲科技有限責任公司 三次元メモリデバイスおよびシステム
WO2023272614A1 (en) 2021-06-30 2023-01-05 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and methods for forming the same
US11810838B2 (en) 2021-06-30 2023-11-07 Micron Technology, Inc. Microelectronic devices, and related electronic systems and methods of forming microelectronic devices
KR102483906B1 (ko) * 2021-07-14 2022-12-30 서울시립대학교 산학협력단 Nand 플래시 메모리와 sram이 융합된 nas 메모리 셀 및 이를 이용한 nas 메모리 어레이
US20230022167A1 (en) * 2021-07-22 2023-01-26 Intel Corporation Integrated circuit assemblies with stacked compute logic and memory dies
US20230026052A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition bonding layer for joining two semiconductor devices
US11751383B2 (en) 2021-08-31 2023-09-05 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices and electronic systems
WO2023028847A1 (en) * 2021-08-31 2023-03-09 Yangtze Memory Technologies Co., Ltd. Memory devices having vertical transistors and methods for forming the same
CN113626374A (zh) * 2021-09-02 2021-11-09 西安紫光国芯半导体有限公司 一种堆叠芯片
CN113505091B (zh) * 2021-09-10 2021-12-14 西安紫光国芯半导体有限公司 一种基于sedram的堆叠式器件以及堆叠式系统
WO2023070637A1 (en) * 2021-10-31 2023-05-04 Yangtze Memory Technologies Co., Ltd. Memory devices having vertical transistors and stacked storage units and methods for forming thereof
TWI817693B (zh) * 2022-03-02 2023-10-01 南亞科技股份有限公司 半導體記憶體的製備方法
EP4270478A4 (en) * 2022-03-15 2023-11-22 Changxin Memory Technologies, Inc. MEMORY AND METHOD FOR PRODUCING A MEMORY
US11950409B2 (en) 2022-03-29 2024-04-02 Nanya Technology Corporation Semiconductor device having diode connectedto memory device and circuit including the same
TWI825783B (zh) * 2022-03-29 2023-12-11 南亞科技股份有限公司 具有連接到記憶體元件之二極體的半導體元件的製備方法
WO2023241433A1 (en) * 2022-06-17 2023-12-21 Yangtze Memory Technologies Co., Ltd. Memory devices and methods for forming the same
WO2023246209A1 (en) * 2022-06-22 2023-12-28 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof
WO2023246210A1 (en) * 2022-06-22 2023-12-28 Yangtze Memory Technologies Co., Ltd. Three-dimensional memory devices and fabricating methods thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9432298B1 (en) * 2011-12-09 2016-08-30 P4tents1, LLC System, method, and computer program product for improving memory systems
CN110291631A (zh) * 2019-05-17 2019-09-27 长江存储科技有限责任公司 具有静态随机存取存储器的三维存储器件

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2605968B2 (ja) * 1993-04-06 1997-04-30 日本電気株式会社 半導体集積回路およびその形成方法
JPH1070243A (ja) * 1996-05-30 1998-03-10 Toshiba Corp 半導体集積回路装置およびその検査方法およびその検査装置
US7800199B2 (en) * 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US6551857B2 (en) * 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
JP3871853B2 (ja) * 2000-05-26 2007-01-24 株式会社ルネサステクノロジ 半導体装置及びその動作方法
US7402897B2 (en) * 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
JP4345705B2 (ja) * 2005-04-19 2009-10-14 エルピーダメモリ株式会社 メモリモジュール
SG134187A1 (en) * 2006-01-13 2007-08-29 Tezzaron Semiconductor S Pte L Stacked wafer for 3d integration
KR100762354B1 (ko) * 2006-09-11 2007-10-12 주식회사 네패스 플립칩 반도체 패키지 및 그 제조방법
US8032711B2 (en) 2006-12-22 2011-10-04 Intel Corporation Prefetching from dynamic random access memory to a static random access memory
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
TWI686923B (zh) * 2010-02-16 2020-03-01 凡 歐貝克 3d半導體裝置
US11121021B2 (en) * 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
KR20120079397A (ko) 2011-01-04 2012-07-12 삼성전자주식회사 적층형 반도체 장치 및 이의 제조 방법
TWI787452B (zh) * 2011-01-26 2022-12-21 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
US20190109049A1 (en) * 2011-06-28 2019-04-11 Monolithic 3D Inc. 3d semiconductor device and system
JP6122290B2 (ja) * 2011-12-22 2017-04-26 三星電子株式会社Samsung Electronics Co.,Ltd. 再配線層を有する半導体パッケージ
US9419146B2 (en) * 2012-01-26 2016-08-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9029863B2 (en) * 2012-04-20 2015-05-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8563403B1 (en) * 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
US9000599B2 (en) * 2013-05-13 2015-04-07 Intel Corporation Multichip integration with through silicon via (TSV) die embedded in package
KR102174336B1 (ko) * 2014-07-08 2020-11-04 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US20180374864A1 (en) * 2014-09-12 2018-12-27 Toshiba Memory Corporation Semiconductor memory device
JP6203152B2 (ja) * 2014-09-12 2017-09-27 東芝メモリ株式会社 半導体記憶装置の製造方法
US9601471B2 (en) * 2015-04-23 2017-03-21 Apple Inc. Three layer stack structure
TWI692859B (zh) * 2015-05-15 2020-05-01 日商新力股份有限公司 固體攝像裝置及其製造方法、以及電子機器
US9698790B2 (en) * 2015-06-26 2017-07-04 Advanced Micro Devices, Inc. Computer architecture using rapidly reconfigurable circuits and high-bandwidth memory interfaces
CN105789139B (zh) * 2016-03-31 2018-08-28 上海新储集成电路有限公司 一种神经网络芯片的制备方法
US10672743B2 (en) * 2016-10-07 2020-06-02 Xcelsis Corporation 3D Compute circuit with high density z-axis interconnects
KR20180083975A (ko) * 2017-01-13 2018-07-24 삼성전자주식회사 트레이닝 동작을 수행하는 메모리 시스템
WO2018138604A1 (en) * 2017-01-27 2018-08-02 Semiconductor Energy Laboratory Co., Ltd. Capacitor, semiconductor device, and manufacturing method of semiconductor device
JP2018152419A (ja) * 2017-03-10 2018-09-27 東芝メモリ株式会社 半導体記憶装置
US10121743B2 (en) * 2017-03-29 2018-11-06 Qualcomm Incorporated Power distribution networks for a three-dimensional (3D) integrated circuit (IC) (3DIC)
KR102366798B1 (ko) * 2017-06-13 2022-02-25 삼성전자주식회사 반도체 소자
US10453829B2 (en) * 2017-06-16 2019-10-22 Intel Corporation Method and apparatus for reducing capacitance of input/output pins of memory device
US10157653B1 (en) * 2017-06-19 2018-12-18 Sandisk Technologies Llc Vertical selector for three-dimensional memory with planar memory cells
CN117276339A (zh) * 2017-08-04 2023-12-22 株式会社半导体能源研究所 半导体装置及半导体装置的制造方法
US10957679B2 (en) * 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
US10163864B1 (en) * 2017-08-16 2018-12-25 Globalfoundries Inc. Vertically stacked wafers and methods of forming same
JP7304335B2 (ja) * 2017-08-21 2023-07-06 長江存儲科技有限責任公司 Nandメモリデバイスおよびnandメモリデバイスを形成するための方法
US10290571B2 (en) * 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
JP2019057532A (ja) 2017-09-19 2019-04-11 東芝メモリ株式会社 半導体メモリ
WO2019079625A1 (en) * 2017-10-20 2019-04-25 Xcelsis Corporation HIGH DENSITY 3D CALCULATION CIRCUIT FOR Z-AXIS INTERCONNECTIONS
CN107887395B (zh) 2017-11-30 2018-12-14 长江存储科技有限责任公司 Nand存储器及其制备方法
US10312201B1 (en) * 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
CN108063097A (zh) * 2017-12-19 2018-05-22 武汉新芯集成电路制造有限公司 一种三层芯片集成方法
CN108288609B (zh) * 2018-01-30 2020-07-14 德淮半导体有限公司 晶片堆叠结构及其制造方法以及图像感测装置
CN111430356B (zh) * 2018-06-28 2021-05-25 长江存储科技有限责任公司 具有屏蔽层的三维存储器器件以及用于制造其的方法
CN109075170B (zh) * 2018-06-29 2021-02-02 长江存储科技有限责任公司 具有使用内插器的堆叠器件芯片的三维存储器件
CN109314116B (zh) * 2018-07-20 2019-10-01 长江存储科技有限责任公司 用于形成三维存储器件的方法
WO2020034063A1 (en) * 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
CN109417075B (zh) * 2018-09-20 2020-06-26 长江存储科技有限责任公司 多堆叠层三维存储器件
CN109524412A (zh) * 2018-11-14 2019-03-26 长江存储科技有限责任公司 三维存储器及其制造方法
US10998301B2 (en) 2018-12-21 2021-05-04 Samsung Electronics Co., Ltd. Semiconductor device
EP3891784A4 (en) * 2019-04-15 2022-08-17 Yangtze Memory Technologies Co., Ltd. INTEGRATION OF NON-AND THREE-DIMENSIONAL MEMORY DEVICES WITH MULTIPLE FUNCTIONAL CHIPS

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9432298B1 (en) * 2011-12-09 2016-08-30 P4tents1, LLC System, method, and computer program product for improving memory systems
CN110291631A (zh) * 2019-05-17 2019-09-27 长江存储科技有限责任公司 具有静态随机存取存储器的三维存储器件

Also Published As

Publication number Publication date
US20200328186A1 (en) 2020-10-15
US11923339B2 (en) 2024-03-05
EP3891786A1 (en) 2021-10-13
EP3891797A1 (en) 2021-10-13
TWI808281B (zh) 2023-07-11
KR102587642B1 (ko) 2023-10-10
US11031377B2 (en) 2021-06-08
EP3891797B1 (en) 2024-04-10
WO2020211332A1 (en) 2020-10-22
KR20210122285A (ko) 2021-10-08
WO2020211271A1 (en) 2020-10-22
KR20210111277A (ko) 2021-09-10
CN110192269A (zh) 2019-08-30
EP3891785A1 (en) 2021-10-13
JP7331119B2 (ja) 2023-08-22
TW202115861A (zh) 2021-04-16
JP2022521618A (ja) 2022-04-11
TWI735997B (zh) 2021-08-11
TW202111930A (zh) 2021-03-16
US20210265319A1 (en) 2021-08-26
EP3891784A1 (en) 2021-10-13
EP3891784A4 (en) 2022-08-17
WO2020210928A1 (en) 2020-10-22
TW202040800A (zh) 2020-11-01
JP2022519851A (ja) 2022-03-25
TWI743507B (zh) 2021-10-21
EP3891797A4 (en) 2022-10-12
EP3891786A4 (en) 2022-10-19
CN114725085A (zh) 2022-07-08
JP7209857B2 (ja) 2023-01-20
EP3891785A4 (en) 2022-11-02
KR102601225B1 (ko) 2023-11-10
TW202119601A (zh) 2021-05-16
KR20210114011A (ko) 2021-09-17

Similar Documents

Publication Publication Date Title
KR102587642B1 (ko) 적층된 삼차원 이종 메모리 디바이스 및 그 형성 방법
US11056454B2 (en) Stacked three-dimensional heterogeneous memory devices and methods for forming the same
JP7328344B2 (ja) 三次元メモリデバイス
US11749641B2 (en) Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same
US11527547B2 (en) Hybrid bonding contact structure of three-dimensional memory device
WO2020220555A1 (en) Bonded semiconductor devices having processor and nand flash memory and methods for forming the same
US11864367B2 (en) Bonded semiconductor devices having processor and NAND flash memory and methods for forming the same
US11694993B2 (en) Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same
WO2020211272A1 (en) Unified semiconductor devices having processor and heterogeneous memories and methods for forming the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant