KR20170132672A - 전기도금 동안 교차 플로우 매니폴드의 동적 변조 - Google Patents

전기도금 동안 교차 플로우 매니폴드의 동적 변조 Download PDF

Info

Publication number
KR20170132672A
KR20170132672A KR1020170062053A KR20170062053A KR20170132672A KR 20170132672 A KR20170132672 A KR 20170132672A KR 1020170062053 A KR1020170062053 A KR 1020170062053A KR 20170062053 A KR20170062053 A KR 20170062053A KR 20170132672 A KR20170132672 A KR 20170132672A
Authority
KR
South Korea
Prior art keywords
substrate
flow
manifold
crossflow
electroplating
Prior art date
Application number
KR1020170062053A
Other languages
English (en)
Other versions
KR102383143B1 (ko
Inventor
카리 소켈슨
아론 베르케
브라이언 엘. 버칼루
스티븐 티. 메이어
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170132672A publication Critical patent/KR20170132672A/ko
Priority to KR1020220040703A priority Critical patent/KR102423978B1/ko
Application granted granted Critical
Publication of KR102383143B1 publication Critical patent/KR102383143B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/004Sealing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • C25D7/126Semiconductors first coated with a seed layer or a conductive layer for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/028Electroplating of selected surface areas one side electroplating, e.g. substrate conveyed in a bath with inhibited background plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Automation & Control Theory (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

본 명세서의 실시예들은 기판 상에 하나 이상의 재료들을 전기도금하기 위한 방법들 및 장치에 관한 것이다. 통상적으로, 본 명세서의 실시예들은 기판 근방에 위치되고, 채널링된 플레이트와 기판 사이, 그리고 플로우 한정 링에 의해 측면들 상에 교차 플로우 매니폴드를 생성하는, 채널링된 플레이트를 활용한다. 기판 홀더의 하단 표면과 기판 홀더 아래의 엘리먼트 (예를 들어, 플로우 한정 링) 의 상단 표면 사이에 시일링이 제공될 수도 있다. 도금 동안, 유체가 채널링된 플레이트의 채널들을 통해 그리고 교차 플로우 유입부를 통해 교차 플로우 매니폴드로 들어가고, 나중에 교차 플로우 유입부 반대편에 위치된 교차 플로우 출구에서 나간다. 장치는 예를 들어, 시일링을 인게이지하고 디스인게이지하기 위해 적절하게 기판 및 기판 홀더를 하강 및 리프팅함으로써 전기도금 동안, 시일링된 상태와 시일링되지 않은 상태 사이를 스위칭할 수도 있다.

Description

전기도금 동안 교차 플로우 매니폴드의 동적 변조{DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECROPLATING}
본 발명은 전기도금 장치 및 전기도금 방법들에 관한 것이다. 구체적으로, 본 발명은 반도체 기판들 상의 금속 층들의 전기도금 동안 전해질 유체 역학을 개선하는 것에 관한 것이다.
개시된 실시예들은 전기도금 동안 전해질 유체 역학을 제어하기 위한 방법들 및 장치에 관한 것이다. 보다 구체적으로, 본 명세서에 기술된 방법들 및 장치는, 예를 들어, 약 50 ㎛ 미만의 폭들을 갖는 소형 마이크로범핑 (microbumping) 피처들 (예를 들어 구리, 니켈, 주석 및 주석 납땜 합금) 및 구리 TSV (through silicon via) 피처들의 레지스트 도금을 통해서와 같이, 반도체 웨이퍼 기판들 상으로 금속들을 전기도금하는데 특히 유용하다.
전기화학적 디포지션 프로세스들이 현대 집적 회로 제조에 잘 확립되었다. 21세기 초 몇년간 알루미늄으로부터 구리 금속 라인 상호연결부들로의 전이는 점점 복잡한 전기증착 프로세스들 및 도금 툴들에 대한 수요를 유발하였다. 디바이스 금속화 층들의 훨씬 보다 작은 현재 반송 라인들에 대한 수요에 응답하여 보다 많은 복잡성이 발전하였다. 이들 구리 라인들은 "다마신" 프로세싱 (패시베이션 전 금속화) 으로 일반적으로 지칭되는 방법론에서 매우 박형의, 고 종횡비 트렌치들 및 비아들로 금속의 전기도금에 의해 형성되었다.
전기화학적 디포지션은 이제 WLP (wafer level packaging) 및 TSV (through silicon via) 전기적 접속 기술로 일반적으로 그리고 일상적으로 공지된 복잡한 패키징 및 멀티칩 상호연결 기술에 대한 상업적 수요를 충족하도록 취해진다. 이들 기술들은 부분적으로 (FEOL (Front End of Line) 상호연결부들과 비교하여) 일반적으로 보다 큰 피처 사이즈들 및 고 종횡비들로 인해 매우 중요한 과제들을 제시한다.
기술들은 다마신 애플리케이션들보다 상당히 큰 스케일의 전기도금을 수반한다. 패키징 피처들의 타입 및 애플리케이션 (예를 들어, TSV의 칩 연결을 통해, 상호연결 재분배 배선, 또는 칩-투-보드 또는 칩 본딩, 예컨대 플립-칩 필라들) 에 따라, 현재 기술에서 도금된 피처들은 보통 약 2 ㎛ 초과 통상적으로 5 내지 300 ㎛이다 (예를 들어, 필라들은 약 50 ㎛일 수도 있다). 전력 버스들과 같은 일부 온-칩 구조체들에 대해, 도금될 피처는 300 ㎛보다 클 수도 있다. WLP 피처들의 종횡비들은 통상적으로 약 1:1 (높이 대 폭) 이하인 한편, TSV 구조들은 매우 고 종횡비들 (예를 들어, 약 20:1 근방) 을 가질 수 있다.
상대적으로 다량의 재료가 증착되는 것으로 주어지지만, 피처 사이즈 뿐만 아니라 도금 속도도 WLP 및 TSV 애플리케이션들을 다마신 애플리케이션들과 상이하게 한다. 많은 WLP 애플리케이션들 동안, 도금은 적어도 약 2 ㎛/분, 통상적으로 적어도 약 4 ㎛/분, 그리고 일부 애플리케이션들에 대해 적어도 약 7 ㎛/분의 레이트로 피처들을 도금해야 한다. 이들 보다 높은 도금 레이트 레짐들에서, 전해질의 금속 이온들의 도금 표면으로의 효과적인 질량 이송이 중요하다.
보다 높은 도금 레이트들은 전기디포짓된 층의 균일도에 대한 과제들을 제시하고, 즉, 도금은 매우 균일한 방식으로 수행되어야 한다.
전기도금 동안 전해질 유체 역학을 개선하고 도금 균일도를 개선하기 위한 방법들, 장치 및 시스템들이 본 명세서에 제공된다. 실시예들은 예로서 반도체 기판 상의 도그을 사용하여 기술되지만, 본 발명은 이로 제한되지 않는다. 일부 실시예들에서, 개선된 유체 역학 및 개선된 전해질의 질량 이송은 기판의 표면의 바로 인접한 전해질의 교차 플로우의 속도를 상승시킴으로써 달성된다. 일부 실시예들에서, 기판의 도금 표면에 평행한 방향에서 전해질의 속도는 기판의 중심을 가로질러 적어도 약 50 ㎝/s이다. 이는 (예를 들어, 장치의 선택된 방위각 위치로부터 측방향 전해질 주입에 의해) 교차 플로우를 동시에 생성함으로써 그리고 감소된 교차 플로우 속도를 야기할 수 있는 전해질 차단에 의해 기판 근방에서 교차 플로우를 시일링함으로써 달성될 수 있다. 기판의 중심을 가로질러 교차 플로우를 생성하기 위한 장치들 및 방법들은, 전체가 본 명세서에 참조로서 인용된, 명칭이 "Control of Electrolyte Hydrodynamics for Efficient Electrolyte Transfer during Electroplating"이고, 발명자들로서 Mayer 등의 2014년 8월 5일 허여된 공동으로 소유된 미국 특허 제 8,795,480 호, 및 명칭이 "Cross Flow Manifold for Electroplating Apparatus"이고, 발명자들로서 Abraham 등의 2013년 11월 28일 공개된 미국 특허 출원 공보 제 2013/0313123 호, 뿐만 아니라, 명칭이 "Dynamic Modulation of Cross Flow Manifold During Electroplating"인 발명자들로서 Graham 등의 2016년 5월 20일 출원된 미국 특허 출원 번호 제 15/161,081 호에 기술된다. 이들 참조문헌들에 기술된 장치들은 본 명세서에 기술된 바와 같이 교차 플로우를 시일링하도록 수정될 수도 있다는 것이 이해된다.
본 명세서의 다양한 실시예들은 기판 근방에 위치된 채널링된 플레이트를 활용하고, 채널링된 플레이트에 의해 하단 상, 기판 및 기판 홀더에 의해 상단 상, 및 교차 플로우 한정 링에 의해 측면들 상에 규정된 교차 플로우 매니폴드를 생성한다. 도금 동안, 유체는 채널링된 플레이트의 채널들을 통해 상향으로 그리고 교차 플로우 한정 링의 일 측면 상에 위치된 교차 플로우 측면 유입부를 통해 측방향으로 교차 플로우 매니폴드로 들어간다. 플로우 경로들은 교차 플로우 매니폴드에서 결합되고, 교차 플로우 유입부 반대편에 위치된 교차 플로우 출구에서 나간다. 교차 플로우 매니폴드는 기판 홀더와 교차 플로우 한정 링 사이에 압축성 시일링 부재를 포지셔닝함으로써 (적어도 부분적으로) 시일링되고, 따라서 교차 플로우 유입부로부터 가로 질러 포지셔닝된 전용 출구 이외의 경로들을 통해 전해질이 교차 플로우 매니폴드를 나가는 것을 방지한다. 매니폴드 내 교차 플로우의 시일링은 교차 플로우의 상승된 전해질 속도를 발생시킨다.
본 명세서에서, 본 실시예들의 일 양태에서, (a) 실질적으로 평면형인 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 전기도금 챔버; (b) 전기도금 동안 기판의 도금면이 애노드로부터 분리되도록 실질적으로 평면형인 기판을 홀딩하도록 구성된 기판 홀더; (c) 약 10 ㎜ 이하의 갭에 의해 기판의 도금면으로부터 분리되는 기판-대면 표면을 포함하는 이온 저항성 엘리먼트로서, 갭은 이온 저항성 엘리먼트와 기판 사이에 교차 플로우 매니폴드를 형성하고, 이온 저항성 엘리먼트는 적어도 전기도금 동안 기판의 도금면과 같은 공간을 차지하고, 그리고 이온 저항성 엘리먼트는 전기도금 동안 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 이온 저항성 엘리먼트; (d) 전해질을 교차 플로우 매니폴드로 도입하기 위한 교차 플로우 매니폴드로의 측면 유입부; (e) 교차 플로우 매니폴드에서 흐르는 전해질을 수용하기 위한 교차 플로우 매니폴드로의 측면 유출부로서, 측면 유입부 및 측면 유출부는 전기도금 동안 기판의 도금면 상에서 마주보는 주변 위치들에 방위각적으로 인접하게 위치되고, 측면 유입부 및 측면 유출부는 교차 플로우 매니폴드 내에서 교차 플로우 전해질을 생성하도록 구성되는, 측면 유출부; 및 (f) 측면 유출부 이외에 교차 플로우 매니폴드로 하나 이상의 유출부들을 전체적으로 또는 부분적으로 시일링하기 위한 시일링 부재를 포함하는 전기 도금 장치가 제공된다.
일부 실시예들에서, 장치는 이온 저항성 엘리먼트와 기판 홀더 사이의 교차 플로우 매니폴드 주변에 위치된 플로우 한정 엘리먼트를 더 포함할 수도 있다. 장치는 기판 홀더의 표면과 플로우 한정 엘리먼트의 표면 사이 누설 갭을 더 포함할 수도 있고, 시일링 부재는, 기판 홀더가 플로우 한정 엘리먼트에 충분히 가까울 때 누설 갭을 시일링하도록 구성된다. 시일링 부재는 누설 갭의 특정한 부분을 시일링할 수도 있다. 예를 들어, 시일링 부재는 누설 갭의 적어도 약 75 %를 시일링할 수도 있다. 또 다른 실시예에서, 시일링 부재는 누설 갭의 약 100 %를 시일링한다.
특정한 구현예에서 측면 유출부가 플로우 한정 엘리먼트 내에 형성될 수도 있다. 일부 이러한 경우들에서, 측면 유출부는 플로우 한정 엘리먼트 내에 벤팅 (vent) 영역을 포함할 수도 있고, 벤팅 영역은 기판의 주변부에 인접하게 약 20 내지 120 °에 걸친다 (spanning).
시일링 부재는 특정한 특성들을 가질 수도 있고, 또는 특정한 재료들로 이루어질 수도 있다. 일부 경우들에서 시일링 부재는 압축성 재료를 포함한다. 일부 이러한 경우들에서, 시일링 부재는 플루오로폴리머 엘라스토머를 포함할 수도 있다. 플루오로폴리머는 약 65 내지 70 %의 불소를 포함할 수도 있다. 시일링 부재는 기판 홀더에 고정되게 또는 릴리즈되게 (releasably) 부착될 수도 있다. 일부 다른 경우들에서, 시일링 부재는 플로우 한정 엘리먼트에 고정되게 또는 릴리즈되게 부착될 수도 있다. 또 다른 경우들에서, 시일링 부재는 기판 홀더 및 플로우 한정 엘리먼트와 상이한 스카폴드 (scaffold) 에 고정되게 또는 릴리즈되게 부착될 수도 있다.
시일링이 인게이지될 때, 장치는 시일링 상태에 있는 것으로 간주될 수도 있다. 시일링이 인게이지되지 않을 때, 장치는 언시일링된 (unsealed) 상태에 있는 것으로 간주될 수도 있다. 장치는 전기도금 동안 시일링된 상태와 언시일링된 상태 사이를 간헐적으로 스위칭하기 위한 실행가능 인스트럭션들을 포함하는 제어기를 더 포함할 수도 있다. 제어기는, 장치가 언시일링된 상태에 있는 동안, 기판을 회전시키기 위한 실행가능한 인스트럭션들을 더 포함할 수도 있다. 일부 경우들에서, 제어기는 장치가 언시일링된 상태에 있는 동안, 장치가 시일링된 상태에 있을 때와 비교하여, 감소된 전류를 기판에 인가하기 위한 실행가능한 인스트럭션들을 포함할 수도 있다. 다른 경우들에서, 제어기는 장치가 언시일링된 상태에 있는 동안 장치가 시일링된 상태에 있을 때와 비교하여 상승된 전류를 기판에 인가하기 위한 실행가능한 인스트럭션들을 포함할 수도 있다. 또 다른 경우들에서, 제어기는 장치가 시일링된 상태에 있는 동안 기판에 전류를 인가하고, 장치가 언시일링된 상태에 있는 동안 기판에 전류를 인가하지 않기 위한 실행가능한 인스트럭션들을 포함할 수도 있다.
개시된 실시예들의 다른 양태에서, 기판을 전기도금하는 방법에 있어서, (a) 실질적으로 평면형인 기판을 기판 홀더에 수용하는 단계로서, 기판의 도금면은 노출되고, 그리고 기판 홀더는 전기도금 동안 기판의 도금면이 애노드로부터 분리되도록 기판을 홀딩하도록 구성되는, 기판을 기판 홀더에 수용하는 단계; (b) 기판을 전해질에 담그는 단계로서, 약 10 ㎜ 이하의 갭이 기판의 도금면과 이온 저항성 엘리먼트의 상부 표면 사이에 형성되고, 갭은 교차 플로우 매니폴드를 형성하고, 이온 저항성 엘리먼트는 적어도 기판의 도금면과 같은 공간을 차지하고, 그리고 이온 저항성 엘리먼트는 전기도금 동안 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 기판을 전해질에 담그는 단계; (c) 기판 홀더의 기판과 콘택트하여 전해질을 흘리는 단계로서, (i) 측면 유입부로부터, 교차 플로우 매니폴드 내로, 그리고 측면 유출부 밖으로, 그리고, 선택가능하게, (ii) 이온 저항성 엘리먼트 아래로부터, 이온 저항성 엘리먼트를 통해, 교차 플로우 매니폴드 내로, 그리고 측면 유출부 밖으로, 측면 유입부 및 측면 유출부는 기판의 도금면 상의 반대편 주변 위치들에 방위각적으로 인접하게 위치되고, 측면 유입부 및 측면 유출부는 전기도금 동안 교차 플로우 매니폴드 내에서 교차 플로우 전해질을 생성하도록 설계되거나 구성되고, 그리고 전기도금의 적어도 일부 동안 시일링 부재가 측면 유출부 이외에 교차 플로우 매니폴드에 하나 이상의 유출부들을 전체적으로 또는 부분적으로 시일링하는, 전해질을 흘리는 단계; 및 (d) 단계 (c) 에서와 같이 전해질을 흘리는 동안 기판의 도금면 상에 재료를 전기도금하는 단계를 포함하는, 기판을 전기도금하는 방법이 제공된다.
다양한 실시예들에서, 시일링 부재가 인게이지될 때, 교차 플로우 매니폴드는 시일링된 상태에 있고, 그리고 시일링 부재가 인게이지되지 않을 때, 교차 플로우 매니폴드는 언시일링된 상태에 있다. 특정한 구현예들에서, 단계 (d) 에서 재료를 전기도금하는 단계는, (i) 교차 플로우 매니폴드는 언시일링된 상태일 때, 기판을 회전시키는 동안, 재료를 전기도금하는 동작, (ii) 교차 플로우 매니폴드를 시일링하기 위해 시일링 부재를 인게이지하는 동안 재료를 전기도금하는 동작, (iii) 교차 플로우 매니폴드가 시일링된 상태에 있을 때 기판을 회전상 고정되게 유지하는 동안 재료를 전기도금하는 동작, 및 (iv) 교차 플로우 매니폴드를 시일링하지 않도록 시일링 부재를 디스인게이지하는 동안 재료를 전기도금하는 동작을 포함할 수도 있다. 전기도금은 동작들 (i) 내지 (iv) 동안 연속적으로 발생할 수도 있다. 일부 이러한 경우들에서, 단계 (d) 에서 재료를 전기도금하는 동작들 (i) 내지 (iv) 는 기판 상의 전기도금 동안 적어도 3 회 수행된다. 교차 플로우 매니폴드는 총 도금 시간의 과반 동안 시일링된 상태에 있을 수도 있다. 일부 경우들에서, 단계 (d) 에서 재료를 전기도금하는 단계는, (i) 교차 플로우 매니폴드가 시일링된 상태에 있을 때 기판을 회전상 고정되게 유지하는 동안, 기판으로 제 1 전류를 인가하는 동작, 및 (ii) 교차 플로우 매니폴드가 언시일링된 상태에 있을 때 기판을 회전시키는 동안 (A) 기판으로 전류를 인가하지 않거나 (B) 제 1 전류와 상이한 전류를 인가하는 동작을 포함할 수도 있다.
또 다른 양태에 따르면, 전기도금 장치는 도금 셀 및 제어기를 포함한다. 제어기는 본 명세서에 제공된 임의의 전기도금 방법들을 수행하기 위한 프로그램 인스트럭션들을 포함한다.
또 다른 양태에 따르면, 본 명세서에 제공된 시스템은 전기도금 장치 및 스텝퍼를 포함한다.
또 다른 양태에 따르면, 장치를 제어하기 위한 실행가능한 프로그램 인스트럭션들을 포함하는, 비일시적인 컴퓨터 머신-판독가능 매체가 제공된다. 인스트럭션들은 본 명세서에 제공된 처리 방법들을 위한 코드를 포함한다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1a는 반도체 웨이퍼들을 전기화학적으로 처리하기 위한 기판 홀딩 및 포지셔닝 장치의 사시도를 도시한다.
도 1b는 콘 및 컵을 포함하는 기판 홀딩 어셈블리의 일부의 단면도를 도시한다.
도 1c는 본 명세서의 실시예들을 실시하는데 사용될 수도 있는 전기도금 셀의 간략화된 도면을 도시한다.
도 1d 내지 도 1g는 이들 실시예들을 실시할 때 달성된 플로우 역학의 상면도들과 함께, 기판의 면을 가로질러, 교차 플로우를 향상시키도록 사용될 수도 있는 다양한 전기도금 장치 실시예들을 예시한다.
도 2는 본 명세서에 개시된 특정한 실시예들에 따른 캐소드 챔버 내에 통상적으로 존재하는 전기도금 장치의 다양한 부분들의 분해도를 예시한다.
도 3a는 본 명세서의 특정한 실시예들에 따른 교차 플로우 측면 유입부 및 주변 하드웨어의 확대도를 도시한다.
도 3b는 다양한 개시된 실시예들에 따른 매니폴드 유입부, 교차 플로우 유출부, CIRP 및 주변 하드웨어의 확대도를 도시한다.
도 4는 도 3a 및 도 3b에 도시된 전기도금 장치의 다양한 부분들의 단면도를 도시한다.
도 5는 특정한 실시예들에 따라 6 개의 개별 세그먼트들로 분할된 교차 플로우 주입 매니폴드 및 샤워헤드를 도시한다.
도 6은 본 명세서의 실시예에 따라, 특히 교차 플로우의 유입부 측면에 초점을 둔, CIRP 및 연관된 하드웨어의 상면도를 도시한다.
도 7은 다양한 개시된 실시예들에 따른 교차 플로우 매니폴드의 유입부 측면 및 유출부 측면 양자를 도시하는 CIRP 및 연관된 하드웨어의 간략화된 상면도를 예시한다.
도 8a 및 도 8b는 특정한 실시예들에 따른 교차 플로우 유입부 영역의 최초 (도 8a) 및 개정된 (도 8b) 설계를 도시한다.
도 9는 플로우 한정 링에 의해 부분적으로 커버되고 프레임에 의해 지지된 CIRP의 실시예를 도시한다.
도 10a는 측면 유입부가 사용되지 않은 CIRP 및 플로우 한정 링의 간략화된 상면도를 도시한다.
도 10b는 본 명세서에 개시된 다양한 실시예들에 따른 CIRP, 플로우 한정 링, 및 교차 플로우 측면 유입부의 간략화된 상면도를 도시한다.
도 11a 및 도 11b는 각각 도 10a 및 도 10b에 도시된 장치를 위한 교차 플로우 매니폴드를 통한 교차 플로우를 예시한다.
도 12a 및 도 12b는 각각 도 10a 및 도 10b에 도시된 장치를 위한 도금 동안 수평 교차 플로우 속도 대 웨이퍼 위치를 도시하는 그래프이다.
도 13a 및 도 13b는 기판의 주변부 근방에서 저 도금 레이트와 관련된 문제들을 예시하는, 기판 상의 범프 높이 대 방사상 위치를 도시하는 실험 결과들을 제시한다.
도 14a는 전기도금 장치의 일부의 단면도를 도시한다.
도 14b는 도 14a에 도시된 장치를 통한 플로우에 관련된 모델링 결과들을 도시한다.
도 15는 기판의 주변부 근방에서 보다 낮은 도금 정도를 도시하는, 기판 상의 전단 (shear) 플로우 속도 대 방사상 위치와 관련된 모델링 결과들 및 기판 상의 범프 높이 대 방사상 위치에 관련된 실험 결과들을 도시한다.
도 16a 및 도 16b는 기판 상의 상이한 방사상 위치들에서 다이-내 두께 불균일도 (도 16a) 및 포토레지스트 두께 (도 16b) 에 관련된 실험 결과들을 도시한다.
도 17a 및 도 17b는 에지 플로우 엘리먼트들이 사용되는, 일 실시예에 따른 전기도금 장치의 단면도를 도시한다.
도 18a 내지 도 18c는 다양한 실시예들에 따른 전기도금 장치에 에지 플로우 엘리먼트를 설치하기 위한 3가지 타입들의 부착 구성들을 예시한다.
도 18d는 도 18a 내지 도 18c에 도시된 에지 플로우 엘리먼트들의 특정한 ㅌ특징들을 도시하는 표를 제시한다.
도 19a 내지 도 19e는 전기도금 장치의 에지 플로우 엘리먼트를 조정하기 위한 방법들을 예시한다.
도 20a 내지 도 20c는 일부가 방위각적으로 비대칭인, 다양한 실시예들에 따라 사용될 수도 있는 몇몇 타입들의 에지 플로우 엘리먼트들을 예시한다.
도 21은 에지 플로우 엘리먼트 및 상단 플로우 삽입부가 사용되는, 특정한 실시예들에 따른 전기도금 셀의 단면도를 예시한다.
도 22a 및 도 22b는 에지 플로우 엘리먼트가 설치되는, 홈부를 갖는 CIRP (channeled ionically resistive plate) 를 도시한다.
도 22c 및 도 22d는 다양한 심 (shim) 두께들에 대한 기판의 에지 근방에서 플로우 속도를 기술하는 모델링 결과들을 도시한다.
도 23a 및 도 23b는 특정한 실시예들에 따른, 램프 형상을 갖는, 에지 플로우 엘리먼트를 갖는 전기도금 장치에 관련된 모델링 결과들을 제시한다.
도 24a, 도 24b, 및 도 25는 특정한 실시예들에 따른 상이한 타입들의 플로우 바이패스 통로들을 포함하는 에지 플로우 엘리먼트들을 갖는 전기도금 장치와 관련된 모델링 결과들을 제시한다.
도 26a 내지 도 26d는 각각 플로우 바이패스 통로들을 갖는, 에지 플로우 엘리먼트의 몇몇 예들을 예시한다.
도 27a 내지 도 27c는 도 28 내지 도 30에 도시된 결과들을 생성하도록 사용된 실험 설정들을 묘사한다.
도 28 내지 도 30은 도 27a 내지 도 27c와 관련하여 기술된 실험 설정들에 대한 도금된 범프 높이 (도 28 및 도 30) 또는 다이-내 두께 불균일도 (도 29) 와 관련된 실험 결과들을 제시한다.
도 31a 내지 도 31d는 교차 플로우 매니폴드의 높이가 전기도금 동안 수정되는 실시예들과 관련된 모델링 결과들에 관한 것이다.
도 31e는 전기도금 동안 고정된 또는 수정된 교차 플로우 매니폴드 높이를 사용할 때 달성된 범프 형상들을 비교하는 실험적인 결과들을 제시한다.
도 32a 내지 도 32c는 교차 플로우 매니폴드의 높이가 전기도금 동안 균일하거나 수정되는 경우들을 비교하는 실험 결과들에 관한 것이다.
도 33a는 상부에 일련의 선형 돌기들을 갖는 채널링된 이온 저항성 엘리먼트를 예시한다.
도 33b는 상부에 선형 돌기들을 갖는 채널링된 이온 저항성 엘리먼트의 일부의 확대도를 도시한다.
도 33c는 특정한 실시예에 따라 채널링된 이온 저항성 엘리먼트 상의 돌기들에 대해 사용될 수도 있는 다양한 단면 형상들을 예시한다.
도 33d는 특정한 구현예들에 따라 돌기들 상에 존재할 수도 있는 다수의 컷아웃들을 도시한다.
도 33e는 도 33a와 유사하게, 상부에 일련의 선형 돌기들을 갖는 채널링된 이온 저항성 엘리먼트를 도시하고, 교차 플로우 매니폴드의 높이가 수정될 때 전기도금 동안 돌기들이 어떻게 전해질을 우선적으로 지향시킬 수도 있는지를 예시한다.
도 34a는 범프 높이들의 다이-내 (WID) 불균일도의 개념을 예시하기 위해 상부에 범프들을 갖는 기판을 도시한다.
도 34b는 피처들에 대해 고르지 않은 전류 분포를 발생시키는, 포토레지스트로 형성된 피처들의 고르지 않은 분포를 갖는 기판을 도시한다.
도 34c는 기판 홀더와 플로우 한정 엘리먼트 사이의 누설 갭을 예시한다.
도 34d 내지 도 34f는 시일링 부재가 누설 갭 내에 제공되는 실시예들을 예시한다.
도 35는 교차 플로우 매니폴드를 간헐적으로 시일링하고 언시일링 (unsealing), 뿐만 아니라 기판을 간헐적으로 회전시키는 것을 수반하는, 기판 상으로 재료를 전기도금하는 방법을 묘사하는 플로우 차트를 제공한다.
도 36a 및 도 36b는 기판이 회전하지 않고 시일링된 교차 플로우 매니폴드 내에서 전기도금되는 경우 (도 36a) 와 기판이 회전하여, 간헐적으로 시일링된 교차 플로우 매니폴드를 사용하여 전기도금된 경우를 비교하는 실험적인 결과들을 도시한다.
도 36c는 전기도금 동안 교차 플로우 매니폴드가 간헐적으로 시일링되고 언시일링되고, 교차 플로우 매니폴드가 언시일링될 때 기판이 회전하는 실시예들에 관련된 계산적 모델링 결과들을 예시하는 차트를 제공한다.
도 36d는 도 36c에 도시된 모델링 결과들을 생성하도록 사용된 파라미터들을 기술하는 표이다.
도 36e는 전기도금 동안 교차 플로우 매니폴드가 간헐적으로 시일링되고 언시일링되고, 교차 플로우 매니폴드가 언시일링될 때 기판이 회전하는 실시예들에 관련된 계산적 모델링 결과들을 도시하는 차트이다.
도 36f는 상이한 예시적인 전기도금 프로세스들에 대한 WID 불균일도에 관련된 실험 결과들을 제공한다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용될 것이다. 당업자는 용어 "부분적으로 제조된 집적 회로" 가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 이하의 상세한 기술은 본 발명이 웨이퍼 상에서 구현됨을 가정한다. 때로, 반도체 웨이퍼들은 200, 300 또는 450 ㎜ 직경을 갖는다. 그러나, 본 발명은 이로 제한되지 않는다. 워크피스 (work piece) 는 다양한 형상, 크기 및 재료를 가질 수도 있다. 반도체 웨이퍼에 부가하여, 본 발명을 이용할 수도 있는 다른 워크피스는 인쇄 회로 기판 등과 같은 다양한 물품들을 포함한다.
이하의 기술에서, 다수의 특정 세부 사항들이 제시된 실시예들의 철저한 이해를 제공하기 위해 개진된다. 개시된 실시예들은 이러한 특정 상세들 전부 또는 일부 없이도 실시될 수도 있다. 다른 경우들로서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들은 특정 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하려고 의도된 것이 아님이 이해될 것이다.
본 명세서에 제공된 방법들 및 장치는 WLP, TSV, 및 다마신 기판들을 포함하여, 다양한 기판들 상에 전기도금하기 위해 사용될 수 있다. 이로 제한되는 것은 아니지만, 구리, 주석, 은, 주석-은 합금, 니켈, 금, 인듐 및 코발트를 포함한 다양한 금속들 및 금속 합금들이 전기도금될 수 있다. 통상적인 전기도금 프로세스에서, 노출된 도전 씨드층을 포함하는 웨이퍼 기판은 음극으로 바이어스되고 도금되는 금속 이온들을 함유하는 전기도금 용액과 콘택트하게 된다. 이온들은 금속층을 형성하도록 씨드층의 표면에서 전기화학적으로 환원된다. 본 발명의 다양한 실시예들은 예로서 쓰루-레지스트 (through-resist) 전기도금을 사용하여 예시될 것이지만, 본 발명은 이렇게 제한되지 않는다.
개시된 실시예들은, 매우 균일한 도금층들이 획득되도록 도금 동안 전해질 유체 역학의 제어를 위해 구성된 전기도금 장치 및 전해질 유체 역학의 제어를 포함하는 방법들을 포함한다. 특정한 구현예들에서, 개시된 실시예들은 충돌하는 플로우 (워크피스 표면으로 또는 워크피스 표면에 수직으로 지향된 플로우) 와 전단 플로우 (때때로 "교차 플로우" 또는 워크피스 표면에 평행한 속도를 갖는 플로우로 지칭됨) 의 결합들을 생성하는 방법들 및 장치를 채용한다.
일 실시예는 다음의 피처들: (a) 실질적으로 평면형인 기판 상으로 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버; (b) 전기도금 동안 기판의 도금면이 애노드로부터 분리되도록 기판을 홀딩하도록 구성된 기판 홀더; (c) 전기도금 동안 기판의 도금면에 실질적으로 평행하고 기판의 도금면으로부터 분리된 기판-대면 표면을 포함하는 채널링된 이온 저항성 엘리먼트, 채널링된 이온 저항성 엘리먼트는 복수의 비연통 채널들을 포함하고, 비연통 채널들은 전기도금 동안 채널링된 이온 저항성 엘리먼트를 통한 전해질의 이송을 허용함; (d) 채널링된 이온 저항성 엘리먼트의 기판의 도금면과 기판-대면 표면 사이에 규정된 교차 플로우 매니폴드, 교차 플로우 매니폴드는 전기도금 동안 동적으로 제어될 수 있는 높이를 가짐; (e) 기판의 도금면에서 교차 플로우 매니폴드 내에서 흐르는 전해질에 전단력 (교차 플로우) 을 생성하고 그리고/또는 인가하기 위한 메커니즘; 및 (f) 기판의 주변부 근방, 기판/기판 홀더 계면 인접부에서 전단 플로우를 촉진하기 위한 선택가능한 메커니즘을 포함하는 전기도금 장치이다. 웨이퍼가 실질적으로 평면형이지만, 웨이퍼는 통상적으로 하나 이상의 초소형 (microscopic) 트렌치들을 갖고, 전해질 노출로부터 마스킹된 표면의 하나 이상의 부분들을 가질 수도 있다. 다양한 실시예들에서, 장치는 또한 기판 도금면의 방향으로 전기도금 셀 내에서 전해질을 흘리는 동안 기판 및/또는 채널링된 이온 저항성 엘리먼트를 회전시키기 위한 메커니즘을 포함한다. 특정한 구현예들에서, 장치는 교차 플로우 매니폴드로의 유입부에 방위각적으로 반대편에 위치된 교차 플로우 매니폴드로의 지정된 유출부 이외의 위치들에서 전해질이 교차 플로우 매니폴드로부터 나오는 것을 방지하도록 구성된 시일을 포함할 수도 있다.
본 명세서에 기술된 많은 경우들에서, 교차 플로우 매니폴드는 전기도금 동안 동적으로 제어될 수 있는 높이를 갖는다. 교차 플로우 매니폴드가 기판과 CIRP 사이에 규정되기 때문에, 교차 플로우 매니폴드의 높이는 기판과 CIRP의 상대적인 위치를 가변함으로써 제어될 수 있다. 일부 경우들에서, CIRP가 상대적으로 고정되는 동안 기판의 위치는 직접적으로 제어된다. 다른 경우들에서, 기판이 상대적으로 고정되는 동안 CIRP의 위치는 동적으로 제어된다 (스스로 또는 전기도금 장치의 다른 부분들과 함께). 또 다른 경우들에서, 기판 및 CIRP 양자의 위치들은 직접적으로 제어될 수도 있다. 전기도금 프로세스 동안 높이를 변화시킬 수 있는 교차 플로우 매니폴드를 사용함으로써, 특정한 도금 불균일도들은 본 명세서에서 더 논의된 바와 같이 최소화될 수 있다.
일부 이러한 실시예들에서, 기판 홀더가 가장 낮은 위치에 있을 때, 기판 홀더의 하단 표면과 기판 홀더 아래에 위치된 엘리먼트 (예를 들어, 플로우 한정 엘리먼트, CIRP, 등) 의 상부 표면 사이에 시일링이 제공될 수도 있다. 시일링은 예를 들어, 기판 홀더의 하단부와 플로우 한정 엘리먼트의 상단부 사이에서 장치로부터 전해질이 누설되는 것을 방지할 수도 있다. 다수의 실시예들에서, 장치는 시일링된 위치 (기판 홀더의 위치가 가장 낮은 위치에 있고, 교차 플로우 매니폴드의 높이가 최소일 때) 와 언시일링된 위치 (기판 홀더가 상승되고, 교차 플로우 매니폴드의 높이가 상대적으로 보다 클 때) 사이를 순환할 수도 있다. 장치가 언시일링된 위치에 있는 동안 기판이 회전될 수도 있다. 이들 또는 다른 경우들에서, 장치가 시일링된 위치에 있는 동안 기판은 또한 회전될 수도 있다. 교차 플로우의 주기적인 시일링은 기판의 표면 위로 통과하는 교차 플로우 전해질의 체적 및 속도를 상승시킬 수 있어서, 개선된 도금 균일도를 제공한다.
특정한 구현예들에서, 교차 플로우를 인가하기 위한 메커니즘은 예를 들어, 채널링된 이온 저항성 엘리먼트의 주변부 상 또는 인접하게 적절한 플로우 지향 및 분배 수단을 갖는 유입부이다. 유입부는 채널링된 이온 저항성 엘리먼트의 기판-대면 표면을 따라 교차 플로우 음극액을 지향시킨다. 유입부는 방위각적으로 비대칭이고, 부분적으로 채널링된 이온 저항성 엘리먼트의 원주를 따르고, 하나 이상의 갭들을 갖고, 전기도금 동안 채널링된 이온 저항성 엘리먼트와 실질적으로 평면형인 기판 사이에 교차 플로우 주입 매니폴드를 규정한다. 교차 플로우 주입 매니폴드와 협력하여 작동하기 위한 다른 엘리먼트들이 선택가능하게 제공된다. 이들은 도면들과 함께 이하에 더 기술되는, 교차 플로우 주입 플로우 분배 샤워헤드 및 교차 플로우 한정 링을 포함할 수도 있다.
특정한 구현예들에서, 기판의 주변부 근방에서 전단 플로우를 촉진하기 위한 선택가능한 메커니즘은 에지 플로우 엘리먼트이다. 에지 플로우 엘리먼트는 일부 경우들에서 채널링된 이온 저항성 플레이트 또는 기판 홀더의 통합된 부분일 수도 있다. 다른 경우들에서, 에지 플로우 엘리먼트는 채널링된 이온 저항성 플레이트와 또는 기판 홀더와 인터페이싱하는 별도의 부분일 수도 있다. 에지 플로우 엘리먼트가 별도의 부분인 일부 경우들에서, 다양한 상이하게 성형된 에지 플로우 엘리먼트들은 기판의 에지 근방의 플로우 분배로 하여금 미리 결정된 애플리케이션을 위해 튜닝되게 하도록 별도로 제공될 수도 있다. 다양한 경우들에서, 에지 플로우 엘리먼트는 방위각적으로 비대칭일 수도 있다. 선택가능한 에지 플로우 엘리먼트에 대한 추가 상세들은 이하에 제공된다. 에지 플로우 엘리먼트는 전기도금 프로세스 동안 능동적으로 제어될 수 있는 동적 높이를 갖는 교차 플로우 매니폴드와 함께 실시될 때 특정한 도금 불균일도들을 방지하는데 특히 유용할 수도 있다.
특정한 실시예들에서, 장치는 전기도금 동안 채널링된 이온 저항성 엘리먼트의 홀들을 나갈 때 적어도 약 3 ㎝/s (예를 들어, 적어도 약 5 ㎝/s 또는 적어도 약 10 ㎝/s) 의 평균 플로우 속도를 생성하도록 기판 도금면을 향해 또는 기판 도금면에 수직인 방향으로 전해질의 플로우를 인에이블하도록 구성된다. 특정한 실시예들에서, 장치는 기판의 도금면의 중심점을 가로질러 약 3 ㎝/sec 이상 (예를 들어, 약 5 ㎝/s 이상, 약 10 ㎝/s 이상, 약 15 ㎝/s 이상, 또는 약 20 ㎝/s 이상) 의 평균 횡단 전해질 속도를 생성하는 조건들 하에서 동작하도록 구성된다. 특정한 실시예들에서 이들 플로우 레이트들 (예를 들어, 이온 저항성 엘리먼트의 홀들을 나가는 플로우 레이트 및 기판의 도금면에 걸친 플로우 레이트) 은 약 20 L/min의 전체 전해질 플로우 레이트 및 대략 12 inch 직경 기판을 채용하는 전기도금 셀에서 적절하다. 본 명세서의 실시예들은 다양한 기판 사이즈들로 실시될 수도 있다. 일부 경우들에서, 기판은 약 200 ㎜, 약 300 ㎜, 또는 약 450 ㎜의 직경을 갖는다. 또한, 본 명세서의 실시예들은 광범위한 전체 플로우 레이트들로 실시될 수도 있다. 특정한 구현예들에서, 전체 전해질 플로우 레이트는 약 1 내지 60 L/min, 약 6 내지 60 L/min, 약 5 내지 25 L/min, 또는 약 15 내지 25 L/min이다. 도금 동안 달성된 플로우 레이트들은 특정한 하드웨어 제약들, 예컨대 사이즈 및 사용될 펌프 용량에 의해 제한될 수도 있다. 당업자는 개시된 기법들이 보다 큰 펌프들을 사용하여 실시될 때 본 명세서에 인용된 플로우 레이트들이 보다 높을 수도 있다는 것을 이해할 것이다.
일부 실시예들에서, 전기도금 장치는 분리된 애노드 챔버 및 캐소드 챔버를 포함하고, 2 개의 챔버들 각각에 상이한 전해질 조성들, 전해질 순환 루프들, 및/또는 유체 역학이 있다. 이온 투과성 멤브레인은 챔버들 사이에 하나 이상의 컴포넌트들의 지향성 대류 수송 (플로우에 의한 질량 이동) 을 억제하고 챔버들 간 목표된 분리를 유지하도록 채용될 수도 있다. 멤브레인은 벌크 전해질 플로우를 차단할 수도 있고, 음이온들과 같은 이온들의 수송을 허용하는 동안 유기 첨가제들과 같은 특정한 종의 수송을 배제할 수도 있다. 일부 실시예들에서, 멤브레인은 DuPont의 NAFION™ 또는 관련된 이온 선택성 폴리머를 포함한다. 다른 경우들에서, 멤브레인은 이온 교환 재료를 포함하지 않고, 대신 미소-다공성 재료를 포함한다. 종래에, 캐소드 챔버 내 전해질은 "음극액"으로 지칭되고 애노드 챔버 내 전해질은 "양극액"으로 지칭된다. 흔히, 양극액 및 음극액은 상이한 조성들을 갖고, 양극액은 도금 첨가제들 (예를 들어, 가속도화제, 억제제, 및/또는 평탄화제 (leveler)) 을 매우 조금 포함하거나 전혀 포함하지 않으며 음극액은 상당한 농도의 이러한 첨가제들을 포함한다. 금속 이온들 및 산들의 농도는 또한 종종 양 챔버들 간에서 상이하다. 분리된 애노드 챔버를 포함하는 전기도금 장치의 예는 2000년 11월 3일에 출원된 미국 특허 제 6,527,920 호 [대리인 관리 번호 NOVLP007]; 2002년 8월 27일에 출원된 미국 특허 제 6,821,407 호 [대리인 관리 번호 NOVLP048]; 및 2009년 12월 17일에 출원된 미국 특허 제 8,262,871 호 [대리인 관리 번호 NOVLP308]에서 기술되며, 각 문헌은 그 전체 내용이 본 명세서에서 참조로서 인용된다.
일부 실시예들에서, 애노드 멤브레인이 이온 교환 재료를 포함할 필요가 없다. 일부 예들에서, 멤브레인은 미국 메사추세츠 윌밍톤 소재의 Koch Membrane 에 의해서 제조된 폴리에테르술폰 (polyethersulfone) 과 같은 미세 다공성 재료로 이루어진다. 이러한 타입의 멤브레인은 주석-은 도금 및 금 도금과 같은 불활성 애노드 애플리케이션들에 특히 적용가능하지만 또한 니켈 도금과 같은 용해성 애노드 애플리케이션들에서도 사용될 수도 있다.
특정한 실시예들에서, 그리고 본 명세서의 다른 부분에서 보다 완전히 기술된 바와 같이, 음극액은, 전해질이 피딩되고, 축적되고, 이어서 분배되고 웨이퍼 표면을 향해 직접적으로 CIRP의 다양한 비연통 채널들을 통해 실질적으로 균일하게 통과하는, 이하에서 "CIRP 매니폴드 영역"으로 지칭되는, 매니폴드 영역 내로 주입된다.
이하의 논의에서, 개시된 실시예들에서 상단 피처 및 하단 피처 (또는 상부 피처 및 하부 피처 등과 같은 유사한 용어들) 또는 엘리먼트들을 참조할 때, 용어들 상단 및 하단은 간단하게 편의상 사용되며 본 발명의 단일 프레임의 구현예 또는 참조예만을 나타낸다. 상단 컴포넌트 및 하단 컴포넌트가 중력에 대해 반전되고 그리고/또는 상단 컴포넌트 및 하단 컴포넌트가 좌측 컴포넌트 및 우측 컴포넌트 또는 우측 컴포넌트 및 좌측 컴포넌트가 되는 다른 구성들도 가능하다.
본 명세서에 기술된 일부 양태들이 다양한 타입의 도금 장치에서 채용될 수도 있지만, 간략성 및 명료성을 위해서, 예들 대부분은 웨이퍼-하향-대면, "분수형" 도금 장치에 관한 것일 것이다. 이러한 장치에서, 도금될 워크피스 (통상적으로 본 명세서에 제시되는 예들에서는 반도체 웨이퍼) 는 일반적으로 실질적으로 수평인 배향 (일부 경우들에서는 전체 도금 프로세스 동안 또는 이의 일부분 동안 정확한 수평으로부터 몇 도만큼 가변할 수도 있음) 을 가지며 도금 동안에 회전하도록 전력이 공급되며 대체로 수직으로 상향하는 전해질 대류 패턴을 산출한다. 웨이퍼의 중심으로부터 에지로의 충돌성 플로우 질량과 중심에 대해 에지에서의 회전하는 웨이퍼의 내재적인 보다 높은 각속도의 결합은 방사상으로 상승하는 전단 (웨이퍼에 평행하는) 플로우 속도를 생성한다. 분수형 도금 부류의 셀들/장치의 부재의 일 예는 미국 캘리포니아 산호세 소재의 Novellus Systems 사로부터 입수가능하고 이에 의해서 제조되는 Sabre® 전기도금 시스템이다. 부가적으로, 분수형 전기도금 시스템들은 2001년 8월 10일 출원된 미국 특허 제 6,800,187 호 [대리인 관리 번호 NOVLP020] 및 2008년 11월 7일 출원된 미국 특허 제 8,308,931 호 [대리인 관리 번호 NOVLP299]에 기술되며, 이 문헌들은 그 전체 내용이 본 명세서에서 참조로서 인용된다.
도금될 기판은 대체적으로 평면형이거나 실질적으로 평면형이다. 본 명세서에서 사용되는 바와 같이, 트렌치들, 비아들, 포토레지스트 패턴들 등과 같은 피처들을 갖는 기판은 실질적으로 평면형이라고 간주된다. 종종 이러한 피처들은 초소형 스케일 (microscopic scale) 이지만, 이는 반드시 언제나 그러한 것은 아니다. 많은 실시예들에서, 기판의 표면의 하나 이상의 부분들은 전해질에 노출되지 않도록 마스킹될 수도 있다.
도 1a 및 도 1b의 이하의 기술은 본 명세서에서 기술된 장치 및 방법들을 이해하는 것을 돕도록 전반적으로 비한정적인 맥락을 제공한다. 도 1a는 반도체 웨이퍼들을 전기화학적으로 처리하기 위한 웨이퍼 홀딩 및 포지셔닝 장치 (100) 의 사시도를 제공한다. 장치 (100) 는 웨이퍼 인게이징 컴포넌트들 (때로 본 명세서에서 "클램쉘" 컴포넌트들로 지칭됨) 을 포함한다. 실제 클램쉘은 컵 (102) 및 콘 (cone) (103) 을 포함하며 이 콘은 압력이 웨이퍼와 시일 (seal) 사이에 인가되게 하여서 웨이퍼를 컵 내에서 고정시킨다.
컵 (102) 은 상단 플레이트 (105) 에 연결된 버팀대들 (104) 에 의해서 지지된다. 이 어셈블리 (102 내지 105), 집합적으로 어셈블리 (101) 는 스핀들 (106) 을 통해 모터 (107) 에 의해서 구동된다. 모터 (107) 는 장착 브라켓 (109) 에 부착된다. 스핀들 (106) 은 도금 동안 회전이 가능하도록 웨이퍼 (본 도면에서는 미도시) 에 토크를 전달한다. 스핀들 (106) 내의 공기 실린더 (미도시) 는 또한 컵 내에 하우징된 시일링 부재 (립시일) 와 웨이퍼 간의 시일링을 생성하도록 컵과 콘 (103) 간에 수직력을 제공한다. 이 논의의 목적들을 위해, 컴포넌트들 (102 내지 109) 을 포함하는 어셈블리는 집합적으로 웨이퍼 홀더 (111) 로서 지칭된다. 그러나, "웨이퍼 홀더"의 개념은 일반적으로 웨이퍼를 인게이지하고 웨이퍼의 이동 및 포지셔닝을 가능하게 하는 컴포넌트들의 다양한 조합 및 하위-조합들까지 확장될 수 있다는 것을 주의한다.
제 2 플레이트 (117) 에 슬라이드가능하게 연결된 제 1 플레이트 (115) 를 포함하는 틸팅 (tilting) 어셈블리가 장착 브라켓 (109) 에 연결된다. 구동 실린더 (113) 는 각각 피봇 조인트들 (119, 121) 에서 양 플레이트들 (115 및 117) 에 연결된다. 이로써, 구동 실린더 (113) 는 플레이트 (117) 에 걸쳐 플레이트 (115) (및 이로써 웨이퍼 홀더 (111)) 를 슬라이딩하기 위한 힘을 제공한다. 웨이퍼 홀더 (111) 의 원위 단부 (즉, 장착 브라켓 (109)) 는 플레이트들 (115와 117) 간의 콘택트 영역을 규정하는 아치형 경로 (미도시) 를 따라 이동하며, 이로써 웨이퍼 홀더 (111) 의 근위 단부 (즉, 컵 및 콘 어셈블리) 는 가상 피봇 상에서 틸팅된다. 이로써, 웨이퍼가 도금 욕 내로 경사지게 진입하게 한다.
전체 장치 (100) 는 웨이퍼 홀더 (111) 의 근위 단부를 또 다른 액추에이터 (미도시) 를 통해서 도금 용액 내로 침지시키도록 수직으로 상승 또는 하강된다. 이 액추에이터 (및 관련된 리프팅 운동) 는 기판과 CIRP 간의 교차 플로우 매니폴드의 높이를 제어하기 위한 일 가능한 메커니즘을 제공한다. 웨이퍼 홀더 (111) (또는 실제 웨이퍼를 지지하는 웨이퍼 홀더의 일부) 로 하여금 CIRP로/를 향하여 이동하게 하는 임의의 유사한 메커니즘이 이 목적을 위해 사용될 수도 있다. 도 1a에 도시된 장치 (100) 는 2-컴포넌트 포지셔닝 메커니즘이 전해질에 수직인 궤적을 따르는 수직 이동 및 수평 배향 (전해질 표면에 평행함) 으로부터 편차를 가능하게 하는 틸팅 이동 (tilting movement) 을 웨이퍼에 제공한다 (기울어진 웨이퍼 침지 능력). 장치 (100) 의 이러한 이동 능력 및 이와 연관된 하드웨어의 보다 상세한 기술은 2001년 5월 31일 출원되고 2003년 4월 22일에 허여된 미국 특허 제 6,551,487 호 [대리인 관리 번호 NOVLP022]에 기술되며, 이 문헌은 그 전체 내용이 본 명세서에서 참조로서 인용된다.
장치 (100) 는 통상적으로 애노드 (예를 들어, 구리 애노드 또는 비금속 불활성 애노드) 및 전해질을 하우징하는 도금 챔버를 갖는 특정 도금 셀과 함께 사용된다는 것을 주의한다. 도금 셀은 또한 도금 셀을 통해 -그리고 도금되는 워크피스에 대고- 전해질을 순환시키기 위한 플럼빙 또는 플럼빙 연결부들을 포함할 수도 있다. 또한, 도금 셀은 애노드 칸과 캐소드 칸에 서로 상이한 전해질 화학물질들을 유지하도록 설계된 멤브레인들 또는 다른 세퍼레이터들을 포함할 수도 있다. 일 실시예에서, 일 멤브레인은 억제제들, 가속도화제들, 또는 다른 유기 도금 첨가제들이 실질적으로 없는 전해질을 담는 애노드 챔버를 규정하거나 또 다른 실시예에서 양극액과 음극액의 무기 도금 조성이 실질적으로 상이하다. 물리적 수단에 의해 양극액을 음극액으로 또는 주 도금 욕으로 전달하는 수단 (예를 들어, 오버플로우 트로프 (overflow trough) 또는 밸브들을 포함하는 직접형 펌핑) 이 선택가능하게 또한 공급될 수도 있다.
이하의 기술은 클램쉘의 컵 및 콘 어셈블리의 보다 상세한 내용을 제공한다. 도 1b는 콘 (103) 및 컵 (102) 을 포함하는 어셈블리 (100) 의 일부 (101) 를 단면 형식으로 도시한다. 이 도면은 컵 및 콘 제품 어셈블리를 정확하게 도시하고자 한 것이 아니며 이보다는 논의을 위해서 양식화된 도면을 나타낸다는 것을 주의한다. 컵 (102) 은 스크루들 (108) 을 통해서 부착된 버팀대들 (104) 를 통해서 상단 플레이트 (105) 에 의해서 지지된다. 일반적으로, 컵 (102) 은 웨이퍼 (145) 가 놓이는 지지대를 제공한다. 컵은 개구를 포함하며 이 개구를 통해서 도금 셀로부터의 전해질이 웨이퍼와 콘택트하게 된다. 웨이퍼 (145) 는 도금이 발생하는 전방 측면 (142) 을 갖는다는 것을 주의한다. 웨이퍼 (145) 의 주변부가 컵 (102) 상에 놓인다. 콘 (103) 은 도금 동안에 웨이퍼가 제자리에서 홀딩되도록 웨이퍼의 후방 측면 상을 하향 가압한다.
웨이퍼를 어셈블리 (101) 내로 로딩하기 위해서, 콘 (103) 은 콘 (103) 이 상단 플레이트 (105) 와 접촉할 때까지 스핀들 (106) 을 통해서 그의 도시된 위치로부터 리프팅된다. 이 위치로부터, 컵과 콘 간에 갭이 형성되고 이 갭 내로 웨이퍼 (145) 가 삽입되고 이로써 컵 내로 로딩된다. 이어서, 도시된 바와 같이 컵 (102) 의 주변부에 대하여 웨이퍼를 인게이지하고 웨이퍼의 외측 주변부를 따라 립시일 (143) 을 넘어서 방사상으로 전기적 콘택트들의 세트 (도 1b에서는 미도시) 에 매이팅하도록 콘 (103) 이 하강된다.
스핀들 (106) 은 콘 (103) 이 웨이퍼 (145) 와 인게이지하게 하는 수직력 및 어셈블리 (101) 를 회전시키는 토크를 전달한다. 이렇게 전달된 힘들은 도 1b에서 화살표로 표시된다. 웨이퍼 도금은 통상적으로 웨이퍼가 (도 1b의 상단에서 파선 화살표들로 표시된 바와 같이) 회전하는 동안 발생한다는 것을 주의한다.
컵 (102) 은 콘 (103) 이 웨이퍼 (145) 와 인게이지할 때 유체-기밀 시일을 형성하는 압축성가능한 립시일 (143) 을 갖는다. 콘 및 웨이퍼로부터의 수직력은 립 시일 (143) 을 압축성하여 유체 기밀 시일을 형성한다. 립시일은 전해질이 웨이퍼 (145) 의 후측면과 콘택트하는 것을 방지하며 (여기에서 전해질이 구리 또는 주석 이온들과 같은 오염 종들을 실리콘 내로 직접적으로 도입시킬 수 있음) 전해질이 장치 (101) 의 민감한 컴포넌트들에 콘택트하는 것을 방지한다. 또한, 컵의 계면과 웨이퍼 간에 시일들이 존재하여서 웨이퍼 (145) 의 후측면을 더 보호하기 위한 유체-기밀 시일들 (미도시) 을 형성할 수도 있다.
콘 (103) 은 또한 시일 (149) 을 포함한다. 도시된 바와 같이, 시일 (149) 은 콘 (103) 의 에지 근방에 위치하며 인게이지되면 컵의 상부 영역에 위치한다. 이는 또한 웨이퍼 (145) 의 후측면을 컵 상방으로부터 클램쉘로 진입할 수도 있는 임의의 전해질로부터 보호한다. 시일 (149) 은 컵 또는 콘에 부착될 수도 있고, 멀티-컴포넌트 시일 또는 단일 시일일 수도 있다.
도금이 시작되면, 콘 (103) 은 컵 (102) 상방으로 상승되며 웨이퍼 (145) 가 컵 (102) 내로 도입된다. 웨이퍼가 컵 (102) 내로 -통상적으로 로봇 암에 의해- 초기에 도입되면, 그의 전방 측면 (142) 이 립시일 (143) 상에 가볍게 놓인다. 도금 동안에, 균일한 도금을 달성하는 것을 보조하기 위해 어셈블리 (101) 가 회전한다. 후속 도면들에서, 어셈블리 (101) 는 보다 간단한 형태로 그리고 도금 동안에 웨이퍼 도금 표면 (142) 에서의 전해질의 유체역학을 제어하기 위한 컴포넌트들과 관련하여 도시된다. 이로써, 워크피스에서의 질량 이송 및 유체 전단의 개요는 다음과 같다.
도 1c에 도시된 바와 같이, 도금 장치 (150) 는 애노드 (160) 를 하우징하는 도금 셀 (155) 을 포함한다. 이 예에서, 전해질 (175) 은 애노드 (160) 의 개구부를 통해 중앙으로 셀 (155) 내로 흐르고, 전해질은 수직으로 배향된 (비교차) 쓰루 홀들을 갖는 채널링된 이온 저항성 엘리먼트 (170) 를 통과하고, 쓰루 홀들을 통해 전해질이 흐르고 이어서 웨이퍼 홀더 (101) 내에 홀딩되고, 웨이퍼 홀더 (101) 에 의해 포지셔닝되고 이동되는 웨이퍼 (145) 에 충돌한다. 170과 같은 채널링된 이온 저항성 엘리먼트들은 웨이퍼 도금 표면 상에 균일한 충돌하는 플로우를 제공한다. 본 명세서에 기술된 특정한 실시예들에 따라, 이러한 채널링된 이온 저항성 엘리먼트들을 활용하는 장치는 WLP 및 TSV 애플리케이션들과 같은 고 증착 레이트 레짐들 하에서의 도금을 포함하여, 웨이퍼의 면을 가로질러 고 레이트 및 고 균일도 도금을 용이하게 하는 방식으로 구성되고 그리고/또는 동작된다. 임의의 또는 모든 기술된 다양한 실시예들은 다마신 뿐만 아니라 TSV 및 WLP 애플리케이션들의 맥락에서 구현될 수 있다.
도 1d 내지 도 1g는 도금될 기판 면을 가로질러 교차 플로우를 조장하도록 사용될 수도 있는 특정한 기법들에 관한 것이다. 이들 도면들과 관련하여 기술된 다양한 기법들은 교차 플로우를 조장하기 위한 대안적인 전략들을 제공한다. 이와 같이, 이들 도면들에 기술된 특정한 엘리먼트들은 선택가능하고, 모든 실시예들에 존재하지 않는다.
일부 실시예들에서, 전해질 플로우 포트들은 본 명세서에 기술된 바와 같이 플로우 성형 플레이트 및 플로우 전환기와 함께 또는 단독으로 횡단 플로우를 보조하도록 구성된다. 플로우 성형 플레이트 및 플로우 전환기와의 조합과 관련하여 다양한 실시예들이 이하에 기술되지만, 본 발명은 이렇게 제한되지 않는다. 특정한 실시예들에서, 웨이퍼 표면에 걸친 전해질 플로우 벡터들의 크기는 벤트 또는 갭에 인접하여 보다 크고 웨이퍼 표면을 가로질러 점점 보다 작고, 벤트 또는 갭으로부터 가장 먼 의사 챔버 (pseudo chamber) 의 내부에서 가장 작아진다고 여겨진다. 도 1d에 도시된 바와 같이, 적절히 구성된 전해질 플로우 포트들을 사용함으로써, 이들 횡단 플로우 벡터들의 크기는 웨이퍼 표면에 걸쳐 보다 균일하다.
일부 실시예들은 플로우 성형 플레이트 및 플로우 전환기 어셈블리들과 함께 횡단 플로우 향상을 위해 구성되는 전해질 유입 플로우 포트들을 포함한다. 도 1e는 웨이퍼 홀더 (101) 에 의해 홀딩되고 포지셔닝되고 회전되는 웨이퍼 (145) 상에 구리를 도금하기 위한 도금 장치 (725) 의 컴포넌트들의 단면도이다. 장치 (725) 는 구리 애노드 (160) 및 양극액을 갖는 애노드 챔버를 갖는 듀얼 (dual) 챔버 셀인 전기도금 셀 (155) 을 포함한다. 애노드 챔버 및 캐소드 챔버는 지지 부재 (735) 에 의해 지지되는 양이온성 멤브레인 (740) 에 의해서 분리된다. 도금 장치 (725) 는 본 명세서에서 기술되는 바와 같이 플로우 성형 플레이트 (410) 를 포함한다. 플로우 전환기 (때로 한정 링으로 지칭됨) (325) 가 플로우 성형 플레이트 (410) 상단에 위치하며 본 명세서에서 기술되는 바와 같이 횡단 전단 플로우를 생성하는 것을 보조한다. 음극액은 플로우 포트들 (710) 을 통해서 (멤브레인 (740) 위의) 캐소드 챔버 내로 도입된다. 플로우 포트들 (710) 로부터, 음극액은 본 명세서에서 기술된 바와 같은 플로우 플레이트 (410) 를 통과하고 웨이퍼 (145) 의 도금 표면 상으로의 충돌성 플로우를 생성한다. 음극액 플로우 포트들 (710) 이외에, 부가적인 플로우 포트 (710a) 가 음극액을 그의 원위 위치에서 그의 출구에서 플로우 전환기 (325) 의 벤트 또는 갭으로 도입한다. 본 예에서, 플로우 포트 (710a) 의 출구는 플로우 성형 플레이트 (410) 내의 채널로서 형성된다. 기능적 결과는 음극액 플로우는 웨이퍼 표면에 걸친 횡단 플로우를 향상시키고 이로써 웨이퍼 (및 플로우 플레이트 (410)) 에 걸쳐 플로우 벡터들을 정규화하도록 플로우 플레이트와 웨이퍼 도금 표면 간에 형성된 의사 챔버 내로 직접적으로 도입되는 것이다.
도 1f는 플로우 포트 (710a) (도 1e로부터) 를 도시하는 플로우 도이다. 도 1f에서 알 수 있는 바와 같이, 플로우 포트 (710a) 의 출구는 플로우 전환기 (750) 의 내측 원주의 90 도에 걸친다. 당업자는 플로우 포트 (710a) 의 치수, 구성 및 위치가 본 발명의 범위를 벗어나지 않고 가변할 수도 있다는 것을 이해할 것이다. 당업자는 또한 등가의 구성이 도 1e에 도시된 바와 같이 (플로우 플레이트 (410) 에서) 플로우 전환기 (325) 의 포트 또는 채널로부터 그리고/또는 채널과 조합하여 음극액 출구를 포함할 것이라는 것을 이해할 것이다. 다른 실시예들은 플로우 전환기의 (하부) 측벽, 예를 들어, 플로우 성형 플레이트 상단 표면에 가장 가까운 측벽에 하나 이상의 포트들을 포함하고, 하나 이상의 포트들은 벤트 또는 갭의 반대편인 플로우 전환기의 부분에 위치된다. 도 1g는 플로우 성형 플레이트 (410) 와 어셈블된 플로우 전환기 (750) 를 도시하고, 플로우 전환기 (750) 는 플로우 전환기의 갭 반대편의 플로우 전환기로부터 전해질을 공급하는 음극액 플로우 포트들 (710b) 을 갖는다. 플로우 포트들, 예컨대 710a 및 710b는 웨이퍼 도금 표면 또는 플로우 성형 플레이트 상단 표면에 대한 임의의 각도로 전해질을 공급할 수도 있다. 하나 이상의 플로우 포트들은 웨이퍼 표면 및/또는 횡단 (전단) 플로우에 충돌하는 플로우를 전달할 수 있다.
일 실시예에서, 예를 들어 도 1e 내지 도 1g와 관련하여 기술된 바와 같이, 본 명세서에 기술된 바와 같은 플로우 성형 플레이트는 플로우 전환기와 함께 사용되고, (본 명세서에 기술된 바와 같이) 향상된 횡단 플로우를 위해 구성된 플로우 포트는 또한 플로우 플레이트/플로우 전환기 어셈블리와 함께 사용된다. 일 실시예에서, 플로우 성형 플레이트는 불균일한 홀 분포, 일 실시예에서, 나선형 홀 패턴을 갖는다.
용어 및 플로우 경로들
다수의 도면들이 본 명세서에 개시된 실시예들을 더 예시하고 설명하도록 제공된다. 도면들은, 다른 것들 보다 개시된 전기도금 장치와 연관된 구조적 엘리먼트들 및 플로우 경로들의 다양한 도면들을 포함한다. 이들 엘리먼트들은 도 2 및 도 22a 및 도 22b의 기술 시 일관되게 사용되는, 특정한 명칭들/참조 번호들이 주어진다.
이하의 실시예들은 대부분 전기도금 장치가 분리된 애노드 챔버를 포함하는 것을 가정한다. 기술된 피처들은, 멤브레인 프레임 (274) 및 캐소드 챔버로부터 애노드 챔버를 분리하는 멤브레인 (202) 을 포함하는 캐소드 챔버에 포함된다. 임의의 가능한 후의 애노드 및 애노드 챔버 구성들이 채용될 수도 있다. 이하의 실시예들에서, 캐소드 챔버에 담긴 음극액은 주로 교차 플로우 매니폴드 (226) 내 또는 채널링된 이온 저항성 플레이트 매니폴드 (208) 내, 또는 이들 2 개의 분리된 매니폴드들로 음극액을 전달하는 채널들 (258 및 262) 에 위치된다.
이하의 기술은 대부분 교차 플로우 매니폴드 (226) 내 음극액을 제어하는 것초점을 둔다. 음극액은 2 개의 별도의 진입 지점들: (1) 채널링된 이온 저항성 플레이트 (206) 및 (2) 교차 플로우 개시 구조체 (250) 를 통해 교차 플로우 매니폴드 (226) 로 들어간다. CIRP (206) 의 채널들을 통해 교차 플로우 매니폴드 (226) 내에 도달하는 음극액은 워크피스 면을 향해, 통상적으로 실질적으로 수직인 방향으로 지향된다. 이러한 채널 전달된 음극액은 통상적으로 채널링된 플레이트에 대해 느리게 (예를 들어, 약 1 내지 30 rpm) 회전하는, 워크피스 면에 충돌하는 작은 제트들을 형성할 수도 있다. 교차 플로우 개시 구조체 (250) 를 통해 교차 플로우 매니폴드 (226) 에 도달하는 음극액은, 반대로, 워크피스 면에 실질적으로 평행하게 지향된다.
상기 논의에서 나타낸 바와 같이, "채널링된 이온 저항성 플레이트" (206) (또는 "채널링된 이온 저항성 엘리먼트" 또는 "CIRP") 는 전기장을 성형하고 전해질 플로우 특성들을 제어하도록, 도금 동안 작동 전극 (웨이퍼 또는 기판) 과 대향 전극 (애노드) 사이에 포지셔닝된다. 본 명세서의 다양한 도면들은 개시된 장치의 다른 구조적 특징들에 대한 채널링된 이온 저항성 플레이트 (206) 의 상대적인 위치를 도시한다. 이러한 이온 저항성 엘리먼트 (206) 의 일 예는 2008년 11월 7일 출원된 미국 특허 제 8,308,931 호 [대리인 관리 번호 NOVLP299] 에 기술되고, 이는 전체가 참조로서 본 명세서에 인용된다. 상기 특허에 기술된 채널링된 이온 저항성 플레이트는 예컨대 상대적으로 낮은 도전율을 갖거나 매우 박형의 저항성 씨드층들을 포함하는 웨이퍼 표면들 상에서 방사상 도금 균일도를 개선하기 적합하다. 채널링된 엘리먼트의 특정한 실시예들의 다른 양태들은 이하에 기술된다.
"멤브레인 프레임" (274) (때때로 다른 문서들에서 애노드 멤브레인 프레임으로 지칭됨) 은 일부 실시예들에서 애노드 챔버를 캐소드 챔버로부터 분리하는 멤브레인 (202) 을 지지하도록 채용된 구조적 엘리먼트이다. 이는 본 명세서에 개시된 특정한 실시예들에 대한 다른 피처들을 가질 수도 있다. 특히, 도면들의 실시예들을 참조하면, 교차 플로우 매니폴드 (226) 를 향해 음극액을 전달하기 위한 플로우 채널들 (258 및 262) 및 교차 플로우 매니폴드 (226) 로 교차 플로우 음극액을 전달하도록 구성된 샤워헤드 (242) 를 포함할 수도 있다. 멤브레인 프레임 (274) 은 또한 음극액의 최상위 레벨을 결정하고 조절하는데 유용한 셀 둑 벽 (282) 을 포함할 수도 있다. 본 명세서의 다양한 도면들은 개시된 교차 플로우 장치와 연관된 다른 구조적 피처들의 맥락에서 멤브레인 프레임 (274) 을 도시한다.
도 2를 다시 참조하면, 멤브레인 프레임 (274) 은 통상적으로 애노드 챔버를 캐소드 챔버로부터 분리하는데 책임이 있는 이온 교환 멤브레인인, 멤브레인 (202) 을 홀딩하기 위한 견고한 구조적 부재이다. 설명된 바와 같이, 애노드 챔버는 제 1 조성의 전해질을 담을 수도 있는 한편, 캐소드 챔버는 제 2 조성의 전해질을 담는다. 멤브레인 프레임 (274) 은 또한 채널링된 이온 저항성 엘리먼트 (206) 로의 유체 전달 제어를 돕도록 사용될 수도 있는 복수의 유체 조정 막대들 (270) (때때로 플로우 수축 (constrict) 엘리먼트들로 지칭됨) 을 포함할 수도 있다. 멤브레인 프레임 (274) 은 캐소드 챔버의 최하단 부분 및 애노드 챔버의 최상단 부분을 규정한다. 기술된 컴포넌트들은 모두 애노드 챔버 및 애노드 챔버 멤브레인 (202) 위의 전기화학적 도금 셀의 워크피스 측 상에 위치된다. 이들은 모두 캐소드 챔버의 일부로 보여질 수 있다. 그러나, 교차 플로우 주입 장치의 특정한 구현예들은 분리된 애노드 챔버를 채용하지 않고, 따라서 멤브레인 프레임 (274) 은 필수적이지 않다는 것이 이해될 것이다.
일반적으로 워크피스와 멤브레인 프레임 (274) 사이에 채널링된 이온 저항성 플레이트 (206), 뿐만 아니라 각각 채널링된 이온 저항성 플레이트 (206) 에 고정될 수도 있는 교차 플로우 링 가스켓 (238) 및 웨이퍼 교차 플로우 한정 링 (210) 이 위치된다. 보다 구체적으로, 교차 플로우 링 가스켓 (238) 은 CIRP (206) 바로 위에 포지셔닝될 수도 있고, 웨이퍼 교차 플로우 한정 링 (210) 은 교차 플로우 링 가스켓 (238) 위에 포지셔닝될 수도 있고 채널링된 이온 저항성 플레이트 (206) 의 상단 표면에 고정될 수도 있어서, 가스켓 (238) 을 효과적으로 개재한다. 본 명세서의 다양한 도면들은 채널링된 이온 저항성 플레이트 (206) 대해 배열된 교차 플로우 한정 링 (210) 을 도시한다.
도 2에 도시된 바와 같은, 본 개시의 최상부 관련 구조적 피처는 워크피스 또는 웨이퍼 홀더이다. 특정한 실시예들에서, 워크피스 홀더는, 상기 언급된 Novellus Systems의 Sabre® 전기도금 툴에 구현된 설계와 같은 콘 및 컵 크램쉘 타입 설계들에 일반적으로 사용되는, (254) 일 수도 있다. 도 2b 및 도 8a 및 도 8b는, 예를 들어, 장치의 다른 엘리먼트들에 대한 컵 (254) 의 상대적인 배향을 도시한다. 본 명세서의 많은 실시예들에서, 컵 (254) 과 CIRP (206) 사이의 거리는 이하에 더 논의된 바와 같이 전기도금 동안 동적으로 제어될 수도 있다.
다양한 실시예들에서, 에지 플로우 엘리먼트 (도 2에 미도시) 가 제공될 수도 있다. 에지 플로우 엘리먼트는 일반적으로 채널링된 이온 저항성 플레이트 (206) 위 그리고/또는 내, 그리고 컵 (254) 아래인 위치에 제공될 수도 있다. 에지 플로우 엘리먼트는 이하에 더 기술된다.
도 3a는 본 명세서에 개시된 실시예에 따른 교차 플로우 유입부 측면의 확대 단면도를 도시한다. 도 3b는 본 명세서의 실시예에 따른 교차 플로우 유출부 측면의 확대 단면도를 도시한다. 도 4는 본 명세서의 특정한 실시예들에 따라, 유입부 측 및 유출부 측 양자를 도시하는 도금 장치의 단면도를 도시한다. 도금 프로세스 동안, 음극액은 멤브레인 프레임 (274) 상의 멤브레인 (202) 상단과 멤브레인 프레임 둑 벽 (282) 사이의 영역을 충진하고 점유한다. 이 음극액 영역은 3 개의 하위-영역들: 1) CIRP (206) 아래이고 (애노드 챔버 양이온 멤브레인을 채용하기 위한 설계들을 위해) 분리된-애노드-챔버들-양이온성-멤브레인 (202) 위의 채널링된 이온 저항성 플레이트 매니폴드 영역 (208) (이 엘리먼트는 또한 때때로 하부 매니폴드 영역 (208) 으로 지칭됨), 2) 웨이퍼와 CIRP (206) 의 상부 표면 사이의 교차 플로우 매니폴드 영역 (226), 및 3) 크램쉘/컵 (254) 외부측이고 셀 둑 벽 (282) 내부의 상부 셀 영역 또는 "전해질 봉쇄 영역" (멤브레인 프레임 (274) 의 물리적 부분) 으로 하위분할될 수 있다. 웨이퍼가 침지되지 않고, 크램쉘/컵 (254) 이 하강된 위치에 있지 않을 때, 제 2 영역 및 제 3 영역이 일 영역으로 결합된다.
채널링된 이온 저항성 플레이트 (206) 의 상단부와 워크피스의 하단부 사이 위의 영역 (2) 은, 워크피스 홀더 (254) 내에 설치될 때, 음극액을 담고 "교차 로우 매니폴드" (226) 로 지칭된다. 일부 실시예들에서, 음극액은 단일 유입부 포트를 통해 캐소드 챔버로 들어간다. 다른 실시예들에서, 음극액은 도금 셀의 어딘가에 위치된 하나 이상의 포트들을 통해 캐소드 챔버로 들어간다. 일부 경우들에서, 셀의 욕, 애노드 챔버 주변부 및 애노드 챔버 셀 벽들의 컷아웃 (cut out) 에 단일 유입부가 있다. 이 유입부는 셀 및 애노드 챔버의 베이스에서 중앙 음극액 유입부 매니폴드에 연결된다. 특정한 개시된 실시예들에서, 주 음극액 매니폴드 챔버는 복수의 음극액 챔버 유입부 홀들 (예를 들어, 12 음극액 챔버 유입부 홀들) 을 피드한다. 다양한 경우들에서, 이들 음극액 챔버 유입부 홀들은 2 개의 그룹들로 분할된다: 일 그룹은 음극액을 교차 플로우 주입 매니폴드 (222) 로 피드하고, 제 2 그룹은 음극액을 CIRP 매니폴드 (208) 로 피드한다. 도 3b는 채널 (262) 을 통해 CIRP 매니폴드 (208) 를 피드하는 단일 유입부의 단면을 도시한다. 점선은 유체 플로우의 경로를 나타낸다.
2 개의 상이한 플로우 경로들 또는 스트림들로 음극액의 분리는 중앙 음극액 유입부 매니폴드 (미도시) 의 셀의 베이스에서 일어난다. 이 매니폴드는 셀의 베이스에 연결된 단일 파이프에 의해 피드된다. 주 음극액 매니폴드로부터, 음극액의 플로우는 2 개의 스트림들로 분리된다: 셀의 일 측면 상에 위치된 12 개의 피더 홀들 중 6 개는 CIRP 매니폴드 영역 (208) 의 소스 (source) 를 야기하고 결국 CIRP의 다양한 마이크로채널들을 통해 충돌하는 음극액 플로우를 제공한다. 다른 6 개의 홀들은 또한 중앙 음극액 유입부 매니폴드로부터 피딩되지만, 나중에 교차 플로우 샤워헤드 (242) 의 분배 홀들 (246) (100 개 초과일 수도 있음) 을 피드하는, 이어서 교차 플로우 주입 매니폴드 (222) 로 피드된다. 교차 플로우 샤워헤드 홀들 (246) 을 떠난 후, 음극액의 플로우 방향은 (a) 웨이퍼에 직교로부터 (b) 웨이퍼에 평행으로 변화된다. 플로우에서 이 변화는 플로우가 교차 플로우 한정 링 (210) 유입부 캐비티 (250) 상에 충돌하고 교차 플로우 한정 링 (210) 유입부 캐비티 (250) 의 표면에 의해 한정될 때 일어난다. 마지막으로, 교차 플로우 매니폴드 영역 (226) 으로 들어 갈 때, 중앙 음극액 유입부 매니폴드의 셀의 베이스에서 처음으로 분리된 2 개의 음극액 플로우들은 다시 결합한다.
도면들에 도시된 실시예들에서, 캐소드 챔버로 들어가는 음극액의 단편furaction) 은 채널링된 이온 저항성 플레이트 매니폴드 (208) 로 바로 제공되고, 일부는 교차 플로우 주입 매니폴드 (222) 로 바로 제공된다. 적어도 일부, 그리고 항상은 아니지만 종종 채널링된 이온 저항성 플레이트 매니폴드 (208) 로, 이어서 CIRP 하부 표면으로 전달된 모든 음극액이 플레이트 (206) 의 다양한 마이크로채널들을 통과하고 교차 플로우 매니폴드 (226) 에 도달한다. 채널링된 이온 저항성 플레이트 (206) 의 채널들을 통해 교차 플로우 매니폴드 (226) 로 들어가는 음극액은 실질적으로 수직으로 지향된 제트들로서 교차 플로우 매니폴드로 들어간다 (일부 실시예들에서 채널들은 기울어지게 (at an angle) 만들어지고, 따라서 웨이퍼의 표면에 완전히 직교하지 않고, 예를 들어, 제트의 각도는 웨이퍼 표면 법선에 대해 약 45 도까지 될 수도 있다). 교차 플로우 주입 매니폴드 (222) 로 들어가는 음극액의 부분은, 웨이퍼 아래의 수평으로 배향된 교차 플로우로서 들어가는 교차 플로우 매니폴드 (226) 로 바로 전달된다. 교차 플로우 매니폴드 (226) 로 가는 도중에, 교차 플로우 음극액은 교차 플로우 주입 매니폴드 (222) 및 교차 플로우 샤워헤드 플레이트 (242) (예를 들어, 약 0.048"의 직경을 갖는 약 139 개의 홀들 (246) 을 포함하는) 를 통과하고, 이어서 교차 플로우-한정-링 (210) 의 입구 (entrance) 캐비티 (250) 의 작용/기하학적 구조에 의해 수직으로 상향하는 플로우로부터 웨이퍼 표면에 평행한 플로우로 재지향된다.
교차 플로우와 제트들의 절대 각도는 서로 정확히 수평이거나 정확히 수직이거나 심지어 정확히 90 °로 배향될 필요는 없다. 그러나, 일반적으로 교차 플로우 매니폴드 (226) 에서 음극액의 교차 플로우는 워크피스 표면의 방향을 따르고 마이크로채널링된 이온 저항성 플레이트 (206) 의 상단 표면으로부터 나오는 음극액의 제트들의 방향은 일반적으로 워크피스의 표면을 향하여/수직으로 흐른다.
언급된 바와 같이, 캐소드 챔버로 들어가는 음극액은 (i) 채널링된 이온 저항성 플레이트 매니폴드 (208) 로부터, CIRP (206) 의 채널들을 통해 그리고 이어서 교차 플로우 매니폴드 (226) 내로 흐르는 음극액 및 (ii) 샤워헤드 (242) 내의 홀들 (246) 을 통해 교차 플로우 주입 매니폴드 (222) 내로, 그리고 이어서 교차 플로우 매니폴드 (226) 내로 흐르는 음극액으로 분할된다. 교차 플로우 주입 매니폴드 영역 (222) 으로부터 바로 들어가는 플로우는 때때로 교차 플로우 측면 유입부들 (250) 로 지칭되는 교차 플로우 한정 링 입구 포트들을 통해 들어가고 웨이퍼에 평행하고 셀의 일 측면으로부터 나올 수도 있다. 반대로, CIRP (206) 의 마이크로채널들을 통해 교차 플로우 매니폴드 영역 (226) 을 들어가는 유체의 제트들은 웨이퍼 아래 및 교차 플로우 매니폴드 (226) 아래로부터 들어가고, 제트 유체는 웨이퍼에 평행하고 때때로 교차 플로우 유출부 또는 유출부로 또한 지칭되는, 교차 플로우 한정 링 출구 포트 (234) 를 향해 흐르도록 교차 플로우 매니폴드 (226) 내에서 전환 (재지향) 된다.
일부 실시예들에서, 캐소드 챔버로 들어가는 유체는 전기도금 셀 챔버의 캐소드 챔버 부분의 주변부 (종종 주변 벽) 둘레에 분포된 복수의 채널들 (258 및 262) 내로 지향된다. 특정한 실시예에서, 캐소드 챔버의 벽에 포함된 12 개의 이러한 채널들이 있다.
캐소드 챔버 벽들의 채널들은 멤브레인 프레임의 대응하는 "교차 플로우 피드 채널들"에 연결될 수도 있다. 이들 피드 채널들 (262) 의 일부는 채널링된 이온 저항성 플레이트 매니폴드 (208) 로 바로 음극액을 전달한다. 언급된 바와 같이, 이 매니폴드에 제공된 음극액은 후속하여 음극액의 제트들로서 채널링된 이온 저항성 플레이트 (206) 의 작은 수직으로 배향된 채널들을 통과하고 교차 플로우 매니폴드 (226) 로 들어간다.
언급된 바와 같이, 도면들에 도시된 실시예에서, 음극액은 12 개의 음극액 피더 라인들/튜브들 중 6 개의 음극액 피더 라인들/튜브들을 통해 "CIRP 매니폴드 챔버" (208) 로 피드된다. CIRP 매니폴드 (208) 를 피드하는 이들 6 개의 주 튜브들 또는 라인들 (262) 은 (유체가 웨이퍼 아래의 교차 플로우 매니폴드 영역 (226) 으로부터 통과하는) 교차 플로우 한정 링의 출구 캐비티 (234) 아래, 그리고 모든 교차 플로우 매니폴드 컴포넌트들 (교차 플로우 주입 매니폴드 (222), 샤워헤드 (242), 및 한정 링 입구 캐비티 (250)) 반대편에 체류한다.
다양한 도면들에 도시된 바와 같이, 멤브레인 프레임의 일부 교차 플로우 피드 채널들 (258) 은 교차 플로우 주입 매니폴드 (222) (예를 들어, 12 개 중 6 개) 로 바로 유도된다. 이들 교차 플로우 피드 채널들 (258) 은 셀의 애노드 챔버의 베이스에서 시작되고 이어서 멤브레인 프레임 (274) 의 매칭 채널들을 통과하고, 이어서 채널링된 이온 저항성 플레이트 (206) 의 하부 부분 상의 대응하는 교차 플로우 피드 채널들 (258) 과 연결된다. 예를 들어 도 3a를 참조하라.
구체적인 실시예에서, 음극액을 교차 플로우 주입 매니폴드 (222) 로 바로 전달하고 이어서 교차 플로우 매니폴드 (226) 로 전달하기 위한 6 개의 분리된 피드 채널들 (258) 이 있다. 교차 플로우 매니폴드 (226) 에서 교차 플로우를 발생시키기 위해, 이들 채널들 (258) 은 방위각적으로 불균일한 방식으로 교차 플로우 매니폴드 (226) 로 나간다. 구체적으로, 이들 채널들은 교차 플로우 매니폴드 (226) 의 특정한 측면 또는 방위각 영역에서 교차 플로우 매니폴드 (226) 로 들어간다. 도 3a에 도시된 구체적인 실시예에서, 음극액을 교차 플로우 주입 매니폴드 (222) 로 직접 전달하기 위한 유체 경로들 (258) 은 교차 플로우 주입 매니폴드 (222) 에 도달하기 전에 4 개의 분리된 엘리먼트들: (1) 셀의 애노드 챔버 벽의 전용 채널들, (2) 멤브레인 프레임 (274) 의 전용 채널들, (3) 채널링된 이온 저항성 엘리먼트 (206) 의 전용 채널들 (즉, CIRP 매니폴드 (208) 로부터 교차 플로우 매니폴드 (226) 로 음극액을 전달하기 위해 사용된 1-D 채널들이 아니라), 마지막으로, (4) 웨이퍼 교차 플로우 한정 링 (210) 의 유체 경로들을 통과한다.
언급된 바와 같이, 멤브레인 프레임 (274) 을 통과하고 교차 플로우 주입 매니폴드 (222) 를 피드하는 플로우 경로들의 부분들은 멤브레인 프레임에서 교차 플로우 피드 채널들 (258) 로 지칭된다. 마이크로채널링된 이온 저항성 플레이트 (206) 를 통과하고 CIRP 매니폴드를 피드하는 플로우 경로들의 부분들은 채널링된 이온 저항성 플레이트 매니폴드 (208) 를 피드하는 교차 플로우 피드 채널들 (262), 또는 CIRP 매니폴드 피드 채널들 (262) 로 지칭된다. 즉, 용어 "교차 플로우 피드 채널"은 교차 플로우 주입 매니폴드 (222) 를 피드하는 음극액 피드 채널들 (258) 및 CIRP 매니폴드 (208) 를 피드하는 음극액 피드 채널들 (262) 양자를 포함한다. 이들 플로우들 (258 및 262) 간의 일 차이는 상기 명기되었다: CIRP (206) 를 통한 플로우의 방향이 웨이퍼에서 처음으로 지향되고, 이어서 웨이퍼 및 교차 플로우 한정 링 (210) 의 존재로 인해 웨이퍼에 평행하게 바뀌고, 교차 플로우 주입 매니폴드 (222) 로부터 오고 교차 플로우 한정 링 입구 포트들 (250) 을 통해 나가는 교차 플로우 부분은 웨이퍼에 실질적으로 평행하게 시작된다. 어떠한 특정한 모델 또는 이론에 매이지 않고, 충돌하고 평행한 플로우의 이 조합 및 혼합은 리세스된/임베딩된 피처 내 실질적으로 개선된 플로우 관통을 가능하게 하여 질량 이송을 개선한다고 여겨진다. 웨이퍼 아래에 공간적으로 균일한 대류 플로우 필드를 생성하고 웨이퍼를 회전시킴으로써, 피처 각각 및 다이 각각은 회전 및 도금 프로세스 동안 거의 동일한 플로우 패턴을 나타낸다.
플레이트의 마이크로채널들을 통과하지 않는 채널링된 이온 저항성 플레이트 (206) 내 플로우 경로는 (교차 플로우 매니폴드 (226) 로 들어가는 대신 웨이퍼 면에 평행한 플로우로서) 레이트 (206) 의 교차 플로우 피드 채널 (258) 을 통과할 때 수직으로 상향 방향으로 시작하고, 이어서 채널링된 이온 저항성 플레이트 (206) 의 바디 내에 형성된 교차 플로우 주입 매니폴드 (222) 로 들어간다. 교차 플로우 주입 매니폴드 (222) 는 다양한 개별 피드 채널들 (258) 로부터 (예를 들어, 개별 6 개의 교차 플로우 피드 채널들 각각으로부터) 교차 플로우 샤워헤드 플레이트 (242) 의 다양한 복수의 플로우 분배 홀들 (246) 로 유체를 분배할 수 있는 플레이트 (206) 내 덕아웃 (dug out) 채널일 수도 있다. 이 교차 플로우 주입 매니폴드 (222) 는 채널링된 이온 저항성 플레이트 (206) 의 주변 또는 에지 영역의 각도 섹션을 따라 위치된다. 예를 들어 도 3a 및 도 4 내지 도 6을 참조하라. 특정한 실시예들에서, 교차 플로우 주입 매니폴드 (222) 는 플레이트의 주변 영역의 약 90 내지 180 °의 각도에 C-형상 구조체를 형성한다. 특정한 실시예들에서, 교차 플로우 주입 매니폴드 (222) 의 각도 범위는 약 120 내지 약 170 °이고, 보다 구체적인 실시예에서 약 140 내지 150 °이다. 이들 또는 다른 실시예들에서, 교차 플로우 주입 매니폴드 (222) 의 각도 범위는 적어도 약 90 °이다. 많은 구현예들에서, 샤워헤드 (242) 는 교차 플로우 주입 매니폴드 (222) 와 대략 동일한 각도 범위에 걸친다. 또한, (많은 경우들에서 교차 플로우 주입 매니폴드 (222), 샤워헤드 (242), 샤워헤드 홀들 (246), 및 교차 플로우 한정 링의 개구부 중 하나 이상을 포함하는) 전체 유입부 구조체 (250) 는 이들 동일한 각도 범위에 걸칠 수도 있다.
일부 실시예들에서, 주입 매니폴드 (222) 의 교차 플로우는 채널링된 이온 저항성 플레이트 (206) 내에 연속적인 유체적으로 커플링된 캐비티를 형성한다. 이 경우, 교차 플로우 주입 매니폴드를 피드하는 모든 교차 플로우 피드 채널들 (258) (예를 들어, 모두 6 개) 은 일 연속적이고 연결된 교차 플로우 주입 매니폴드 챔버로 나간다. 다른 실시예들에서, 교차 플로우 주입 매니폴드 (222) 및/또는 교차 플로우 샤워헤드 (242) 는 (6 개의 분리된 세그먼트들을 도시하는) 도 5에 도시된 바와 같이, 2 이상의 각도로 구별된 완전히 또는 부분적으로 분리된 세그먼트들로 분할된다. 일부 실시예들에서, 각도로 분리된 세그먼트들의 수는 약 1 내지 12 개, 또는 약 4 내지 6 개이다. 구체적인 실시예에서, 이들 각도로 구별된 세그먼트들 각각은 채널링된 이온 저항성 플레이트 (206) 에 배치된 분리된 교차 플로우 피드 채널 (258) 에 유체적으로 커플링된다. 따라서, 예를 들어, 교차 플로우 주입 매니폴드 (222) 내에 6 개의 각도로 구별되고 분리된 하위 영역들이 있을 수도 있다. 특정한 실시예들에서, 교차 플로우 주입 매니폴드 (222) 의 이들 구별된 하위 영역들 각각은 동일한 체적 및/또는 동일한 각도 범위를 갖는다.
많은 경우들에서, 음극액은 교차 플로우 주입 매니폴드 (222) 를 나가고 많은 각도로 분리된 음극액 유출부 포트들 (홀들) (246) 을 갖는 교차 플로우 샤워헤 플레이트 (242) 를 통과한다. 예를 들어 도 2, 도 3a 및 도 3b 및 도 6을 참조하라. 특정한 실시예들에서, 교차 플로우 샤워헤드 플레이트 (242) 는 예를 들어 도 6에 도시된 바와 같이, 채널링된 이온 저항성 플레이트 (206) 로 통합된다. 일부 실시예들에서, 샤워헤드 플레이트 (242) 는 채널링된 이온 저항성 플레이트 (206) 의 교차 플로우 주입 매니폴드 (222) 의 상단부에 접착 (glue) 되거나, 볼트 연결되거나 달리 고정된다. 특정한 실시예들에서, 교차 플로우 샤워헤드 (242) 의 상단 표면은 채널링된 이온 저항성 플레이트 (206) 의 상단 표면 또는 평면과 같은 높이이거나 (flush with) 약간 상승된다. 이러한 방식으로, 음극액이 채널링된 이온 저항성 플레이트의 상단 면과 실질적으로 평행한 방향으로 교차 플로우 매니폴드 (226) 로 들어가도록 교차 플로우 주입 매니폴드 (222) 를 통해 흐르는 음극액은 샤워헤드 홀들 (246) 를 통해 수직으로 상향으로 처음으로 이동하고 이어서 교차 플로우 한정 링 (210) 아래로 그리고 교차 플로우 매니폴드 (226) 내로 측방향으로 이동할 수도 있다. 다른 실시예들에서, 샤워헤드 (242) 는 샤워헤드 홀들 (246) 을 나가는 음극액이 이미 웨이퍼-평행 방향으로 이동하도록 배향될 수도 있다.
구체적인 실시예에서, 교차 플로우 샤워헤드 (242) 는 139 개의 각도로 분리된 음극액 유출부 홀들 (246) 을 갖는다. 보다 일반적으로, 교차 플로우 매니폴드 (226) 내에 균일한 교차 플로우를 알맞게 확립하는 임의의 수의 홀들이 채용될 수도 있다. 특정한 실시예들에서, 교차 플로우 샤워헤드 (242) 내에 약 50 내지 약 300 개의 이러한 음극액 유출부 홀들 (246) 이 있다. 특정한 실시예들에서, 약 100 내지 200 개의 이러한 홀들이 있다. 특정한 실시예들에서, 약 120 내지 160 개의 이러한 홀들이 있다. 일반적으로, 개별 포트들 또는 홀들 (246) 의 사이즈는 직경이 약 0.020" 내지 0.10", 보다 구체적으로 약 0.03" 내지 0.06"의 범위일 수 있다.
특정한 실시예들에서, 이들 홀들 (246) 은 각도로 균일한 방식으로 교차 플로우 샤워헤드 (242) 의 전체 각도 범위를 따라 배치된다 (예를 들어, 홀들 (246) 간의 간격은 셀 중심과 2 개의 인접한 홀들 사이의 고정된 각도에 의해 결정된다). 예를 들어 도 3a 및 도 7을 참조하라. 다른 실시예들에서, 홀들 (246) 은 각도로 불균일한 방식으로 각도 범위를 따라 분포된다. 추가의 실시예들에서, 각도로 불균일한 홀 분포는 그럼에도 불구하고 선형으로 ("x" 방향) 균일한 분포이다. 다시 말하면, 후자의 경우, 홀 분포는 교차 플로우의 방향에 수직인 축 (이 축은 "x" 방향) 상으로 사영된다면 홀들이 동일하게 이격되도록 한다. 홀 (246) 각각은 셀 중심으로부터 동일한 방사상 거리에 포지셔닝되고, 인접한 홀들로부터 "x" 방향으로 동일한 거리 이격된다. 이들 각도로 불균일한 홀들 (246) 을 갖는 순 효과는 전체 교차 플로우 패턴이 훨씬 보다 균일하다는 것이다.
특정한 실시예들에서, 교차 플로우 샤워헤드 (242) 를 나오는 음극액의 방향은 웨이퍼 교차 플로우 한정 링 (210) 에 의해 더 제어된다. 특정한 실시예들에서, 이 링 (210) 은 채널링된 이온 저항성 플레이트 (206) 의 전체 원주에 걸쳐 연장한다. 특정한 실시예들에서, 도 3a 및 도 4에 도시된 바와 같이, 교차 플로우 한정 링 (210) 의 단면은 L-형상을 갖는다. 특정한 실시예들에서, 웨이퍼 교차 플로우 한정 링 (210) 은 교차 플로우 샤워헤드 (242) 의 유출부 홀들 (246) 과 유체로 연통하는 지향성 핀들 (fins)(266) 과 같은 일련의 플로우 지시 엘리먼트들을 포함한다. 보다 구체적으로, 지향성 핀들 (266) 은 웨이퍼 교차 플로우 한정 링 (210) 의 상부 표면 아래 그리고 인접한 지향성 핀들 (266) 사이에 크게 분리된 유체 통로들을 규정한다. 일부 경우들에서, 핀들 (266) 의 목적은 교차 플로우 샤워헤드 홀들 (246) 로부터 그렇지 않으면 방사상으로 내측 방향으로부터 나오는 플로우를 "좌측에서 우측으로" 플로우 궤적 (좌측은 교차 플로우의 유입부 측 (250) 이 되고, 우측은 유출부 측 (234) 이 됨) 으로 재지향 및 한정하는 것이다. 이는 실질적으로 선형 교차 플로우 패턴을 확립하는 것을 돕는다. 교차 플로우 샤워헤드 (242) 의 홀들 (246) 을 나가는 음극액은 지향성 핀들 (266) 의 배향에 의해 유발된 플로우 스트림라인을 따라 지향성 핀들 (266) 에 의해 지향된다. 특정한 실시예들에서, 웨이퍼 교차 플로우 한정 링 (210) 의 모든 지향성 핀들 (266) 은 서로 평행하다. 이 병렬 배열은 교차 플로우 매니폴드 (226) 내에 균일한 교차 플로우 방향을 확립하는 것을 돕는다. 다양한 실시예들에서, 웨이퍼 교차 플로우 한정 링 (210) 의 지향성 핀들 (266) 은 교차 플로우 매니폴드 (226) 의 유입부 측 (250) 및 유출부 측 (234) 양자를 따라 배치된다. 이는 예를 들어 도 7의 상면도에 예시된다.
나타낸 바와 같이, 도 3a 및 도 4에 도시된 바와 같이, 교차 플로우 매니폴드 (226) 내에서 흐르는 음극액은 일반적으로 웨이퍼 교차 플로우 한정 링 (210) 의 유입부 영역 (250) 으로부터 링 (210) 의 유출부 측 (234) 으로 통과한다. 특정한 양의 음극액이 또한 기판의 전체 주변부 둘레에서 누설될 수도 있다. 이 누설은 유출부 측 (234) 에서 교차 플로우 매니폴드를 떠나는 음극액의 양과 비교하여 최소가 될 수도 있다. 유출부 측 (234) 에서, 특정한 실시예들에서, 유입부 측 상의 지향성 핀들 (266) 과 정렬할 수도 있고, 지향성 핀들 (266) 에 평행할 수도 있는 복수의 지향성 핀들 (266) 이 있다. 교차 플로우는 유출부 측 (234) 상의 지향성 핀들 (266) 에 의해 생성된 채널들을 통과하고 이어서 궁극적이고 직접적으로 교차 플로우 매니폴드 (226) 를 나간다. 이어서 플로우는, 수집 및 재순환을 위해 상부 둑 벽 (282) 위로 흐르기 전에 멤브레인 프레임의 상부 둑 벽 (282) 에 의해 수집되고 일시적으로 보유되는 유체와 함께, 일반적으로 방사상 외측으로 웨이퍼 홀더 (254) 및 교차 플로우 한정 링 (210) 을 넘어 캐소드 챔버의 또 다른 영역 내로 통과한다. 따라서 도면들 (예를 들어, 도 3a, 도 3b 및 도 4) 이 교차 플로우 매니폴드로 들어가고 나오는 음극액의 전체 회로의 부분적인 경로만을 도시한다는 것이 이해될 것이다. 도 3b 및 도 4에 도시된 실시예에서, 예를 들어, 교차 플로우 매니폴드 (226) 로부터 나오는 유체는 작은 홀들을 통과 또는 유입부 측 상의 피드 채널들 (258) 과 유사한 채널들을 백 스루 (back through) 하지 않고, 대신 전술한 축적 영역에 축적될 때 일반적으로 웨이퍼에 평행한 방향으로 외측으로 통과한다는 것을 주의한다.
도 6은 샤워헤드 (242) 및 139 개의 유출부 홀들 (246) 과 함께 채널링된 이온 저항성 플레이트 (206) 내의 임베딩된 교차 플로우 주입 매니폴드 (222) 를 도시하는 교차 플로우 매니폴드 (226) 의 상면도를 도시한다. 교차 플로우 주입 매니폴드 플로우를 위한 모두 6 개의 유체 조정 막대들 (270) 이 또한 도시된다. 교차 플로우 한정 링 (210) 이 이 도면에 설치되지 않았지만, 교차 플로우 한정 링 (210) 과 CIRP (206) 의 상부 표면 사이를 시일링하는 교차 플로우 한정 링 시일링 가스켓 (238) 의 윤곽이 도시되었다. 도 6에 도시된 다른 엘리먼트들은 교차 플로우 한정 링 패스너들 (218), 멤브레인 프레임 (274), 및 CIRP (206) 의 애노드 측 상의 스크루 홀들 (278) (예를 들어, 음극성 쉴딩 인서트로 사용될 수도 있음) 을 포함한다.
일부 실시예들에서, 교차 플로우 한정 링 유출부 (234) 의 기하학적 구조는 교차 플로우 패턴을 더 최적화하기 위해 튜닝될 수도 있다. 예를 들어, 교차 플로우 패턴이 한정 링 (210) 의 에지로 발산하는 (diverge) 경우는 교차 플로우 한정 링 유출부 (234) 의 외측 영역들에서 개방 면적을 감소시킴으로써 정정될 수도 있다. 특정한 실시예들에서, 유출부 매니폴드 (234) 는 교차 플로우 주입 매니폴드 (222) 와 유사하게 분리된 섹션들 또는 포트들을 포함할 수도 있다. 일부 실시예들에서, 유출부 섹션들의 수는 약 1 내지 12 개, 또는 약 4 내지 6 개이다. 포트들은 방위각적으로 분리되고, 유출부 매니폴드 (234) 를 따라 상이한 (보통 인접한) 위치를 점유한다. 포트 각각을 통한 상대적인 플로우 레이트들은 일부 경우들에서 독립적으로 제어될 수도 있다. 이 제어는, 예를 들어, 유입부 플로우와 관련하여 기술된 제어 막대와 유사하게 제어 막대들 (270) 을 사용하여 달성될 수도 있다. 또 다른 실시예에서, 유출부의 상이한 섹션들을 통한 플로우는 유출부 매니폴드의 기하학적 구조에 의해 제어될 수 있다. 예를 들어, 측면 에지 근방에서 보다 적은 개방 면적 및 중심 근방에서 보다 큰 개방 면적을 갖는 유출부 매니폴드는 보다 많은 플로우가 유출부의 중심 근방에서 나가고 보다 적은 플로우가 유출부의 에지들 근방에서 나가는 용액 플로우 패턴을 발생시킬 것이다. 유출부 매니폴드 (234) 의 포트들을 통해 상대적인 플로우 레이트들을 제어하는 다른 방법들 (예를 들어, 펌프들, 등) 이 또한 사용될 수도 있다.
언급된 바와 같이, 음극액 챔버로 들어가는 벌크 음극액은 복수의 채널들 (258 및 262), 예를 들어, 12 개의 분리된 채널들을 통해 교차 플로우 주입 매니폴드 (222) 및 채널링된 이온 저항성 플레이트 매니폴드 (208) 로 별도로 지향된다. 특정한 실시예들에서, 이들 개별 채널들 (258 및 262) 을 통한 플로우는 적절한 메커니즘에 의해 서로 독립적으로 제어된다. 일부 실시예들에서, 이 메커니즘은 개별 채널들로 유체를 전달하기 위한 분리된 펌프들을 수반한다. 다른 실시예들에서, 단일 펌프가 주 음극액 매니폴드를 피드하도록 사용되고, 다양한 채널들 (258 및 262) 사이 그리고 교차 플로우 주입 매니폴드 (222) 와 CIRP 매니폴드 (208) 영역들 사이 그리고/또는 셀의 각도 주변부를 따라 상대적인 플로우들을 조절하도록, 제공된 플로우 경로를 피드하는 조정가능한 다양한 플로우 한정 엘리먼트들이 하나 이상의 채널들에 제공될 수도 있다. 도면들에 도시된 다양한 실시예들에서, 하나 이상의 유체 조정 막대들 (270) (때때로 또한 플로우 제어 엘리먼트들로 지칭됨) 이 독립적인 제어가 제어되는 채널들에 배치된다. 도시된 실시예들에서, 유체 조정 막대 (270) 는 교차 플로우 주입 매니폴드 (222) 또는 채널링된 이온 저항성 플레이트 매니폴드 (208) 를 향한 플로우 동안 음극액이 수축되는 환형 공간을 제공한다. 완전히 제자리로 돌아온 (retract) 상태에서, 유체 조정 막대 (270) 는 플로우에 본질적으로 저항을 제공하지 않는다. 완전히 인게이지된 상태에서, 유체 조정 막대 (270) 는 플로우에 최대 저항을 제공하고, 일부 구현예들에서, 채널을 통한 모든 플로우를 중단시킨다. 중간 상태들 또는 위치들에서, 막대 (270) 는 채널들의 내경과 유체 조정 막대의 외경 사이의 한정된 환형 공간을 통해 유체가 흐름에 따라 중간 레벨의 플로우 제한을 가능하게 한다.
일부 실시예들에서, 유체 조정 막대들 (270) 의 조정은 전기도금 셀의 오퍼레이터 또는 제어기로 하여금 교차 플로우 주입 매니폴드 (222) 로 또는 채널링된 이온 저항성 플레이트 매니폴드 (208) 로 플로우를 돕게 한다. 특정한 실시예들에서, 교차 플로우 주입 매니폴드 (222) 로 바로 음극액을 전달하는 채널들 (258) 의 유체 조정 막대들 (270) 의 독립적인 조정은 오퍼레이터 또는 제어기로 하여금 교차 플로우 매니폴드 (226) 내로 유체 플로우의 방위각적 컴포넌트를 제어하게 한다.
도 8a 및 도 8b는 도금 컵 (254) 에 대한 교차 플로우 주입 매니폴드 (222) 및 대응하는 교차 플로우 유입부 (250) 의 단면도들이다. 교차 플로우 유입부 (250) 의 위치는 적어도 부분적으로 교차 플로우 한정 링 (210) 의 위치에 의해 규정된다. 특히, 유입부 (250) 는 교차 플로우 한정 링 (210) 이 종단될 때 시작되는 것으로 간주될 수도 있다. 도 8a에 도시된, 최초 설계 경우에서, 한정 링 (210) 종단 지점 (및 유입부 (250) 시작 지점) 은 웨이퍼의 에지 아래이지만, 도 8b에 도시된, 수정된 설계에서, 종단 지점/시작 지점은 도금 컵 아래에 있고, 최초 설계와 비교하여 웨이퍼 에지로부터 더 방사상 외측에 있다. 또한, 초기 설계의 교차 플로우 주입 매니폴드 (222) 는 교차 플로우 매니폴드 영역 (226) 내로 유체 진입 지점 근방에 일부 원치 않은 난류 (turbulence) 가 형성되어 교차 플로우 링 캐비티에 단차 (일반적으로 좌향 화살표가 상향으로 상승하기 시작하는) 를 갖는다. 일부 경우들에서, 에지 플로우 엘리먼트 (미도시) 가 기판 주변부 및/또는 채널링된 이온 저항성 플레이트의 주변부에 인접하게 존재할 수도 있다. 에지 플로우 엘리먼트는 유입부 (250) 에 인접하게 그리고/또는 유출부에 인접하게 존재할 수도 있다 (도 8a 및 도 8b에는 미도시). 에지 플로우 엘리먼트는 기판의 도금면과 컵 (254) 의 에지 사이에 형성되는 코너 내로 전해질을 지향시키도록 사용될 수도 있어서, 이 영역 내 상대적으로 낮은 교차 플로우에 대응한다.
일부 실시예들에서, 장치는 개시된 구현예들에 따라 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 시스템 제어기는, 장치가 개시된 구현예들에 따른 방법을 수행하도록 통상적으로 하나 이상의 메모리 디바이스들 및 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 개시된 구현예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능 매체가 시스템 제어기에 커플링될 수도 있다. 구체적으로, 일부 실시예들에서, 제어기는 드웰 (dwell) 시간, 기판 홀더의 수직 이동 거리, 기판 홀더의 최대 수직 가속도 및 감속도, 기판 홀더의 회전 속도, 회전 스텝 각도, 기판 홀더의 최대 가속도 및 감속도, 임의의 조합을 명시할 것이다. 일부 실시예들에서, 사용자는 목표된 드웰 시간 및 최대 회전 가속도을 제어기에 제공하고, 제어기는 이들 값들 및 메모리에 저장된 다른 파라미터들의 값들에 기초하여 전체 방법 시퀀스를 실행하도록 프로그래밍된다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 도금 유체의 전달, 전력 공급 설정사항들, 웨이퍼 회전 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 상기 기술된 장치 및 프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
교차 플로우 매니폴드 높이의 동적 조절
특정한 전기도금 장치가 기판과 CIRP 사이에 교차 플로우 매니폴드를 포함하도록 설계되지만, 이러한 장치는 전기도금 프로세스 동안 교차 플로우 매니폴드의 동적 조절을 실시하도록 이전에 구현되지 않았다. 교차 플로우 매니폴드의 높이가 조절될 때, 교차 플로우 매니폴드는 본질적으로 이 영역 안팎으로 유체 플로우를 발생시키도록 펌프로서 작용한다.
다양한 실시예들에서, 교차 플로우 매니폴드의 높이는 전기도금 동안 조절될 수도 있다. 이러한 조절은 교차 플로우 매니폴드 내 유체역학 조건들에 상당한 영향을 줄 수도 있다. 예를 들어, 교차 플로우 매니폴드의 높이를 증가시키는 것은 교차 플로우 매니폴드의 체적을 증가시키고 전해질이 교차 플로우 매니폴드 내로 흡입되기 때문에 (일반적으로) 기판을 가로질러 방사상 내측으로 음극액 플로우를 발생시킬 수 있다. 이 플로우가 일어날 때 교차 플로우 매니폴드를 들어가는 유체가 기판의 전체 주변부 둘레로부터 누설될 수도 있다 (즉, 유체는 교차 플로우 유입부로부터만 풀링 (pull) 되지 않는다). 반대로, 교차 플로우 매니폴드의 높이를 감소시키는 것은 교차 플로우 매니폴드의 체적을 감소시키고 (일반적으로) 기판을 가로질러 방사상 외측으로 음극액 플로우를 발생시킬 수 있다. 이 플로우가 일어날 때 교차 플로우 매니폴드를 나가는 유체는 교차 플로우 유출부를 통해 나갈 수도 있고 그리고/또는 기판의 전체 주변부 둘레에서 누설될 수도 있다. 높이가 순환적으로 증가하고 감소하도록 교차 플로우 매니폴드의 높이를 조절함으로써, 음극액은 피처들 내에서 보다 큰 대류, 특히 기판의 에지에 인접하여 피처들의 개선된 균일도를 발생시키는 방식으로 방사상 내측 및 외측으로 흐르도록 지향될 수 있다.
방사상 교차 플로우 속도는 z-축 속도 (교차 플로우 매니폴드의 높이가 변화하는 속도) 에 비례하고, 보다 높은 z-축 속도가 보다 높은 방사상 속도 효과를 생성한다는 것을 의미한다. 또한, 방사상 교차 플로우 속도는 기판 상의 방사상 위치에 대응하고, 조절 효과들이 기판 주변부 근방에서 가장 강하다는 것을 의미한다. 이는 예를 들어, 에지-두꺼운 포토레지스트로 인해, 이 조절이 에지 효과들을 방지하는데 효과적이기 때문에 특히 유리하다. 이러한 에지 효과들은 본 명세서에 기술된 바와 같이, 에지 플로우 엘리먼트를 구비한 전기도금 장치의 교차 플로우 매니폴드 높이 조절들을 실시함으로써 더 완화될 수 있다. 에지 플로우 엘리먼트는, 높이 조절 결과로서 촉진/제공될 상당한 정도의 대류와 함께, 보다 큰 대류가 목표되는 영역들로 전해질을 지향시키도록 사용될 수 있다. 이들 2가지 특징들은 특히 고품질, 균일한 도금 결과들을 제공하도록 함께 작동한다.
또한, 방사상 교차 플로우 속도는 교차 플로우 매니폴드의 높이에 반비례한다. 이는 교차 플로우 매니폴드가 작은 높이를 가질 때 조절 기법이 특히 안정하다는 것을 의미한다. 유사하게, 이는 조절 기법이 교차 플로우 매니폴드/CIRP가 제공되지 않는 경우, 또는 이러한 매니폴드가 존재하지만 훨씬 보다 큰 경우들에서 상당히 덜 유용할 것이라는 것을 의미한다.
교차 플로우 매니폴드의 높이가 상승할 때 (또는 최대일 때), 버블들이 기판의 도금면 아래에서 흡입되지 않도록 기판이 전해질에 충분히 침지된다는 것을 보장하도록 케어가 이루어져야 한다. 특정한 구현예들에서, 기판은 약 10 내지 20 ㎜의 최소 깊이로 침지될 수도 있다. 최소 침지 깊이는 종종 교차 플로우 매니폴드의 최대 높이에 대응할 것이다. 조절은 종종 약 0.1 내지 10 ㎜, 예를 들어 약 0.5 내지 5 ㎜, 또는 약 1 내지 3 ㎜의 거리에 걸친다. 이 조절 거리는 전기도금 동안 교차 플로우 매니폴드의 최대 높이와 최소 높이 사이의 차를 나타낸다. 조절 거리는 전기도금 동안 교차 플로우 매니폴드의 최대 높이의 약 20 내지 80 %, 일부 경우들에서 약 40 내지 60 %일 수도 있다. 예를 들어, 전기도금 동안 교차 플로우 매니폴드의 최대 높이가 5 ㎜이고 전기도금 동안 교차 플로우 매니폴드의 최소 높이가 3 ㎜이면, 조절 거리는 2 ㎜ (5 ㎜ - 3 ㎜ = 2 ㎜) 이고, 이는 전기도금 동안 교차 플로우 매니폴드의 최대 높이의 40 % (100*2 ㎜/5 ㎜ = 40 %) 이다.
교차 플로우 매니폴드의 높이를 변화시키기 위해, 몇몇 옵션들이 사용가능하다. 교차 플로우 매니폴드는 기판과 CIRP 사이로 규정된다. 따라서, 교차 플로우 매니폴드의 높이는 기판, CIRP, 또는 양자의 위치를 변화시킴으로써 가변될 수 있다. 다수의 실시예들에서, CIRP가 고정된 평면 내에 유지되는 (선택가능하게 평면 내에서 회전) 동안 기판의 위치는 능동적으로 제어된다. 기판의 위치는 기판 홀더, 또는 일부 부분을 통해 제어될 수도 있다. 일부 다른 실시예들에서, 기판이 고정된 평면 내에 유지되는 (선택가능하게 평면 내에서 회전) 동안 CIRP의 위치는 능동적으로 제어된다. CIRP의 위치는 하나 이상의 액추에이터들 또는 CIRP의 위치로 하여금 기판에 대해 제어되게 하는 다른 메커니즘들을 통해 제어될 수도 있다. 일 예에서, CIRP는 애노드, 음극액/양극액 분리 멤브레인, 등과 같은 전기도금 장치의 다른 부분들을 이동시키지 않고 기판을 향해/기판으로부터 이동한다. 다른 예에서, CIRP는 예를 들어, 애노드, 전기도금 챔버, 음극액/양극액 분리 멤브레인, 등을 포함하여 전기도금 장치의 상당한 부분을 이동시킴으로써 기판으로부터/기판을 향해 이동한다.
특정한 실시예들에서, 교차 플로우 매니폴드의 높이는 전기도금 프로세스의 초기 부분 동안만, 예를 들어, 평균으로 피처가 50 % 충진되기 전에 조절될 수도 있다. 피처들이 가장 깊이 충진될 때, 조절은 전기도금의 이 초기 부분 동안 가장 효과적일 수도 있다. 다양한 다른 실시예들에서, 교차 플로우 매니폴드의 높이는 보다 긴 시간 기간에 걸쳐, 일부 경우들에서 전체 전기도금 프로세스 동안 조절될 수도 있다. 일부 경우들에서, 조절은 초기 기판 포지셔닝/침지 프로세스 후에 시작할 수도 있고, 이는 본 명세서의 다른 곳에 기술된 바와 같이 기판의 틸팅을 수반할 수도 있다. 조절들은 약 1 내지 10 ㎐, 예를 들어 약 3 내지 8 ㎐의 주파수를 가질 수도 있다.
조절은 대칭적이거나 비대칭일 수도 있다. 대칭적인 조절을 사용하여, 교차 플로우 매니폴드의 높이가 상승하는 레이트는 교차 플로우 매니폴드의 높이가 감소하는 레이트와 같다. 또한, 교차 플로우 매니폴드의 높이를 상승시키는 이동은 교차 플로우 매니폴드의 높이를 감소시키는 이동을 미러링한다 (예를 들어, 방향 각각에서 이동 동안 레이트들의 변동은 같다). 비대칭 조절을 사용하여, 이들 레이트들 및 레이트 변동들은 상이할 수도 있다. 예를 들어, 다수의 실시예들에서, 교차 플로우 매니폴드의 높이는 상승하는 것보다 빨리 감소할 수도 있다. 교차 플로우 매니폴드의 높이가 기판을 상승/하강시킴으로써 제어된다고 가정하면, 이는 기판이 상향으로 이동 (교차 플로우 매니폴드 높이를 상승시킴) 하는 것보다 빨리 (교차 플로우 매니폴드 높이를 감소시킴) 이동할 수도 있다는 것을 의미한다. 이러한 기법은 기판 아래에서 버블들이 흡입되는 것을 방지하는 것을 도울 수도 있고, 또한 기판 면 위에 목표된 플로우 패턴을 확립하는 것을 도울 수도 있다. 일부 다른 경우들에서, 교차 플로우 매니폴드의 높이는 감소하는 것보다 빨리 상승할 수도 있다. 이러한 비대칭성들은 조절의 초기 부분, 조절의 최종 부분, 또는 전체 조절 내내 존재할 수도 있다.
도 31a 및 도 31b는 교차 플로우 매니폴드의 높이가 2 ㎜ 내지 3 ㎜로 조절되는 모델링 시뮬레이션에 관한 것이다. 즉, 기판의 도금면과 CIRP의 기판-대면 표면 사이의 거리는 약 2 ㎜의 최소 높이 및 약 3 ㎜의 최대 높이로, 1 ㎜ 만큼 가변한다. 에지 효과들은 모델링 결과들에 포함되지 않는다. 교차 플로우 매니폴드의 높이는 5 ㎐의 레이트로 사이클링되고, 도 31의 상부 패널에 도시된다. 교차 플로우 매니폴드의 높이 (dH/dT) 의 변화 레이트는 도 31a의 중간 패널로 모델링된다. 기판에 걸친 평균 교차 플로우 속도가 도 31의 하단부에 도시된다. 이 시뮬레이션에서, 교차 플로우가 교차 플로우 매니폴드에 별도로 제공되지 않고, 평균 교차 플로우 속도는 항상 0이다. 도 31b는 교차 플로우 매니폴드의 높이가 도 31a에 기술된 바와 같이 조절될 때 상이한 시점들에서 교차 플로우 매니폴드의 모델링된 플로우 경로들의 상면도를 예시한다. 시간 t=0에서, 교차 플로우 매니폴드의 높이는 상승하고, 전해질이 교차 플로우 매니폴드 내로 흡입되기 때문에 그 결과는방사상 내측 전해질 플로우이다. 다음에, 시간 t=0.05에서, 교차 플로우 매니폴드는 3 ㎜의 최대 높이에 도달하고, dH/dt=0이다. 이 시점에서, 전해질은 기판의 내측 또는 외측으로 이동하지 않는다. 시간 t=0.1에서, 교차 플로우 매니폴드의 높이는 감소되고, 교차 플로우 매니폴드로부터 푸시 (push) 되기 때문에 그 결과는 방사상 외측 전해질 플로우이다. 시간 t=0.15에서, 교차 플로우 매니폴드는 2 ㎜의 최소 높이에 도달하고, dH/dt=0이다. 다시, 전해질은 이 때 내측 또는 외측으로 이동하지 않는다. (예를 들어, 에지 효과들을 배제하고 별도의 교차 플로우가 제공되지 않는다고 가정함으로써) 도 31a 및 도 31b의 모델링 결과들은 간략화되었지만, 이들 결과들은 교차 플로우 매니폴드의 높이를 상승시키고 감소시키는 기본적인 효과들을 예시한다.
도 31c 및 도 31d는 도 31a 및 도 31b에 도시된 바와 유사한 부가적인 모델링 결과들을 제공한다. 도 31c 및 도 31d와 관련된 시뮬레이션은, 22.5 LPM 교차 플로우가 교차 플로우 매니폴드에 별도로 제공된다는 점에서 도 31a 및 도 31b에 관련된 시뮬레이션과 상이하다. 이와 같이, 도 31c의 하부 패널에 도시된 평균 교차 플로우 속도는 교차 플로우 매니폴드의 높이가 변화함에 따라 가변한다. 이 예에서, 교차 플로우 매니폴드 높이는 약 5 ㎐의 주파수로 2 ㎜ 내지 3 ㎜로 가변한다. 시간 t=0에서, 교차 플로우 매니폴드의 높이가 상승하고, 전해질은 내측으로 흡입된다. 별도로 제공된 교차 플로우 때문에, 발생되는 전해질 플로우 경로들은 정확히 방사상 내측으로 지향되지 않는다. 교차 플로우 속도는 별도로 제공된 교차 플로우 전해질가 시작되는 (originate), 전기도금 장치의 유입부 측 근방에서 보다 크다. 도 31b에서, 유입부 측은 기판의 상단 근방 (y 축 = 150) 인 한편, 유출부 측은 기판의 하단 근방 (y 축 = -150) 이다. 교차 플로우 속도는 전기도금 장치의 유출부 측 근방에서 훨씬 보다 작고, 교차 플로우 매니폴드로 들어가는 전해질 (예를 들어, 교차 플로우 매니폴드의 상승된 높이/체적으로 인해) 은 교차 플로우 매니폴드를 나가는 전해질에 의해 어느 정도 오프셋된다 (예를 들어, 별도로 제공된 교차 플로우로 인해). 시간 t=0.05에서, 교차 플로우 매니폴드의 높이는 최대 3 ㎜에 도달하고, dH/dt=0이다. 이 때, 별도로 제공된 교차 플로우로 인해, 균일한 교차 플로우가 기판을 가로질러 나타난다. 시간 t=0.1에서, 교차 플로우 매니폴드의 높이는 감소하고, 전해질은 이 영역으로부터 푸시된다. 이 때, 교차 플로우의 속도는 유입부 근방에서보다 유출부 근방에서 크다. 시간 t=0.15에서, 교차 플로우 매니폴드의 높이는 최소 2 ㎜에 도달하고, dH/dt=0이다. 이 때 균일한 교차 플로우가 다시 확립된다. 도 31a 내지 도 31d는 함께 교차 플로우 매니폴드의 높이를 상승시키고 감소시키는 것이 교차 플로우 매니폴드 내 유체 역학에 상당한 영향을 줄 수 있다는 것을 예시한다.
도 31e는 2가지 상이한 경우들에서 도금된 범프의 단면 형상을 예시하는 실험 데이터를 제시한다. 일 경우에서, 교차 플로우 매니폴드는 약 2 ㎜의 높이를 갖는 종래의 고정 교차 플로우 매니폴드이다. 고정 교차 플로우 매니폴드 높이 결과들은 회색 으로 도시되고, 범프 높이가 일 측면에서 상당히 보다 짧고 다른 측면에서 보다 크다는 것을 예시한다. 다른 경우에서, 교차 플로우 매니폴드는 약 5 ㎐의 주파수로 2 ㎜의 높이와 3 ㎜의 높이 사이에서 조절되었다. 조절된 교차 플로우 매니폴드 높이 결과들은 검정색 점선으로 도시되고, 범프 높이가 범프에 걸쳐 상대적으로 균일하다는 것을 예시한다. 도 31e에 도시된 바와 같이, 교차 플로우 매니폴드의 높이 결과들은 단일 도금된 범프를 고려할 때 훨씬 보다 균일한 범프 높이를 발생시킨다. 반대로, 전기도금 동안 교차 플로우 매니폴드의 높이가 고정되면, 범프의 높이는 범프에 걸쳐 보다 현저히 가변한다. 예를 들어, 교차 플로우 매니폴드의 높이가 고정되는 다양한 경우들에서, 범프는 기판의 에지 근방 측면 상에서 보다 크고, 기판의 중심 근방 측면 상에서 보다 짧을 수도 있다. 다른 경우들에서, 다른 범프 내 높이 불균일도들은 사용된 화학물질 및 다른 도금 파라미터들에 따라 발생할 수도 있다. 이러한 불균일도들은 교차 플로우 매니폴드를 통과하는 교차 플로우 전해질의 지향성의 중심-에지 바이어스로 인해 그리고/또는 일반적으로 기판의 중심과 비교하여 기판의 에지를 향하여 상승하는 플로우 속도로 인해 발생할 수도 있다.
도 32a 내지 도 32c는 전기도금 동안 교차 플로우 매니폴드의 높이를 조절하는 효과를 평가하는 실험 결과들에 관한 것이다. 도 32a는 전기도금 동안 교차 플로우 매니폴드의 높이가 균일한 기준 실험에 관련된다. 도 32b는 전기도금 동안 교차 플로우 매니폴드의 높이가 조절된 유사한 실험에 관련된다. 도 32a 및 도 32b와 관련하여 전기도금된 기판들은 에지-두꺼운 포토레지스트 층을 포함한다. 특히, 대부분의 기판 위의 포토레지스트는 약 55 ㎛ 두께인 한편, 기판의 에지에 인접한 포토레지스트는 약 73 ㎛ 두께이고, 약 18 ㎛의 차이를 나타낸다. 교차 플로우 매니폴드 높이 조절이 없는 종래의 경우에, 기판 에지 근방의 최소 범프 높이는 꽤 낮다. 이 문제의 영역은 도 32a에 점선 원으로 도시된다. 반대로, 도 32b에 도시된 바와 같이, 교차 플로우 매니폴드의 높이가 전기도금 동안 조절될 때, 최소 범프 높이에서 상당히 보다 적은 감소가 있었다. 이는 전기도금 동안. 교차 플로우 매니폴드의 높이가 조절되는 경우들에서, 범프 높이가 특히 기판의 에지 근방에서, 상당히 보다 균일하다는 것을 의미한다.
도 32c는 2가지 전기도금 프로세스들을 비교하는 실험 결과들을 제공한다. 일 프로세스에서, 전기도금 동안 교차 플로우 매니폴드의 높이는 균일하고 (높이 조절 없음), 제 2 프로세스에서, 교차 플로우 매니폴드의 높이는 본 명세서에 기술된 바와 같이 조절되었다. 평균 범프 높이는 기판 상의 주변 영역에 대해 도시되었다. 범프 높이는 전기도금 동안 교차 플로우 매니폴드의 높이가 조절되는 경우들에서 눈에 띄게 보다 균일하다.
교차 플로우 매니폴드 시일링
다수의 실시예들에서, 기판 홀더의 하단 표면과 기판 홀더 아래 엘리먼트의 상단 표면 사이에 작은 누설 갭 (예를 들어, 약 0.5 ㎜ 이상) 이 있다. 이 누설 갭은 통상적으로 기판으로 하여금 자유롭게 회전되도록 전기도금 동안 유지된다. 이 구성의 일 단점은 전기도금 동안, 전해질의 일부 부분이 누설 갭을 통해 탈출한다는 것이다. 유감스럽게도, 기판의 도금면에 걸친 교차 플로우 전해질의 체적 및 속도는 이러한 누설이 없는 것보다 낮고, 전기도금 결과들에 특정한 정도의 불균일도를 야기한다. 이러한 누설을 방지하고 따라서 교차 플로우 전해질의 체적 및 속도를 상승시키기 위해, 시일링이 기판 홀더의 하단부와 기판 홀더 아래 엘리먼트 (종종, 항상 그런 것은 아니지만, 플로우 한정 엘리먼트) 의 상단 표면 사이에 제공될 수도 있다. 이 기법은 전기도금된 피처들의 균일도를 상당히 상승시킬 수 있다.
기판이 전기도금될 때, 웨이퍼 기판 위를 흐르는 (예를 들어, 기판의 도금면에 평행한 방향으로 기판을 가로질러 흐르는) 도금 용액의 속도는 예를 들어, 고 전기도금 레이트들로 도금되는 WLP 필라들의 다이 내 불균일도 (WID 불균일도) 에 영향을 준다. WID 불균일도는, 기판 (3400) 상에 2 개의 다이의 개략적인 단면도를 도시하는 도 34a에 예시되고, 예시된 다이 각각에서 가변하는 높이들의 3 개의 전기도금된 범프들 (3401) 이 있다. WID 불균일도는 기판 (3400) 상의 다이 각각의 범프들 (3401) 의 높이 범위 (다이 내 가장 큰 범프들 (3401) 의 높이와 가장 짧은 높이 간의 차) 를 발견하고, 기판 상의 모든 다이에 대한 이들 범위들의 값들의 1/2의 평균을 취함으로써 결정된다.
다이 내 범프 높이는 쓰루-레지스트 전기도금에서 포토레지스트의 불균일한 패턴에 의해 구동된 고르지 않은 전류 분포로 인해 가변할 수 있다. 도 34b는 포토레지스트 층 (3404) 내에 형성된 복수의 리세스된 피처들 (3403) 을 갖고, 리세스된 피처들 (3403) 의 하단부에서 도전성 씨드 층이 노출되는 음극으로 바이어스된 기판 (3400) 의 개략적인 단면도를 예시한다. 개략도는 (본 명세서에서 기판 (3400) 아래에 도시된) 애노드 (3405) 로부터 음극으로 바이어스된 기판 (3400) 으로 지향된 화살표들 (3406) 으로 이온 전류 (도금 용액의 이온들에 의해 구동된 전류) 를 도시한다. 캐소드 (기판 (3400)) 가 고르지 않은 전류 분포를 경험하는 동안 애노드 (3405) 는 일정한 전류 분포를 제공한다. 포토레지스트 층 (3404) 내 포토레지스트의 고르지 않은 분포로 인해, 상이한 리세스된 피처들 (3403) 이 상이한 양의 이온 전류를 만나는 것으로 도시된다. 예를 들어, 전류 범람 (current crowding) 은 보다 적은 포토레지스트 디포짓들을 갖는 영역들 (예를 들어, 증가된 포토레지스트 패터닝/리세스된 피처들 (3403) 을 갖는 영역들) 과 비교하여, 보다 큰 포토레지스트 디포짓들 근처에서 일어난다. 예를 들어, 상대적으로 보다 큰 포토레지스트 디포짓들을 갖는 영역에 제공된, 리세스된 피처 (3403a) 는, 리세스된 피처들 (3403) 의 상대적으로 보다 적은 포토레지스트 디포짓들/보다 큰 밀도를 갖는 영역에 제공된 피처 (3403b) 와 비교할 때 전류 범람을 경험한다.
전류의 이 고르지 않은 분포는 웨이퍼 기판 근처에 보다 큰 플로우 레이트의 도금 용액을 제공함으로써 완화될 수 있다. 기판 홀더와 플로우 한정 엘리먼트 사이에 시일링이 제공되지 않는 경우들에서, 교차 플로우 매니폴드를 통해 흐르는 큰 분율의 도금 용액이 전용 출구 (유입부로부터 교차 플로우 매니폴드에 대해 방위각적으로 반대편 위치) 로 나가지 않는다. 대신 도금 용액의 일부 부분은 플로우 한정 엘리먼트와 기판 홀더의 하부 부분 (또한 컵으로 지칭됨) 사이의 링-형상 누설 갭을 통해 나간다. 이 누설 갭을 통한 도금 용액의 손실은 도금 용액 플로우의 보다 낮은 속도를 발생시킨다.
이 문제는, 플로우 한정 링과 기판 홀더 사이에 시일링이 결여된, 전기도금 장치의 부분의 단면도를 도시하는, 도 34c에 의해 예시된다. 즉, 도 34c는 교차 플로우가 언시일링된 실시예를 도시한다. 도 34c는 기판 홀더 (3411) 에 의해 홀딩될 기판 (3400) 의 측면 뿐만 아니라 이온 저항성 엘리먼트 (3409) 의 주변 영역 위에 체류하는 플로우 한정 링 (3410) 의 일부 (때때로 인서트로 지칭되고, 기판 (3400) 의 방사상 외측에 위치됨) 를 도시한다. 2 개의 화살표들이 도금 용액의 플로우 방향들을 도시한다. 장치의 중심을 향해 지향된 화살표 (좌측으로 포인팅된) 는 유입부를 통해 측방향으로 교차 플로우 매니폴드 (3412) 내로 주입된 도금 용액의 플로우를 도시한다 (장치의 방위각적으로 반대편 위치에 위치된 이 플로우에 대한 유출부는 도시되지 않았다). 제 2 화살표 (3420) (상향/외측으로 포인팅됨) 는 플로우 한정 링 (3410) 의 상단 부분과 기판 홀더 (3411) (컵) 의 하단 부분 사이의 누설 갭을 통한 전해질 플로우의 탈출 루트를 도시한다. 도시된 실시예에서 이 누설 갭은 실질적으로 링-형상이고, 플로우 한정 링 (3410) 의 상단 부분 위 기판 (3400) 의 주변부 근방, 실질적으로 기판 (3400) 의 원주를 따라 위치된다는 것이 이해된다. 전기도금 동안 총 플로우 용액의 30 %까지 이 누설 갭을 통해 손실될 수 있어서, 기판 (3400) 을 가로질러 흐르는 도금 용액의 양 및 속도를 감소시킨다.
본 명세서에 제공된 다양한 실시예들에서, 도금 용액 플로우의 손실은 도금 용액 유입부 (때때로 측면 유입부 또는 교차 플로우 유입부로 지칭됨) 로부터 방위각적으로 반대편 위치에 배치된 전용 도금 용액 유출부 (때때로 측면 유출부 또는 교차 플로우 유출부로 지칭됨) 이외의 웨이퍼 주변의 모든 용액 유출부들을 (적어도 부분적으로) 시일링함으로써 도금 용액 플로우 손실이 감소된다. 구체적인 실시예에서, 전기도금의 적어도 일부 동안, 기판 홀더와 이온 저항성 엘리먼트 (이온 저항성 엘리먼트 위에 체류하는 모든 플로우 성형 엘리먼트들) 사이의 누설 갭이 시일링된다. 구체적으로, 일부 실시예들에서 플로우 한정 링과 기판 홀더의 하부 부분 사이의 누설 갭은, 플로우 한정 링의 상단 부분, 기판 홀더의 하단 부분, 또는 양자에 부착 (또는 통합) 될 수도 있는 시일링 부재 (시일링으로 또한 지칭됨) 로 시일링된다.
도 34d는 본 명세서에 제공된 실시예에 따라 시일링된 교차 플로우를 갖는 장치를 예시한다. (도 34c에서와 같이) 장치의 일부의 단면도가 도시된다. 플로우 한정 링 (3410) 과 기판 홀더 (3411) 사이의 누설 갭은 시일링 부재 (3425) (때때로 시일링 부재로 지칭됨) 에 의해 차단되어, 이 누설 갭을 통해 도금 용액이 흐르는 것을 방지한다. 특정한 실시예들에서, 시일링 부재 (3425) 는 기판 홀더 (3411) 또는 플로우 한정 링 (3410) 에 부착되는, 압축성 시일링이다.
시일링 부재는 2 개의 엘리먼트들 (예를 들어, 기판 홀더와 플로우 한정 엘리먼트의 기판-대면 표면) 사이에 가압될 때 모든 갭들을 타이트하게 시일링할 수 있는 압축성 재료로 이루어질 수도 있다. 시일링 부재 재료는 도금 용액의 화학물질과 양립가능해야 한다. 예를 들어, 일부 실시예들에서 재료는 산성 전해질들에 화학적으로 내성이 있다. 일부 실시예들에서, 산-내성 고무-유사 재료들, 특히, 플루오로폴리머 엘라스토머들이 바람직하다. 일부 구현예들에서, 시일링 부재는 HFP (hexafluoropropylene) 와 VDF 또는 VF2 (vinylidene fluoride) 의 공중합체 (copolymer), 또는 TFE (tetrafluoroethylene), VDF ( vinylidene fluoride) 및 HFP (hexafluoropropylene) 의 삼량체 (terpolymers) 를 포함한다. 일부 실시예들에서, 플루오로폴리머 엘라스토머 내 불소 함량은 약 65 내지 70 %이다. 시일링 부재에 사용하기 적합한 산-내성 플루오로폴리머 엘라스토머성 재료의 일 예는 DuPont Performance Elastomers, LLC로부터 입수가능한 Viton®이다.
일부 실시예들에서, 시일링 부재는 기판 홀더에 (고정되게 또는 릴리즈되게) 부착되고, 단일체 (unitary body) 로서 기판 홀더와 함께 이동가능하게 구성된다. 다른 실시예들에서, 시일링 부재는 플로우 한정 엘리먼트의 기판-대면 표면에 (고정되게 또는 릴리즈되게) 부착된다. 다른 실시예들에서, 시일링 부재는 기판 홀더 및 플로우 한정 엘리먼트와 상이한 스캐폴드에 의해 제자리에 홀딩될 수도 있다.
기판 홀더 (3411) 와 플로우 한정 링 (3410) 사이의 누설 갭을 시일링하기 위한 2 개의 상이한 구현예들은, 장치의 관련된 부분들의 단면도들을 도시하는 도 34e 및 도 34f에 도시된다. 도 34e에서, 기판 홀더 (3411) 의 하단 부분은 와이퍼-타입 시일링 부재 (3425e) 를 부착하여 수정되었다. 시일링 부재 (3425e) 는 기판 홀더 (3411) 의 콘 부분 (미도시) 에 사용될 수도 있는 시일링과 유사하다. 기판 홀더 (3411) 의 하단 부분 (컵) 은 시일링 부재 (3425e) 를 수용하도록 수정되었다. 또 다른 구현예에서 시일링은 플로우 한정 링 (3410) 의 상단 부분에 부착된다. 이 실시예는 플로우 한정 링 (3410) 의 상단 부분에 부착된 (단면이) 다이아몬드 형상인 시일링 부재 (3425f) 를 도시하는 도 34f에 예시된다. 도시된 실시예들에서 시일링 부재들은 웨이퍼 기판의 주변부 둘레에 링-형상 갭을 시일링하기 때문에 링-형상 구조를 갖는다는 것이 이해된다. 다양한 실시예들에서, 갭의 주변부의 적어도 75 %가 시일링될 수도 있다. 도시된 실시예들에서, 갭의 주변부의 100 %가 시일링된다.
대안적인 실시예들에서, 기판 홀더의 하단 부분의 재료 및/또는 플로우 한정 엘리먼트의 재료는 이들 두 엘리먼트들 사이에 효과적인 시일링을 형성하도록 선택되고 구성된다. 다양한 실시예들에서, 시일링은 액체 기밀성일 수도 있다. 예를 들어, 압축성 고무-유사 재료가 이들 엘리먼트들의 관련된 부분들을 제조하도록 사용될 수 있다. 이들 실시예들에서, "시일링 부재"는 기판 홀더 및/또는 플로우 한정 구조체 자체이다. 기술된 시일링이 결여된 전기도금 장치에서, 기판 홀더 및 플로우 한정 링은 딱딱한 비압축성 재료들로 이루어지고, 서로에 대고 가압될 때 매우 효과적인 시일링을 형성하지 못할 수 있다는 것을 주의한다.
전기도금 동안, 기판 홀더와 고정 플로우 한정 구조체 사이의 누설 갭의 시일링이 웨이퍼의 회전을 불가능하게 할 수도 있기 때문에, 새로운 전기도금 방법들이 제공된다. 다양한 실시예들에서, 시일링된 회전이 기판 상에 바람직하지 않게 디포짓할 수 있는 파티클들의 생성을 발생시킬 수도 있기 때문에, 기판 홀더와 플로우 한정 구조체 사이의 누설 갭이 시일링되는 동안 기판은 회전하지 않는다. 이러한 이슈를 방지하기 위해, 본 명세서에 기술된 다양한 전기도금 방법들은 장치를 간헐적으로 언시일링하고, 언시일링 상태에서 웨이퍼 회전시키는 것을 수반한다. 시일링하지 않는 것은 웨이퍼 기판의 회전을 인에이블하도록 기판 홀더 어셈블리를 z-방향으로 리프팅함으로써 수행될 수 있다. 전기도금은 언시일링 동안 정지될 수도 있고 또는 정지되지 않을 수도 있다. 특정한 실시예들에서, 기판에 인가된 전류는, 장치가 시일링된 위치에서 도금될 때와 비교하여 장치가 언시일링된 위치에서 도금될 때 감소될 수도 있다. 또 다른 실시예에서, 기판에 인가된 전류는, 장치가 시일링된 위치에서 도금될 때와 비교하여 장치가 언시일링된 위치에서 도금될 때 증가될 수도 있다. 웨이퍼의 도금면은 시일링된 위치 및 언시일링된 위치 양자에서 도금 용액에 침지된 채로 유지된다. 도금 용액의 일방향성 교차 플로우를 제공하는 동안, 고정 웨이퍼 상 전기도금이 증가된 불균일도 발생시킬 것이기 때문에, 웨이퍼의 회전은 균일도 최적화를 위해 중요하다.
방법들은 도 35에 제시된 프로세스 흐름도에 예시된다. 프로세스는 본 명세서에 기술된 바와 같이, 기판이 시일링된 교차 플로우 분위기를 생성하게 구성된 전기도금 장치 내로 제공되는, 동작 3501에서 시작된다. 일부 실시예들에서, 기판은 노출된 포토레지스트 층 및 포토레지스트 층 내에 복수의 리세스된 피처들을 갖는 반도체 기판이고, 리세스된 피처들의 하단부들에서 도전성 씨드 층이 노출된다. 기판은 기판 홀더에 고정되고, 기판의 도금면은 도금 용액 내로 침지되고, 도금 용액은 도금될 금속의 이온들을 포함한다. 기판은 기판 홀더와 하부 구조체 (예를 들어, 플로우 한정 링) 간의 누설 갭이 시일링되는 깊이로 침지된다. 즉, 동작 3501은 교차 플로우 전해질이 유입부의 방위각 반대편에 포지셔닝된 전용 유출부에서만 교차 플로우 매니폴드를 나갈 수 있도록 교차 플로우를 시일링하는 것을 수반한다. 전기적 콘택트들은 기판의 주변부에서 씨드 층에 대해 형성되고, 기판은 전기도금 동안 음극으로 바이어스된다. 도금 용액은 기판의 도금면에 평행한 선택된 방위각적 위치에서 유입부를 통해 이온 저항성 엘리먼트와 기판 사이의 교차 플로우 매니폴드 내로 흐르고, 방위각으로 반대편 위치의 전용 유출부를 통해 나간다. 또한, 전해질 플로우의 일부는 이온 저항성 엘리먼트의 채널들을 통해 교차 플로우 매니폴드로 들어갈 수도 있다.
방법은 드웰 시간, t를 대기하는 동안 기판 상에 금속을 전기도금하는 동작 3503으로 계속된다. 다양한 실시예들에서, 기판은 동작 3503 동안 회전되지 않는다. 다음에, 동작 3505에서, 교차 플로우는 기판 홀더와 하부 구조체 (예를 들어, 플로우 한정 링) 사이의 시일링을 파괴하기 위해 기판 홀더를 기판과 함께 z-방향으로 상향으로 거리 △z만큼 이동시킴으로써 언시일링되어, 기판 홀더의 기판의 셀에 대한 회전을 인에이블한다.
다음에, 동작 3507에서, 기판은 θ 도 (회전 스텝 각도) 만큼 회전된다. 동작 3507에서의 이 회전은 기판의 표면에 대해 교차 플로우의 방향을 변경하여, 교차 플로우의 일방향성으로 인해 발생하는 도금 불균일도를 감소시킨다. 다음에, 동작 3509에서, 교차 플로우는 기판 홀더를 기판과 함께 z-방향으로 거리 △z만큼 하강시킴으로써 재시일링된다.
동작 3511에서 전기도금 프로세스가 완료되었는지 여부가 결정된다. 전기도금이 아직 완료되지 않았다면, 방법은 다시 추가 드웰 시간, t를 대기하는 동안 전기도금이 계속되는, 동작 3503에서 계속된다. 전기도금 프로세스가 완료되면, 방법은 기판 홀더를 전해질로부터 리프팅함으로써 기판이 전해질로부터 제거되는 동작 3513으로 계속된다.
전기도금은 동작 3501에서 시작되어, 동작들 3503, 3505, 3507, 3509, 및 3511을 통해 계속된다. 장치가 언시일링된 위치에 있을 때, 도금 용액 플로우의 일부가 기판 홀더와 기판 홀더 아래 엘리먼트 (예를 들어, 플로우 한정 엘리먼트) 사이의 언시일링된 누설 갭을 통해 손실된다는 것을 주의한다. 그러나, 이 손실은 장치가 원치 않은 누설이 일어나지 않는, 시일링된 상태에서 소비하는 시간 량에 의해 밸런스가 이뤄진다. 보다 긴 드웰 시간들은 언시일링된 누설 갭을 통해 손실될 보다 적은 양의 도금 플로우 및 보다 높은 평균 교차 플로우 속도들과 연관된다. 그러나, 기판의 간헐적인 회전은 종종 전해질의 일방향 교차 플로우를 사용하는 시스템에서 최적의 균일도를 달성하기 위해 사용된다.
동작들 3503 내지 3511은 전기도금을 완료하기 위해 필요에 따라 여러 번 반복될 수도 있다. 다수의 실시예들에서, 전기도금 프로세스는 임의의 단계에서 임의의 시간에, 기판이 도금 용액으로부터 리프팅되는 지점에서 종료될 수 있고, 도금 후 프로세싱으로 계속될 수 있고, 또는 용액에 남아 있을 수도 있고 종래의 방식 (시일링 또는 언시일링) 으로 후속하는 도금 단계들을 수행할 수도 있다. 즉, 도 35에서 동작 3511에서의 결정이 동작 3509 후에 일어나는 것으로 예시되지만, 이 결정은 임의의 단계 동안 이루어질 수도 있다는 것이 이해된다.
일부 실시예들에서, 상대적으로 긴 드웰 시간이 전해질 플로우의 최적의 보유 (예를 들어, 플로우가 75 %를 초과하여 손실되지 않음) 와 연관되기 때문에, 드웰 시간은 10 초 이상일 수도 있다 (예를 들어, 약 10 내지 20 초의 시간, 예컨대 15 초가 사용될 수 있음). 일부 실시예들에서, 기판 홀더는 약 0.25 내지 2 ㎜의 거리만큼 상향으로 이동함으로써 언시일링된 위치로 이동한다. 구체적인 실시예에서, 기판 홀더는 상향으로 1 ㎜ 이동되어, 시일링 부재와 플로우 한정 구조체 사이 (또는 시일링 부재의 위치에 따라, 시일링 부재와 기판 홀더 사이) 에 약 0.5 ㎜의 갭을 발생시킨다. 0.5 ㎜ 이상의 갭은 기판의 회전을 실행하기 충분하다. 기판이 이동하는 거리는 시일링 부재의 압축성 특징으로 인해 생성되는 갭보다 클 수도 있다. 특정한 경우들에서 회전 스텝 각도는 180 도 이하일 수도 있다 (예를 들어, 30 내지 180, 예컨대 약 115 도의 각도가 사용될 수 있다). 다른 실시예들에서 보다 작은 각도들 (예를 들어, 약 5 내지 45 도) 이 사용된다. 회전 스텝 각도는 기판 회전의 단일 반복 동안 기판이 언시일링된 위치에 있는 동안 기판이 회전하는 (예를 들어, 도 3의 동작 3507) 각도 (θ) 를 지칭한다. 일부 실시예들에서, 회전은 초 당 약 1 내지 90 도의 평균 각속도로 (가속도과 감속도 양자를 허용하면서) 수행된다. 시일링된 위치 (회전 없이) 및 언시일링된 위치 (회전과 함께) 에서의 전기도금은 통상적으로 약 30 내지 330 사이클들 동안 반복되고, 사이클 각각은 언시일링된 위치에서 일 단계 도금 및 시일링된 위치에서 일 단계 도금을 포함한다.
많은 경우들에서 간헐적으로 언시일링하고 회전하는 방법들이 사용되지만, 시일링된 위치에서 연속적인 전기도금 (언시일링 및 회전 없이) 또한 본 명세서에 기술된 실시예들의 범위 내에 있다.
간헐적인 시일링은 교차 플로우 속도를 상승시키는 것과 (기판 상의 선택된 방위각 위치에 대해) 상이한 방향들의 교차 플로우를 제공하는 것 사이에 적절한 밸런스를 제공한다. 일부 실시예들에서, 시일링된 상태에서 기판에 의해 소비된 시간은 언시일링된 상태에서 소비된 시간보다 크다. 일부 실시예들에서, (시일링된 시간에 대해) 짧은 언시일링 기간들은 기판의 회전의 이점들 (피처에 대해 복수의 각도들로 들어오는 플로우로 인해 개선된 균일도) 과 시일링의 이점 (감소된 플로우 손실 및 상승된 교차 플로우 속도) 의 조합을 허용한다.
일부 실시예들에서, 기판 홀더는 적어도 전기도금의 일부 동안 기판을 회전시키도록 구성된다. 일부 실시예들에서, 장치는 전기도금 동안, "시일링된" 위치와 "언시일링된" 위치 사이를 교번하도록 구성되고, 웨이퍼 기판은 "시일링된" 위치에서 전기도금 동안 고정된 채로 남고 "언시일링된" 위치에서 전기도금 동안 회전한다. 일부 실시예들에서, 장치는 기판 홀더를 수직으로 이동시키도록 구성되어 "시일링된" 위치로부터 "언시일링된" 위치로 그리고 다시 이동하도록 구성된다.
특정한 실시예들에서, 기판 상의 전기도금 방법은 (a) 실질적으로 평면형인 기판을 기판 홀더에 수용하는 단계로서, 기판의 도금면은 노출되고, 그리고 기판 홀더는 전기도금 동안 기판의 도금면이 애노드로부터 분리되도록 기판을 홀딩하도록 구성되는, 기판을 기판 홀더에 수용하는 단계; (b) 기판을 전해질에 담그는 단계로서, 약 10 ㎜ 이하의 갭이 기판의 도금면과 이온 저항성 엘리먼트의 상부 표면 사이에 형성되고, 갭은 교차 플로우 매니폴드를 형성하고, 이온 저항성 엘리먼트는 적어도 기판의 도금면과 같은 공간을 차지하고, 그리고 이온 저항성 엘리먼트는 전기도금 동안 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 기판을 전해질에 담그는 단계; (c) 기판 홀더의 기판과 콘택트하여 전해질을 흘리는 단계로서, (i) 측면 유입부로부터, 교차 플로우 매니폴드 내로, 그리고 측면 유출부 밖으로, 그리고, 선택가능하게, (ii) 이온 저항성 엘리먼트 아래로부터, 이온 저항성 엘리먼트를 통해, 교차 플로우 매니폴드 내로, 그리고 측면 유출부 밖으로, 측면 유입부 및 측면 유출부는 기판의 도금면 상의 반대편 주변 위치들에 방위각적으로 인접하게 위치되고, 측면 유입부 및 측면 유출부는 전기도금 동안 교차 플로우 매니폴드 내에서 교차 플로우 전해질을 생성하도록 설계되거나 구성되고, 그리고 전기도금의 일부 동안 적어도 교차 플로우 매니폴드가 시일링되는, 전해질을 흘리는 단계; 및 (d) 단계 (c) 에서와 같이 전해질을 흘리는 동안 기판의 도금면 상에 재료를 전기도금하는 단계를 포함할 수도 있다. 교차 플로우 매니폴드가 시일링될 때, 시일링 부재는 측면 유출부와 상이한 교차 플로우 매니폴드에 대한 하나 이상의 유출불들을 전체적으로 또는 부분적으로 시일링할 수도 있다.
일부 실시예들에서, 언시일링된 상태의 기판의 회전을 허용하도록 교차 플로우 매니폴드를 언시일링하고; 언시일링된 상태의 기판을 회전하는 단계; 시일링된 상태로 전이하고 시일링된 상태에서 전기도금을 계속하는 단계를 더 포함한다. 일부 실시예들에서, 방법은 시일링된 상태에서 전기도금하고 전기도금 동안 언시일링된 상태의 기판을 회전하는 단계를 몇번 반복하는 단계를 포함한다.
"시일링된 상태"는 시일링 부재가 인게이지된 상태를 지칭한다. 시일링 부재는 기판 홀더가 누설 갭에서 전해질 플로우를 차단하도록 기판 홀더 아래 엘리먼트 (통상적으로, 그러나 항상 플로우 한정 엘리먼트는 아님) 에 충분히 가까울 때 인게이지된다. 시일링 부재가 누설 갭의 100 %를 시일링하고 장치가 시일링된 상태에 있는 경우들에서, 전해질은 교차 플로우 유입부로부터 방위각으로 반대편 위치에 위치된 전용 출구를 통해서만 교차 플로우 매니폴드를 나갈 수 있다. 시일링 부재가 누설 갭의 100 %를 시일링하고 장치가 시일링된 상태에 있는 경우들에서, 전해질은 교차 플로우 유입부 반대편의 전용 출구, 뿐만 아니라 시일링 부재가 시일링되지 않은 누설 갭의 임의의 영역들을 통해 교차 플로우 매니폴드를 나갈 수 있다. "언시일링된 상태"는 시일링 부재가 인게이지되지 않았을 때의 상태를 지칭한다. 이러한 상태에서, 기판 홀더는 시일링 부재가 이들 엘리먼트들 양자와 콘택트하지 않고, 유효한 시일링이 누설 갭에 형성되지 않도록 기판 홀더 아래 엘리먼트로부터 너무 멀리 이격된다. 언시일링된 상태에서, 다른 유출부들 (예를 들어, 기판 홀더와 플로우 한정 엘리먼트 사이의 전체 링-형상 누설 갭) 이 존재한다. 일반적으로 전기도금 장치 또는 구체적으로 교차 플로우 매니폴드는 시일링된 상태 또는 언시일링된 상태인 것으로 참조될 수도 있다. 유사하게, 교차 플로우는 시일링된 상태 또는 언시일링된 상태인 것으로 참조될 수도 있다. 이들은 동일한 것을 참조하는 것으로 이해된다 (즉, 교차 플로우 매니폴드가 시일링된 상태에 있을 때, 교차 플로우는 시일링된 상태에 있고 장치는 시일링된 상태에 있다). 일부 실시예들에서, 시일링된 상태로부터 언시일링된 상태로 이동하는 것은 플로우 한정 엘리먼트로부터 기판 홀더를 이동하는 것을 수반하여, 시일링을 파괴한다. 웨이퍼와 하향-대면 배향의 장치가 사용될 때, 기판 홀더는 시일링을 파괴하도록 z-방향으로 상향으로 이동된다. 일부 실시예들에서, 전기도금은 총 도금 시간의 과반 동안 "시일링된 상태"에서 수행된다.
본 명세서에 제공된 전기도금 방법들은 미국 특허 제 8,795,480 호 및 미국 특허 공개번호 제 2013/0313123 호에 기술된 임의의 장치들뿐만 아니라 다양한 도면들에 관하여 상기 기술된 장치들이, 이들 장치들이 본 명세서에 기술된 바와 같이 웨이퍼 근처에서 교차 플로우를 시일링하기 위해 구성된 후 구현될 수 있다. 구체적으로, 시일링 부재는 이들 참조들에 기술된 임의의 장치들에 채용될 수 있다. 예를 들어, SABRE3D 장치가 시일링 부재를 사용하여 수정될 수 있다.
일 실시예에서 장치는 (a) 실질적으로 평면형인 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 전기도금 챔버; (b) 전기도금 동안 기판의 도금면이 애노드로부터 분리되도록 실질적으로 평면형인 기판을 홀딩하도록 구성된 기판 홀더; (c) 갭 (통상적으로 약 10 ㎜ 이하) 에 의해 기판의 도금면으로부터 분리되는 기판-대면 표면을 포함하는 이온 저항성 엘리먼트로서, 갭은 이온 저항성 엘리먼트와 기판 사이에 교차 플로우 매니폴드를 형성하고, 이온 저항성 엘리먼트는 적어도 전기도금 동안 기판의 도금면과 같은 공간을 차지하고, 그리고 이온 저항성 엘리먼트는 전기도금 동안 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 이온 저항성 엘리먼트; (d) 전해질을 교차 플로우 매니폴드로 도입하기 위한 갭으로의 측면 유입부; (e) 교차 플로우 매니폴드에서 흐르는 전해질을 수용하기 위한 교차 플로우 매니폴드로의 측면 유출부로서, 측면 유입부 및 측면 유출부는 전기도금 동안 기판의 도금면 상에서 마주보는 주변 위치들에 방위각적으로 인접하게 위치되고, 측면 유입부 및 측면 유출부는 교차 플로우 매니폴드 내에서 교차 플로우 전해질을 생성하도록 구성되고, 교차 플로우 매니폴드의 교차 플로우는 시일링되는, 측면 유출부를 포함한다. 특정한 경우들에서, 교차 플로우가 시일링될 때 도금 용액은 (e) 의 전용 유출부와 상이한 임의의 다른 유출부들을 통해 교차 플로우 매니폴드를 탈출할 수 없다. 일부 구현예들에서, 장치는: (f) (e) 의 측면 유출부와 상이한 교차 플로우 매니폴드로 하나 이상의 유출부들을 전체적으로 또는 부분적으로 시일링하기 위한 시일링 부재를 포함한다.
일부 실시예들에서, 장치는 이온 저항성 엘리먼트와 기판 홀더 사이의 갭의 주변부에 그리고 이온 저항성 엘리먼트의 원주를 따라 포지셔닝된 플로우 한정 엘리먼트를 더 포함한다. 이들 실시예들에서 플로우 한정 엘리먼트는 교차 플로우 매니폴드의 벽들을 형성할 수도 있다. 일부 실시예들에서, 플로우 한정 엘리먼트의 기판 대면 표면은 원형이고 엘리먼트는 플로우 한정 링으로 지칭된다. 플로우 한정 링이 사용될 때, 시일링 부재는 기판 홀더와 플로우 한정 링의 기판-대면 표면 사이에 유출부를 시일링하도록 구성된다. 바람직하게, 시일링 부재는 링의 원주의 적어도 75 %를 시일링한다. 도면들에 의해 그리고 실험 데이터에 의해 예시된 실시예들에서, 시일링 부재는 링의 원주의 100 %를 시일링한다. 플로우 한정 링이 사용될 때, 전해질 교차 플로우 매니폴드에 대한 유입부 및 유출부는 플로우 한정 링의 기판-대면 표면보다 이온 저항성 엘리먼트에 가깝게 위치된다. 일부 실시예들에서, 이온 저항성 엘리먼트와 대면하는 플로우 한정 링의 표면은 이렇게 전해질의 교차 플로우를 위한 유출부 (유출부 (e)) 를 제공하도록 성형된다. 적합한 플로우 한정 링의 일 예는 도 7에 예시된다. 교차 플로우 방향의 일 예는 도 1f에 예시된다.
다른 실시예들에서, 플로우 한정 엘리먼트는 이온 저항성 엘리먼트의 원르를 부분적으로만 따르는 기판-대면 표면을 갖는다. 이러한 플로우 한정 엘리먼트는 이온 저항성 엘리먼트의 원주를 부분적으로 따르는 벽 및 하나 이상의 갭들을 포함하는 벤트 영역을 가질 수도 있고, 벤팅 영역에 의해 정해진 각도는 약 20 내지 120 도이다. 벤팅 영역의 갭들은 교차 플로우를 위한 유출부 (유출부 (e)) 로서 역할을 할 수도 있다. 이러한 엘리먼트는 또한 플로우 전환기로서 지칭되고, 본 명세서에 기술된다. 이들 실시예들에서, 시일링 부재는 이렇게 기판 홀더와 플로우 한정 엘리먼트의 기판-대면 표면 사이에 유출부를 시일링하도록 포지셔닝된다.
시일링된 교차 플로우와 관련된 실험 에들 및 계산 모델
예 A. 도 36a는 전기도금 장치 내에서 도금 용액의 시일링된 교차 플로우를 사용하지만, 기판의 회전을 사용하지 않고 디포짓된, 전기도금된 피처의 SEM 이미지를 도시한다. 교차 플로우의 방향은 화살표로 도시된다. 교차 플로우는 기판에 평행하고, 도금 셀과 기판에 대해 일 방향이다. 도시된 필라 (pillar) 는 포토레지스트 층으로 이루어진 리세스된 피처들을 포함하는 표면을 갖는 기판 상에 구리를 전기디포짓함으로써 획득되고, 구리 씨드 층은 리세스들의 하단부에서 노출된다. 전기도금 후, 포토레지스트가 제거되고 발생된 필라의 SEM 이미지가 획득된다. 필라는 폭이 200 ㎛이고 높이가 대략 200 ㎛이다. 회전 없이, 교차 플로우 방향에 관련된 상단 부분에서 불균일도가 관찰되는 것을 알 수 있다.
예 B. 도 36b는 본 명세서에 제공된 방법들에 기술된 바와 같이, 기판의 간헐적인 회전을 구현하는 동안, 전기도금 장치 내에서 도금 용액의 시일링된 교차 플로우를 사용하여 디포짓된, 전기도금된 피처의 SEM 이미지를 도시한다. 구체적으로, 15 초의 드웰 시간, 113 °의 회전 각도, 4 rpm (24 °/s) 의 최대 회전 속도, 2000 °/s2의 최대 회전 가속도 및 10,000 °/s3의 저크 (jerk) 가 사용된다. 기판은 전기도금 동안 일 방향으로 회전된다. 도 36b의 화살표들은 기판 상의 선택된 방위각 위치에 대해 모든 방향들의 평균 교차 플로우를 개략적으로 도시한다. 실제 교차 플로우는 여전히 도금 셀에 대해 단일 방향이지만, 기판 자체 상의 선택된 방위각 위치는 기판의 회전으로 인한 상이한 방향들의 교차 플로우를 경험할 것이다. 이는 불균일한 플로우 방향에 의해 유발된 불균일도들을 안정시킨다. 총 시간에 대한 장치가 시일링된 상태에서 소비하는 시간의 비 r sealed 는 다음 파라미터들: 회전 스텝 각도 θ, 드웰 시간 t, 및 저크, 가속도 및 기판 홀더 모션에 대한 속도 파라미터들을 사용하여 계산된다. 이 계산은 기판 홀더가 저크, 가속도, 및 속도를 기술하고 드웰 시간에 대한 시간 (t sealed ) 을 비교하는 파라미터들로 주어진 새로운 위치로 이동하기 위해 필요한 시간 양 (t unsealed ) 을 결정함으로써 이루어진다.
식 1:
Figure pat00001
모션 프로파일들의 수치적 계산들은 MATLAB을 사용하여 수행되었고, 3 가지 상황들이 테스트되었다: 일 상황은 모션이 저크-제한되는 것이고, 일 상황은 모션이 가속도-제한되는 것이고, 일 상황은 모션이 속도-제한되는 것이다. 이들 프로파일들은 기판 홀더 모션 파라미터들을 침해하는지 체크되고 (예를 들어, 최대 가속도가 저크-제한된 프로파일을 초과할 수도 있음), 어떠한 모션 파라미터들도 침해하지 않는 가장 짧은 이동 시간을 갖는 프로파일이 선택되었다.
도 36c는 이들 계산들의 결과들을 플롯으로 도시하고, x-축은 회전 스텝 각도 θ이고, y-축은 총 시간에 대해 시일링된 상태에서 장치가 소비하는 시간의 분율이다. 7 개의 곡선들이 도시되고, 곡선 각각에 대한 드웰 시간은 일정하게 유지되었다. 상단 곡선으로부터 하단 곡선으로, 7 개의 곡선들 각각에 대한 드웰 시간들은 각각 20, 15, 10, 5, 2, 1, 및 0.5 초였다. 다른 파라미터들의 값들 (이 계산 목적들을 위해 일정한 것으로 추정됨) 은 도 36d에 제시된 표에 열거된다. 10 초 이상의 드웰 시간들에 대해 총 시간에 대한 시일링된 상태에서 소비된 시간의 분율은 광범위한 회전 스텝 각도들에 대해 0.5를 초과한다는 것을 알 수 있다.
이전의 계산에서 획득된 값들은 회전 시퀀스 각각 동안 누설 갭을 통해 손실되는 총 전해질 플로우의 분율을 계산하도록 사용될 수 있다. 총 전해질 플로우의 30 %는 장치가 항상 언시일링될 때 손실되고, 손실된 전해질의 분율은 식 2를 사용하여 계산될 수 있다.
식 2:
Figure pat00002
여기서
f total 는 총 손실된 분율,
f unsealed 는 장치가 항상 언시일링될 때 손실된 분율이고, 그리고
r sealed 는 상기 계산된 바와 같이, 총 시간에 대한 시일링된 시간의 비이다.
이 계산은 또한 MATLAB을 이용하여 이루어졌다. 도 36e는 이 계산의 결과들을 예시하는 플롯을 도시하고, 회전 스텝은 x-축에 열거되고 손실된 총 플로우의 분율은 y-축에 열거된다. 7 개의 곡선들이 도시되고, 곡선 각각에 대한 드웰 시간은 일정하게 유지되었다. 하단 곡선으로부터 상단 곡선으로, 7 개의 곡선들 각각에 대한 드웰 시간들은 각각 20, 15, 10, 5, 2, 1, 및 0.5 초였다. 15 초 초과의 드웰 시간들은 90 % 초과의 플로우를 탈출로부터 지킨다는 것이 도시된다.
실험예들 C, D, E, F, G 및 H가 도 36f와 관련하여 기술된다.
(도 34a와 관련하여 설명된 바와 같이) WID 불균일도는 다수의 기판들에 대해 측정되고, 전기도금은 기판 홀더와 플로우 한정 엘리먼트의 간헐적 회전을 사용하고 그리고 사용하지 않고, 기판 홀더와 플로우 한정 엘리먼트 간을 시일링할 수 있는 장치에서 수행된다. 결과들은 도 34a에 제공된 막대 차트에 도시된다. 모든 예들 C, D, E, F, G, 및 H에서, 구리가 포토레지스트 층으로 이루어진 리세스된 피처들을 포함하는 표면을 갖는 기판 상에 전기디포짓되고, 구리 씨드 층은 리세스들의 하단부에서 노출되었다. 발생되는 필라들은 폭이 200 ㎛이고 길이가 대략 200 ㎛이다.
예 C에서, 도금은 4 rpm으로 일정하게 회전하고, 기판 홀더와 플로우 한정 링 사이에 시일링이 없는 장치에서 수행되었다. 예 D에서, 도금은 예 C와 동일한 조건들 하에서 수행되지만, 시일링 및 간헐적인 회전이 사용되고, 다음: 15 초의 드웰 시간, 113 °의 회전 각도, 4 rpm의 최대 회전 속도, 2000 °/s2의 최대 회전 가속도, 10,000 °/s3의 저크의 회전 파라미터들이 사용되었다. 예 C와 비교하여 예 D에서 WID 불균일도에서 13 %의 개선이 달성되었다.
예 E에서, 도금은 4 rpm으로 일정하게 회전하고, 시일링이 없는 장치에서 수행되었다. 예 F에서, 도금은 예 E와 동일한 조건들 하에서 수행되지만, 시일링 및 간헐적인 회전이 사용되고, 다음: 15 초의 드웰 시간, 113 °의 회전 각도, 4 rpm의 최대 회전 속도, 2000 °/s2의 최대 회전 가속도, 10,000 °/s3의 저크의 회전 파라미터들이 사용되었다. 예 E 및 예 F가 도금되는 조건들은, 도금 셀에서 상이한 이온 저항성 엘리먼트가 사용되는 것을 제외하고, 예 C 및 예 D와 동일하다. 예 E와 비교하여 예 F에서 WID 불균일도에서 12 %의 개선이 달성되었다.
예 H에서, 도금은 4 rpm으로 일정하게 회전하고, 시일링이 없는 장치에서 수행되었다. 예 G에서, 도금은 예 H와 동일한 조건들 하에서 수행되지만, 시일링 및 간헐적인 회전이 사용되고, 다음: 15 초의 드웰 시간, 113 °의 회전 각도, 4 rpm의 최대 회전 속도, 2000 °/s2의 최대 회전 가속도, 10,000 °/s3의 저크의 회전 파라미터들이 사용되었다. 예 G 및 예 H에서 사용된 포토레지스트 층의 피처들은 예들 C 내지 F에서 사용된 포토레지스트 층의 피처들보다 고르게 분포되고, 전류 분포의 불균형 (unevenness) 을 감소시키고 비교적 보다 낮은 WID 불균일도를 발생시킨다. 예 G와 비교하여 예 H에서 WID 불균일도에서 15 %의 개선이 달성되었다.
모든 경우들에서, 본 명세서에 제공된 방법들에 따른 시일링 및 간헐적인 회전의 도입은 WID 불균일도의 감소를 발생시킨다. 12 내지 15 %의 감소가 달성되었다.
이온 저항성 엘리먼트의 특징들
전기적 기능
특정한 실시예들에서, 채널링된 이온 저항성 엘리먼트 (206) 는 기판 (캐소드) 에 인접한 거의 일정하고 균일한 전류 소스와 근사하고, 이와 같이, 일부 문맥들에서 HRVA (high resistance virtual anode) 로 지칭될 수도 있다. 상기 주의된 바와 같이, 이 엘리먼트는 또한 플레이트 형태로 제공될 때 채널링된 이온 저항성 플레이트 (CIRP) 로 지칭될 수도 있다. 보통, CIRP (206) 는 웨이퍼에 대해 매우 인접하게 위치된다. 반대로, 기판에 동일하게 인접한 애노드는 웨이퍼에 거의 일정한 전류를 공급하기 상당히 어려울 것이고, 그러나 애노드 금속 표면에서 일정한 전위 평면만을 지지하여, 애노드 평면으로부터 종점으로 (예를 들어, 웨이퍼 상의 주변 콘택트 지점들로) 의 순 저항이 보다 작으면 전류가 가장 크게 한다. 따라서 채널링된 이온 저항성 엘리먼트 (206) 가 HRVA로 지칭되지만, 이는 전기화학적으로 둘이 상호 교환가능하다는 것을 암시하지 않는다. 최상의 동작 조건들 하에서, CIRP (206) 는 보다 가깝게 근접하고 아마도 CIRP (206) 의 상부 표면에 걸쳐 거의 일정한 전류가 공급되는 (sourced) 가상의 균일한 전류 소스로서 보다 잘 기술된다. CIRP가 확실히 "가상 전류 소스", 즉, 전류가 나오는 평면으로서 보이고, 이에 따라, 양극성 전류가 나오는 위치 또는 소스로 보일 수 있기 때문에 "가상 애노드"로서 지칭될 수 있지만, 동일한 물리적 위치에 위치된 금속성 애노드를 갖는 것과 비교할 때, CIRP 면을 가로질러 거의 균일한 전류 및 더 유리한, 일반적으로 우수한 웨이퍼 균일도를 유도하는 (전해질에 대해) 상대적으로 고-이온-저항성 CIRP (206) 이다. 이온 전류 플로우에 대한 플레이트의 저항은 (항상은 아니지만 종종, 음극액과 같거나 거의 유사한 저항을 갖는) 플레이트 (206) 의 다양한 채널들 내에 담긴 전해질의 상승하는 고유 저항, 증가하는 플레이트 두께, 및 감소된 다공성 (예를 들어, 동일한 직경의 보다 적은 홀들을 갖거나, 보다 작은 직경을 갖는 동일한 수의 홀들을 갖는 등에 의해, 전류 통과를 위해 보다 작은 분율의 단면적) 과 함께 상승한다.
구조
CIRP (206) 는 모든 구현예들이 아니라 많은 구현예들에서, 공간적으로 그리고 이온적으로 서로 격리되고 CIRP의 바디 내에 상호연결 채널들을 형성하지 않는, 마이크로 사이즈 (통상적으로 0.04" 미만) 쓰루-홀들을 포함한다. 이러한 쓰루-홀들은 종종 비연통 쓰루-홀들로 지칭된다. 이들은 통상적으로 1차원에서 확장하고, 종종, 반드시 그런 것은 아니지만, 웨이퍼의 도금된 표면에 직교한다 (일부 실시예들에서 비연통 홀들은 일반적으로 CIRP 전면에 평행한 웨이퍼에 대해 기울어진다). 종종 쓰루-홀들은 서로 평행하다. 종종 홀들은 정사각형 배열로 배열된다. 다른 시간들에서 레이아웃은 오프셋된 나선 패턴이다. 이들 쓰루-홀들은, 쓰루-홀들이 내부에서 이온 전류 플로우 및 유체 플로우 양자를 표면에 평행하게 재구성하고, 웨이퍼 표면을 향해 전류 및 유체 플로우 양자의 경로를 곧게 하기 때문에, 채널들이 3차원으로 확장하고 상호연결하는 포어 구조체들을 형성하는, 3-D 다공성 네트워크들과 구별된다. 그러나, 특정한 실시예들에서, 포어들의 상호연결된 네트워크를 갖는 이러한 다공성 플레이트는 1-D 채널링된 엘리먼트 (CIRP) 대신 사용될 수도 있다. 플레이트의 상단 표면으로부터 웨이퍼로의 거리가 작을 때 (예를 들어, 웨이퍼 반경의 약 1/10 사이즈의 갭, 예를 들어 약 5 ㎜ 미만), 전류 플로우와 유체 플로우 양자의 발산 (divergence) 은 국부적으로 제한되고, 부가되고 CIRP 채널들과 정렬된다.
일 예시적인 CIRP (206) 는 전기적으로 그리고 이온적으로 저항성인 단단한, 비다공성 유전체 재료로 이루어진 디스크이다. 재료는 또한 사용되는 도금 용액에서 화학적으로 안정하다. 특정한 경우들에서, CIRP (206) 는 세라믹 재료 (예를 들어, 산화 알루미늄, 산화 2 주석, 산화 티타늄, 또는 산화 금속들의 혼합물들) 또는 플라스틱 재료 (예를 들어, 폴리에틸렌, 폴리프로필렌, PVDF (polyvinylidene difluoride), 폴리테트라플루오로에틸렌, 폴리술폰, PVC (polyvinyl chloride), 폴리카보네이트, 등) 로 이루어지고, 약 6,000 내지 12,000 개의 비연통 쓰루-홀들을 갖는다. 많은 실시예들에서, 디스크 (206) 는 웨이퍼와 실질적으로 동일 공간에 있고 (예를 들어, 300 ㎜ 웨이퍼와 함께 사용될 때 CIRP 디스크 (206) 는 약 300 ㎜의 직경을 갖는다) 웨이퍼에 매우 인접하게, 예를 들어, 아래로 웨이퍼 대면하는 전기도금 장치에서 웨이퍼 바로 밑에 체류한다. 바람직하게, 웨이퍼의 도금된 표면은 가장 가까운 CIRP 표면의 약 10 ㎜ 이내, 보다 바람직하게 약 5 ㎜ 이내에 체류한다. 이를 위해, 채널링된 이온 저항성 플레이트 (206) 의 상단 표면은 편평하거나 실질적으로 편평할 수도 있다. 종종, 채널링된 이온 저항성 플레이트 (206) 의 상단 표면 및 하단 표면 양자는 편평하거나 실질적으로 편평하다.
CIRP (206) 의 또 다른 특징은 쓰루-홀들의 직경 또는 주 치수 및 CIRP (206) 와 기판 사이의 거리와의 관계이다. 특정한 실시예들에서, 쓰루-홀 각각의 직경 (또는 다수의 쓰루-홀들의 직경, 또는 쓰루-홀들의 평균 직경) 은 도금된 웨이퍼 표면으로부터 CIRP (206) 의 가장 가까운 표면까지의 대략적인 거리보다 크지 않다. 따라서, 이러한 실시예들에서, CIRP (206) 가 도금된 웨이퍼 표면의 약 5 ㎜ 이내에 위치될 때, 쓰루 홀들의 직경 또는 주 치수가 약 5 ㎜를 초과하지 않아야 한다.
상기와 같이, 플레이트 (206) 의 전체 이온 및 플로우 저항은 플레이트의 두께와 전체 다공성 (플레이트를 통한 플로우에 이용가능한 면적 분율) 및 홀들의 사이즈/직경 양자에 의존적이다. 보다 낮은 다공성들의 플레이트들이 보다 높은 충돌 플로우 속도들 및 이온 저항들을 가질 것이다. 동일한 다공성의 플레이트들을 비교하면, 보다 작은 직경을 갖는 1-D 홀들 (및 따라서 보다 많은 수의 1-D 홀들) 은, 동일한 갭에 걸쳐 확산할 수 있는 포인트 소스들로서 더 작용하는 보다 많은 개별 전류 소스들이 있기 때문에 웨이퍼 상에서 보다 미세-균일한 분포를 가질 것이고, 또한 보다 높은 총 압력 강하 (고 점성 플로우 저항) 를 가질 것이다.
그러나, 특정한 경우들에서, 이온 저항성 플레이트 (206) 는 상기 언급된 바와 같이 다공성이다. 플레이트 (206) 내 포어들은 독립적인 1-D 채널들을 형성하지 않을 수도 있지만, 대신 상호연결될 수도 있거나 상호연결되지 않을 수도 있는 쓰루 홀들의 메쉬를 형성할 수도 있다. 본 명세서에 사용된 바와 같이, 용어들 채널링된 이온 저항성 플레이트 및 채널링된 이온 저항성 엘리먼트 (CIRP) 는 달리 주지되지 않는 한, 이 실시예를 포함하는 것으로 의도된다는 것이 이해될 것이다.
다수의 실시예들에서, CIRP (206) 는 에지 플로우 엘리먼트를 포함하도록 (또는 수용하도록) 수정될 수도 있다. 에지 플로우 엘리먼트는 CIRP (206) 의 통합된 부분일 수도 있고 (예를 들어, CIRP 및 에지 플로우 엘리먼트가 함께 모놀리식 구조체를 형성), 또는 CIRP (206) 상 또는 근방에 설치된 교체가능한 부분일 수도 있다. 에지 플로우 엘리먼트는 보다 높은 정도의 교차 플로우를 촉진하여, 기판 표면 상, 기판의 에지 근방 (예를 들어, 기판과 기판 홀더 사이의 계면 근방) 에서 전단을 촉진한다. 에지 플로우 엘리먼트를 사용하지 않고, 상대적으로 낮은 교차 플로우 영역이 예를 들어 기판 및 기판 홀더의 기하학적 구조, 및 전해질 플로우의 방향으로 인해 기판과 기판 홀더의 계면 근방에서 전개될 수도 있다. 에지 플로우 엘리먼트는 이 영역에서 교차 플로우를 증가시켜, 기판에 걸쳐 보다 균일한 도금 결과들을 촉진하도록 작용할 수도 있다. 에지 플로우 엘리먼트에 관련된 추가 상세들은 이하에 제시된다.
일부 경우들에서, CIRP (206) 는 이하에 더 기술된, 도 33a 내지 도 33e에 도시된 바와 같은 일련의 돌기들을 상부에 포함한다. 돌기들은 다양한 형상들로 제공될 수도 있다.
쓰루 -홀들을 통한 수직 플로우
웨이퍼 가까이에 이온 저항성이지만 이온 침투성 엘리먼트 (CIRP) (206) 의 존재는 말단 효과 (terminal effect) 를 실질적으로 감소시키고, 말단 효과들이 작동하는/관련되는 특정한 애플리케이션들에서 예컨대 웨이퍼 씨드 층의 전기 전류의 저항이 셀의 음극액의 저항에 대해 클 때 방사상 도금 균일도를 개선한다. CIRP (206) 는 또한 플로우 확산 매니폴드 플레이트로서 작용함으로써 웨이퍼 표면에서 상향으로 지향된 전해질의 실질적으로 공간적으로 균일한 충동하는 플로우를 갖는 능력을 동시에 제공한다. 중요하게, 동일한 엘리먼트 (206) 가 웨이퍼로부터 멀리 위치되면, 이온 전류 및 플로우의 균일도 개선들이 상당히 덜 두드러지게 되거나 는 실존하지 않게 된다.
또한, 비연통 쓰루-홀들이 CIRP 내에서 이온 전류의 측방향 이동 또는 유체 모션을 허용하지 않기 때문에, 중심-대-에지 전류 및 플로우 이동들은 CIRP (206) 내에서 차단되고, 방사상 도금 균일도의 추가 개선으로 유도한다. 도 9에 도시된 실시예에서, CIRP (206) 은 마이크로채널들로서 작용하고 플레이트 면에 걸쳐 (예를 들어, 300 ㎜ 웨이퍼를 도금하는 경우 약 300 ㎜의 직경을 갖는 실질적으로 원형 영역에 걸쳐) 정사각형 배열 (즉, 행들 및 열들로 배열된 홀들) 로 배열되고, 약 4.5 %의 유효 평균 다공성, 및 직경이 약 0.67 ㎜ (0.026 인치) 의 개별 마이크로채널 홀 사이즈를 갖는, 대략 9000 개의 균일하게 이격된 1차원 홀들을 갖는 천공된 플레이트이다. CIRP 매니폴드 (208) 를 통해 그리고 CIRP (206) 의 홀들을 통해 상향으로, 또는 교차 플로우 주입 매니폴드 (222) 및 교차 플로우 샤워헤드 (242) 를 통해 교차 플로우 매니폴드 (226) 로 들어가도록 우선적으로 플로우를 지향시키도록 사용될 수도 있는 플로우 분배 조정 막대들 (270) 이 또한 도 9에 도시된다. 교차 플로우 한정 링 (210) 은 멤브레인 프레임 (274) 에 의해 지지되는 CIRP의 상단부에 피팅된다 (fit).
일부 실시예들에서, CIRP 플레이트 (206) 는 인트라-셀 전해질 플로우 저항성, 플로우 제어로서, 따라서 플로우 성형 엘리먼트로서 우선적으로 또는 독점적으로 사용될 수 있고, 때때로 터보플레이트로서 지칭될 수 있다는 것을 주의한다. 이 지정 (designation) 은 플레이트 (206) 가 예를 들어, 셀 내 플로우와 커플링된 도금 첨가제들의 전기장 또는 운동 저항들을 조절하고 그리고/또는 말단 효과들을 밸런싱함으로써, 방사상 디포지션 균일도를 테일러링 (tailor) 하는지 여부와 무관하게 사용될 수도 있다. 따라서, 예를 들어, 씨드 금속 두께가 일반적으로 크고 (예를 들어, 1000 Å 초과 두께) 금속이 매우 고 레이트로 증착되는 TSV 및 WLP 전기도금에서, 전해질 플로우의 균일한 분포가 매우 중요하지만, 웨이퍼 씨드에서 옴 전압 강하로부터 발생하는 방사상 불균일도 제어는 보상할 필요가 거의 없을 수도 있다 (적어도 부분적으로, 보다 두꺼운 씨드 층들이 사용되면 중심-대-에지 불균일도들이 보다 덜 심각하기 때문에). 따라서 CIRP 플레이트 (206) 는 이온 저항성 이온 침투성 엘리먼트 및 플로우 성형 엘리먼트 양자로 지칭될 수 있고, 이온 전류의 플로우를 변경함으로써, 재료의 대류 플로우를 변경함으로써, 또는 양자에 의해 디포지션-레이트 정정 기능을 제공할 수 있다.
웨이퍼와 채널링된 플레이트 간의 거리
특정한 실시예들에서, 웨이퍼 홀더 (254) 및 연관된 포지셔닝 메커니즘은 채널링된 이온 저항성 엘리먼트 (206) 의 평행한 상부 표면에 매우 가깝게 회전하는 웨이퍼를 홀딩한다. 도금 동안, 기판은 일반적으로 이온 저항성 엘리먼트 (예를 들어, 약 10 ° 이내) 에 평행하거나 실질적으로 평행하도록 포지셔닝된다. 기판이 상부에 특정한 피처들을 가질 수도 있지만, 기판 및 이온 저항성 엘리먼트가 실질적으로 평행한지 여부를 결정할 때 일반적으로 평면형 형상의 기판만이 고려된다.
통상적인 경우들에서, 분리 거리는 약 0.5 내지 15 ㎜, 또는 0.5 내지 10 ㎜, 또는 약 2 내지 8 ㎜이다. 일부 경우들에서, 분리 거리는 약 2 ㎜ 이하, 예를 들어 약 1 ㎜ 이하이다. 웨이퍼와 CIRP (206) 사이의 분리거리는 교차 플로우 매니폴드의 높이에 대응한다. 상기 언급된 바와 같이, 이 거리/높이는 기판 표면 위에서 보다 높은 질량 이송도를 촉진하도록 전기도금 프로세스 동안 조절될 수도 있다.
작은 플레이트 대 웨이퍼 거리는 패턴의 개별 홀들의 인접한, 특히 웨이퍼 회전 중심 근방의 "이미지"와 연관된 웨이퍼 상에 도금 패턴을 생성할 수 있다. 이러한 환경들에서, 도금 링들의 패턴 (두께로 또는 도금된 텍스처) 은 웨이퍼 중심 근방에 발생할 수도 있다. 이 현상을 방지하기 위해, 일부 실시예들에서, CIRP (206) 의 개별 홀들 (특히 웨이퍼 중심 및 중심 근방에서) 은 특히 작은 사이즈, 예를 들어 플레이트 대 웨이퍼 갭의 약 1/5 미만을 갖도록 구성될 수 있다. 웨이퍼 회전과 결합하여, 작은 포어 사이즈는 들어오는 충돌하는 유체의 플로우 속도의 시간 평균을 플레이트 (206) 로부터의 제트로서 허용하고, 작은 스케일 불균일도들 (예를 들어, 대략 ㎛) 을 감소시키거나 방지한다. 상기 예방책에도 불구하고, 사용된 도금 욕의 특성들 (예를 들어, 특히 디포짓된 금속, 도전율들, 및 채용된 욕 첨가제들) 에 따라, 일부 경우들에서 디포지션은, 시간 평균 노출 및 가변하는 두께의 인접-이미지-패턴 (예를 들어, 웨이퍼 중심 주변의 "불스 아이 (bulls eye)" 형상으로) 및 사용된 개별 홀 패턴에 대응하는 것으로, 미소-불균일 패턴에서 일어나기 쉬울 수도 있다 (예를 들어, 중심 링들을 형성). 이는 유한 홀 패턴이 불균일하고 디포지션에 영향을 주는 충돌하는 플로우 패턴을 생성하면 일어날 수 있다. 이 경우, 웨이퍼 중심을 가로질러 측방향 플로우를 도입하고, 그리고/또는 바로 중심 및/또는 중심 근방에서 규칙적인 패턴의 홀들을 수정하는 것 양자는 달리 확인된 모든 미소-불균일도 사인을 대부분 제거하는 것으로 확인되었다.
채널링된 플레이트의 다공성
다양한 실시예들에서, 채널링된 이온 저항성 플레이트 (206) 는 정상 동작하는 체적 플로우 레이트들에 점성 플로우 저항 배압 (backpressure) 및 고 수직 충돌 플로우 레이트들을 제공하게 충분히 낮은 다공성 및 포어 사이즈를 갖는다. 일부 경우들에서, 채널링된 이온 저항성 플레이트 (206) 의 약 1 내지 25 %는 유체로 하여금 웨이퍼 표면에 도달하게 하는 개방 영역이다. 특정한 실시예들에서, 플레이트 (206) 의 약 2 내지 5 %가 개방 영역이다. 또 다른 실시예에서, 플레이트 (206) 의 약 5 내지 25 %, 또는 약 10 내지 25 %, 또는 약 15 내지 25 %, 또는 약 15 내지 20 %가 개방 영역이다. 특정한 예에서, 플레이트 (206) 의 개방 영역은 약 3.2 %이고 효과적인 총 개방 단면적은 약 23 ㎠이다.
교차 플로우 매니폴드의 높이가 조절되는 경우들에서, CIRP는 목표된 전해질 펌핑 효과를 달성하도록 조절을 허용하도록 충분히 저 다공성을 가져야 한다. CIRP가 매우 다공성이면, 높이 조절은 목표된 효과를 갖지 못할 수도 있다. 관련하여, 교차 플로우 매니폴드가 전기도금 동안 간헐적으로 시일링되는 경우들에서, CIRP는 교차 플로우 매니폴드가 시일링될 때 (그리고/또는 언시일링될 때) 측면 유입부로부터 시작되는 (originating) 상당한 다수의 전해질 플로우가 교차 플로우 매니폴드 내에 남아 있다는 것을 보장하도록 CIRP를 통한 플로우에 충분히 저항성이어야 한다. 그렇지 않으면, 측면 유입부로부터 시작되는 전해질의 용인할 수 없게 큰 부분은 CIRP (206) 내 포어들을 통해 CIRP 매니폴드 (208) 내로 하향으로 흐를 수도 있다. 일부 시간 기간 후에, 이러한 전해질은 CIRP (206) 내 포어들을 통해 교차 플로우 매니폴드 (226) 내로, 종종 측면 유출부 근방의 보다 다운스트림 위치에서 상향으로 통과될 수도 있다. 기판으로부터의 이 전해질 플로우는 어느 정도 허용될 수 있지만, 기판의 도금면 위의 교차 플로우를 용인할 수 없게 감소시킬만큼 크지 않아야 한다. 일부 경우들에서, CIRP의 포어들은 측면 유입부로부터 시작되는 전해질 플로우의 최대 약 20 %가 CIRP 매니폴드 내로 CIRP의 포어들을 통과할 수 있다는 것을 보장하도록 (예를 들어, 적절한 사이즈 및 밀도로) 구성될 수도 있다. 일반적으로 말하면, CIRP는 교차 플로우 매니폴드가 간헐적으로 시일링되는 경우들에서, 이러한 시일링이 일어나지 않는 보다 종래의 경우들에 비해, 보다 다공성일 수도 있다. 종래의 경우들에서, CIRP 다공성은 때때로 약 5 % 이하로 제한된다. 본 명세서의 다양한 실시예들에서, 교차 플로우 매니폴드가 간헐적으로 (또는 연속적으로) 시일링되면, CIRP 다공성은 보다 클 수도 있고, 예를 들어 10 %, 또는 약 15 %, 또는 20 %, 또는 25 %의 최대 다공성을 가질 수도 있다. 일부 이러한 실시예들에서, CIRP는 약 3 %, 또는 약 5 %, 또는 약 10 %, 또는 약 15 %의 최소 다공성을 갖는다.
채널링된 플레이트의 홀 사이즈
채널링된 이온 저항성 플레이트 (206) 의 다공성은 많은 상이한 방식들로 구현될 수 있다. 다양한 실시예들에서, 이는 작은 직경의 많은 수직 홀들을 사용하여 구현된다. 일부 경우들에서, 플레이트 (206) 는 개별 "드릴링된" 홀들로 구성되지 않지만, 연속적으로 다공성 재료의 소결된 플레이트에 의해 생성된다. 이러한 소결된 플레이트들의 예들은 전체가 참조로서 본 명세서에 인용된, 미국 특허 제 6,964,792 호 [대리인 관리 번호 NOVLP023] 에 기술된다. 일부 실시예들에서, 드릴링된 비연통 홀들은 약 0.01 내지 0.05 인치의 직경을 갖는다. 일부 경우들에서, 홀들은 약 0.02 내지 0.03 인치의 직경을 갖는다. 상기 언급된 바와 같이, 다양한 실시예들에서, 홀들은 채널링된 이온 저항성 플레이트 (206) 와 웨이퍼 사이의 갭 거리의 최대 약 0.2 배인 직경을 갖는다. 홀들은 일반적으로 단면이 원형이지만, 반드시 원형이어야 하는 것은 아니다. 또한, 구성을 용이하게 하도록, 플레이트 (206) 의 모든 홀들은 동일한 직경을 가질 수도 있다. 그러나, 반드시 그러한 것은 아니고, 홀들의 개별적인 사이즈 및 국부적인 밀도 양자는 특정한 요건들이 구술될 수도 있는 것으로 플레이트 표면에 걸쳐 가변할 수도 있다.
예로서, 단단한 플레이트 (206) 가 적합한 세라믹 또는 플라스틱 재료 (일반적으로 유전체 절연 및 기계적으로 견고한 재료) 로 이루어지고, 내부에 많은 수의 작은 홀들, 예를 들어, 적어도 약 1000 또는 적어도 약 3000 또는 적어도 약 5000 또는 적어도 약 6000 개를 갖는다 (0.026 인치 직경의 9465 개의 홀들이 유용한 것으로 확인되었다). 언급된 바와 같이, 일부 설계들은 약 9000 홀들을 갖는다. 플레이트 (206) 의 다공성은 고 충돌 속도를 생성하기 위해 필요한 총 플로우 레이트가 너무 크지 않도록 약 25 % 미만, 또는 약 20 %, 또는 약 5 % 미만이다. 보다 작은 홀들을 사용하는 것은 보다 큰 홀들과 비교할 때, 플레이트를 통한 보다 균일한 상향 속도를 생성하는 것을 보조하는, 플레이트에 걸쳐 큰 압력 강하를 생성하도록 돕는다.
일반적으로, 채널링된 이온 저항성 플레이트 (206) 에 걸친 홀들의 분포는 균일한 밀도이고 비랜덤 (non-random) 이다. 그러나, 일부 경우들에서, 홀들의 밀도는 특히 방사상 방향으로 가변할 수도 있다. 구체적인 실시예에서, 이하에 보다 완전히 기술된 바와 같이, 회전하는 기판의 중심을 향해 플로우를 지향시키는 플레이트의 영역에 보다 큰 밀도 및/또는 직경의 홀들이 있다. 또한, 일부 실시예들에서, 회전하는 웨이퍼의 중심에 또는 중심 근방으로 전해질을 지향시키는 홀들은 웨이퍼 표면에 대해 비-직각의 플로우를 유도할 수도 있다. 또한, 이 영역의 홀 패턴들은 제한된 수의 홀들과 웨이퍼 회전 사이의 가능한 상호작용을 처리하도록 불균일한 도금 "링들"의 랜덤한 또는 부분적으로 랜덤한 분포를 가질 수도 있다. 일부 실시예들에서, 플로우 전환기 또는 한정 링 (210) 의 개방 세그먼트에 인접한 홀 밀도는 부착된 플로우 전환기 또는 한정 링 (210) 의 개방 세그먼트로부터 이격된 채널링된 이온 저항성 플레이트 (206) 의 영역들 상에서보다 낮다.
돌기들
특정한 실시예들에서, CIRP의 상단면은 웨이퍼 면 위 및 개별 도금 피처들 내 양자에서 최대 디포지션 레이트를 상승시키고 도금 플레이트 균일도를 개선하도록 수정될 수도 있다. CIRP의 상단면에 대한 수정은 돌기들의 집합의 형태를 취할 수도 있다.
돌기는 CIRP 평면과 웨이퍼 사이의 교차 플로우 매니폴드 내로 연장하는 CIRP의 기판-대면 측 상에 배치/부착되는 구조체로서 규정된다. CIRP 평면 (또한 이온 저항성 엘리먼트 평면으로 지칭됨) 은 어떠한 돌기들도 없는, CIRP의 상단 표면으로 규정된다. CIRP 평면은 돌기들이 CIRP에 부착되는 부분이고, 또한 유체가 교차 플로우 매니폴드 내로 CIRP를 나가는 부분이다. 도 33a는 교차 플로우의 방향에 수직으로 배향된 선형 돌기들 (3301) 을 갖는 CIRP (3300) 의 등각도이다. 선형 돌기들은 또한 립들 (ribs) 지칭될 수도 있고, (예를 들어, 도 33a에 도시된 바와 같이) 일련의 립들을 갖는 CIRP는 리브된 (ribbed) CIRP로 지칭될 수도 있다. CIRP (3300) 는, 음극액으로 하여금 교차 플로우 매니폴드 위로 그리고 내로 이동하게 하도록 돌기들이 위치되지 않은 주변 영역을 포함할 수도 있다. 많은 경우들에서, 돌기들 (3301) 은 도금될 기판의 도금면과 실질적으로 동일한 공간에 걸친다 (예를 들어, CIRP 상 돌기 영역의 직경은 기판의 직경의 약 5 % 이내, 또는 약 1 % 이내일 수도 있다).
돌기들은 다양한 방식들로 배향될 수도 있지만, 많은 구현예들에서, 돌기들은 CIRP 내에서 홀들의 열들 사이에 위치된 긴, 박형 립들의 형태이고, 돌기의 길이 (즉, 주/가장 긴 치수) 가 교차 플로우 매니폴드를 통한 교차 플로우에 수직이도록 배향된다. CIRP 홀들 (3302) 의 열들 사이의 길고 박형의 선형 돌기들 (3301) 을 갖는 CIRP (3300) 의 확대된 상면도가 도 33b에 도시된다. 돌기들 (3301) 은 웨이퍼로의 질량 이송을 개선하고 웨이퍼의 전체 면 위로 질량 이송의 균일도를 개선하도록 웨이퍼에 인접한 유동장 (flow field) 을 수정한다. 돌기들은 일부 경우들에서, 기존의 CIRP 플레이트들 내로 머시닝될 수도 있고, 또는 CIRP가 제조될 때 동시에 형성될 수도 있다. 도 33b에 도시된 바와 같이, 돌기들 (3301) 은 기존의 1-D CIRP 쓰루-홀들 (3302) 을 차단하지 않도록 배열될 수도 있다. 즉, 돌기들 (3301) 의 폭은 CIRP (3300) 내 홀들 (3302) 의 열 각각 사이의 거리보다 작을 수도 있다. 돌기들의 길이들이 교차 플로우 전해질의 방향에 수직이도록 돌기들이 배향되면, 돌기 (3301) 각각의 폭은 교차 플로우 전해질의 방향으로 측정될 수도 있다. 도 33b는 돌기들의 길이 및 폭이 교차 플로우 전해질의 방향에 대해 측정될 수도 있는 방향들을 나타낸다. 도 33b의 돌기들의 높이는 페이지 밖으로 연장한다.
일 예에서, CIRP 홀들 (3302) 은 중심-대-중심이 2.69 ㎜ 이격되어 위치되고, 홀들은 직경이 0.66 ㎜이다. 따라서, 돌기들은 약 2 ㎜ 미만의 폭 (2.69 - 2*(0.66/2) ㎜ = 2.03 ㎜) 일 수도 있다. 특정한 경우들에서, 돌기들은 약 1 ㎜ 폭 미만일 수도 있다. 특정한 경우들에서, 돌기들은 적어도 약 3:1, 또는 적어도 약 4:1, 또는 적어도 약 5:1의 길이 대 폭 종횡비를 갖는다.
많은 구현예들에서, 돌기들은, 예를 들어 도 33b에 도시된 바와 같이, 길이가 웨이퍼 면에 걸친 교차 플로우의 방향에 수직 또는 실질적으로 수직이도록 배향된다 (때때로 본 명세서에서 "z" 방향으로 지칭됨). 특정한 경우들에서, 돌기들은 상이한 각도 또는 각도들의 세트로 배향된다.
광범위한 돌기 형상들, 사이즈들 및 레이아웃들이 사용될 수도 있다. 일부 실시예들에서, 돌기들은 CIRP 면에 실질적으로 직교하는 면을 갖지만, 다른 구현예들에서, 돌기들은 CIRP의 면에 대해 기울어져 포지셔닝된 면을 갖는다. 또 다른 구현예들에서, 돌기들은 어떠한 편평한 면들도 갖지 않도록 성형될 수도 있다. 일부 실시예들은 다양한 돌기 형상들 및/또는 사이즈들 및/또는 배향들을 채용할 수도 있다.
도 33c는 CIRP (3300) 상의 돌기들 (3301) 의 단면들로서 도시된 돌기 형상들의 예들을 제공한다. 일부 구현예들에서, 돌기들은 일반적으로 직사각형으로 성형된다. 다른 구현예들에서, 돌기들은 삼각형, 실린더형, 또는 이들의 일부 조합인 단면들을 갖는다. 돌기들은 또한 머시닝된 삼각형 팁 (tip) 을 갖는 일반적으로 직사각형일 수도 있다. 특정한 실시예들에서, 돌기들은 웨이퍼에 걸친 교차 플로우의 방향에 실질적으로 평행하게 배향된, 돌기들을 통과하여 또는 돌기들 상에 홀들을 포함할 수도 있다.
도 33d는 상이한 타입들의 컷아웃들을 갖는 몇몇 예들의 돌기들을 제공한다. 이들 구조체들은 또한 플로우 릴리즈 (relief) 구조체들, 쓰루-홀들, 홀들, 또는 컷아웃 부분들로 지칭될 수도 있다. 쓰루-홀 (또는 홀) 은 전해질이 흐를 수 있는 컷아웃 타입이다 (예들 (b) 내지 (e) 및 예 (f) 의 하부 컷아웃들 참조). 반대로, 전해질은 컷아웃을 통해 또는 컷아웃 위로 흐를 수도 있다 (예 (a) 및 쓰루-홀들이 아닌 예 (f) 의 상부 컷아웃들 참조). 이들 구조체들은 플로우가 모든 방향들 (x-방향, y-방향 및 z-방향) 로 뒤섞이도록 (convoluted) 플로우 패턴을 방해하는 것을 도울 수도 있다.
도 33d에 대해, 예 (a) 는 돌기의 상단에 직사각형 컷아웃을 갖는 돌기를 도시하고, 예 (b) 는 돌기의 하단 부분 근방의 컷아웃에 의해 형성된 쓰루-홀을 갖는 돌기를 도시하고, 예 (c) 는 돌기의 높이의 중간의 직사각형 컷아웃에 의해 형성된 쓰루-홀을 갖는 돌기를 도시하고, 예 (d) 는 원형/타원형 패턴으로 컷아웃된 일련의 쓰루-홀들을 갖는 돌기를 도시하고, 예 (e) 는 다이아몬드 패턴들로 컷아웃된 일련의 쓰루-홀들을 갖는 돌기를 도시하고, 그리고 예 (f) 는 사다리꼴 패턴으로 교번하여 컷아웃된 상단 부분 및 하단 부분을 갖는 돌기를 도시하고, 하단 컷아웃들이 쓰루-홀들을 형성한다. 홀들은 서로 수평으로 인라인 (in line) 될 수도 있고, 또는 예 (d) 및 예 (f) 에 도시된 바와 같이 서로로부터 오프셋될 수도 있다.
상단에 돌기들을 갖는 CIRP들이 교차 플로우 매니폴드의 높이를 조절하는 도금 기법들과 결합될 때 특히 유리할 수도 있다. 예를 들어, 교차 플로우와 돌기들의 소규모 상호작용 및 교차 플로우 매니폴드의 높이의 조절은 피처들 내에서 보다 많은 혼합 및 난류를 생성할 수도 있다. 립들/돌기들은 서로 비교하여 특정한 방향들로 플로우 속도를 우선적으로 상승시킬 수도 있다.
도 33e는 상단에 일련의 선형 돌기들 (3301) 을 갖는 CIRP (3300) 를 예시한다. CIRP (3300) 가 일련의 돌기들 (3301) 을 포함하면, 교차 플로우 매니폴드의 높이를 조절하는 것은 돌기들의 길이/주 치수 방향으로 플로우 속도를 우선적으로 상승시킬 수도 있다. 실제로, 돌기들은 도 33e의 화살표 (3304) 로 나타낸 바와 같이, 교차 플로우 전해질의 방향에 수직으로 전해질을 우선적으로 지향시키는 채널들로서 작용할 수도 있다. 교차 플로우 매니폴드의 높이를 조절하는 것은 또한 화살표 (3305) 로 나타낸 바와 같이, 교차 플로우 전해질의 방향에 평행한 방향으로 플로우 속도를 상승시킨다. 그러나, 플로우 속도는 교차 플로우에 직교하고 돌기들 (3301) 의 길이/주 치수에 평행한 방향으로 보다 실질적으로 상승한다. 따라서, 화살표 (3304) 는 화살표 (3305) 보다 크게 도시된다. 이 플로우 속도의 지향성으로 우선적인 상승이 개선된 도금 결과들을 촉진할 수도 있다.
상단에 돌기들을 갖는 CIRP들은 전체가 참조로서 본 명세서에 인용된 미국 특허 출원번호 제 14/103,395 호에 더 논의된다.
이온 저항성 엘리먼트의 대안적인 실시예들
다양한 실시예들에서, 이온 저항성 엘리먼트은 상기 기술된 바와 상이한 특성들을 가질 수도 있다. 예를 들어, 대부분의 전술한 기술은 플레이트로서 채널링된 이온 저항성 엘리먼트을 참조하지만, 이온 저항성 엘리먼트는 또한 멤브레인, 필터, 또는 다른 다공성 구조체로서 제공될 수 있다. 이온 저항성 엘리먼트들로서 사용될 수도 있는 다공성 구조체들의 예들은, 이로 제한되는 것은 아니지만, 이온 저항성 멤브레인들 및 필터들, 나노-다공성 음극성 멤브레인들, 및 적절한 이온 저항률을 갖는 다른 다공성 플레이트들 및 멤브레인들을 포함한다. 일반적으로, 이러한 이온 저항성 엘리먼트들은 성형되고, 사이징되고, 포지셔닝될 수도 있고, 채널링된 이온 저항성 플레이트와 관련하여 상기 기술된 바와 같이 동일하거나 유사한 특성들을 가질 수도 있다. 이와 같이, 채널링된 이온 저항성 플레이트와 관련하여 (예를 들어, 사이즈, 다공성, 이온 저항률, 재료들, 등과 관련한) 본 명세서에 제공된 임의의 기술은 또한 CIRP 대신 사용된 상이한 이온 저항성 엘리먼트에 적용될 수도 있다.
이러한 구조체들은 또한 CIRP에 대하여 본 명세서에 기술된 바와 상이한 ㅌ특정한 특성들을 가질 수도 있다. 예를 들어, CIRP 대신 사용된 이온 저항성 멤브레인은 통상적인 CIRP보다 박형일 수도 있다. 특정한 구현예들에서, CIRP 대신 사용된 다공성 구조체는 구조적 안정성을 위해 스캐폴들 또는 다른 구조체 상에 제공될 수도 있다. 일부 실시예들에서, 이온 저항성 엘리먼트는 서로 연통하는 쓰루-홀들을 가질 수도 있지만, 다른 경우들에서, 쓰루-홀들을 비연통일 수도 있다.
교차 플로우 매니폴드가 기판과 지지된 멤브레인 또는 소결된 엘리먼트 구조체 (예를 들어, 지지된 필터 매체, 프릿된 (fritted) 유리 또는 다공성 세라믹 엘리먼트) 사이에 규정된 경우들에서, 포어 각각의 포어 사이즈들은 약 0.01" 미만일 수도 있다. 이 부류의 드릴링되지 않은 연속적으로 다공성인 재료들에 대해, 개방 영역은 재료의 단단한 조각에 개별 홀들을 드릴링함으로써 이루어진 채널링된 플레이트들의 개방 영역보다 클 수도 있다 (예를 들어, 약 30 % 초과의 개방 영역, 일부 실시예들에서 약 50 % 또는 40 %의 최대 개방 영역). 드릴링되지 않은 연속적으로 다공성의 재료들로 이루어진 이온 저항성 구조체들은 멤브레인/엘리먼트 표면을 통해 전해질 플로우가 단락되는 것을 방지하도록 점성 플로우 저항을 부가하도록 (예를 들어, CIRP와 비교하여) 훨씬 보다 작은 포어 사이즈를 활용할 수도 있다. 플로우 단락을 방지하기 위해 포어 사이즈, 개방 영역, 및 순 플로우 저항 사이에 밸런스가 있다. 보다 높은 다공성 재료들/구조체들은 통상적으로 이러한 균형을 달성하도록 보다 작은 포어들 및/또는 보다 큰 엘리먼트 두께를 활용한다.
이 부류의 적합한 재료의 일 예는, 약 5 ㎛ 미만의 평균 포어 사이즈 및 약 35 % 이하의 다공성 및 0.001" 이상의 두께를 갖는, 개방 프레임 네트워크에 의해 아래로부터 지지되고 가로질러 타이트하게 스트레치된 (stretched) 기계적으로 강한 필터 매체의 시트일 것이다. 적절한 시트 멤브레인들의 몇몇 구체적인 예들은 SelRO 나노 여과 (nanofiltration) MPF-34 멤브레인들, HKF-328 폴리술폰 초여과 (ultrafiltration) 멤브레인들, 및 MFK-618 0.1 ㎛ 포어 사이즈 폴리술폰 멤브레인들을 포함하고, 모두 MA, Willington 소재의 Koch Membrane systems에 의해 공급된다. 멤브레인들에 걸쳐 이온 전기를 도전하는 능력 및 고 플로우 저항을 제공하기 때문에 음극성 및 양극성 멤브레인들이 또한 사용될 수 있다 (예를 들어, Nafion TM). 이온 저항성 엘리먼트가 소결된는 (프릿된) 다공성 유리 또는 세라믹 엘리먼트 경우, 엘리먼트의 두께뿐만 아니라 평균 및 최대 포어 사이즈는 이온 저항성 엘리먼트를 통한 플로우에 대한 저항을 결정한다. 일반적으로, (멤브레인, 필터, 소결된/프릿된 유리 엘리먼트, 다공성 세라믹 엘리먼트, CIRP, 등으로 구현되든) 이온 저항성 엘리먼트를 통한 플로우에 대한 저항은 인치당 표면적 (㎠) 당 약 100 ml/min 미만의 고정 수압, 보다 일반적으로 약 20 ml/min/㎠/in 미만의 수압, 에컨대 약 5 ml/min/㎠/in의 수압을 가능하게 한다.
에지 플로우 엘리먼트
많은 구현예들에서, 전기도금 결과들은 에지 플로우 엘리먼트 및/또는 플로우 인서트의 사용을 통해 개선될 수도 있다. 일반적으로 말하면, 에지 플로우 엘리먼트는 기판과 기판 홀더 사이의 계면에 인접한 기판의 주변부 근방 플로우 분포에 영향을 준다. 일부 실시예들에서, 에지 플로우 엘리먼트는 CIRP와 통합될 수도 있다. 일부 다른 실시예들에서, 에지 플로우 엘리먼트는 기판 홀더와 통합될 수도 있다. 또 다른 실시예들에서, 에지 플로우 엘리먼트는 CIRP 또는 기판 홀더 상에 설치될 수 있는 별도의 부분일 수도 있다. 에지 플로우 엘리먼트는 특정한 애플리케이션을 위해 목표되는 대로, 기판의 에지 근방 플로우 분포를 튜닝하도록 사용될 수도 있다. 유리하게, 플로우 엘리먼트는 기판의 주변부 근방에서 높은 등급의 교차 플로우를 촉진하여, 보다 균일하고 (기판의 중심으로부터 에지로), 고품질의 전기도금 결과들을 촉진한다. 에지 플로우 엘리먼트는 통상적으로, 적어도 부분적으로 기판 홀더의 내측 에지/기판의 주변부의 방사상 내부에 포지셔닝된다. 일부 경우들에서, 에지 플로우 엘리먼트는, 이하에 더 기술된 바와 같이, 적어도 부분적으로 다른 위치들, 예를 들어 기판 홀더 아래 및/또는 기판 홀더의 방사상 외부에 포지셔닝될 수도 있다. 본 명세서의 다수의 도면들에서, 에지 플로우 엘리먼트는 "플로우 엘리먼트"로 지칭된다.
에지 플로우 엘리먼트는 다양한 재료들로 이루어질 수도 있다. 일부 경우들에서, 에지 플로우 엘리먼트는 CIRP 및/또는 기판 홀더와 동일한 재료로 이루어질 수도 있다. 일반적으로 말하면, 에지 플로우 엘리먼트의 재료는 전기적으로 절연되는 것이 바람직하다.
기판의 주변부 근방에서 교차 플로우를 개선하기 위한 또 다른 방법은 고 레이트의 기판 회전을 사용하는 것이다. 그러나, 고속 기판 회전은 고유한 단점들의 세트를 제시하고, 다양한 실시예들에서 회피될 수도 있다. 예를 들어, 기판이 매우 신속하게 회전하면, 기판 표면에 걸쳐 적절한 교차 플로우의 형성을 방지할 수 있다. 특정한 실시예들에서, 따라서, 기판은 약 50 내지 300 RPM, 예를 들어 약 100 내지 200 RPM의 레이트로 회전될 수도 있다. 유사하게, 기판 주변부 근방의 교차 플로우는 CIRP와 기판 사이에 상대적으로 작은 갭을 사용함으로써 촉진될 수 있다. 그러나, 보다 작은 CIRP-기판 갭들이 보다 센서티브하고 프로세스 변수들에 대해 보다 타이트한 오차 범위들을 갖는 전기도금 프로세스들을 발생시킨다.
도 13a는 에지 플로우 엘리먼트가 없이 전기도금된 패터닝된 기판들에 대한 범프 높이 대 기판 상의 방사상 위치를 도시하는 실험 결과들을 제시한다. 도 13b는 도 13a와 관련하여 기술된 패터닝된 기판들에 대한 다이-내 불균일도 대 기판 상의 방사상 위치를 도시하는 실험 결과들을 제시한다. 특히, 범프 높이는 기판의 에지를 향해 감소된다. 이론이나 작용 메커니즘에 매이지 않고, 이 낮은 범프 높이는 기판 주변부 근방에서 상대적으로 저 전해질 플로우의 결과라고 여겨진다. 기판-기판 홀더 계면 근방에서 불량한 대류 조건들은 감소된 도금 레이트를 야기하는, 보다 낮은 국부적인 금속 농도를 야기한다. 또한, 포토레지스트는 종종 기판의 에지 근방에서 보다 두껍고, 이 증가된 포토레지스트 두께는 적절한 대류를 달성하기 어려운 보다 깊은 피처들을 야기하고, 따라서 기판의 에지에서 보다 낮은 도금 레이트를 야기한다. 도 13b에 도시된 바와 같이, 이 기판의 에지 근방에서 감소하는 도금 레이트/감소된 범프 높이는 다이-내 불균일도 상승에 대응한다. 다이-내 불균일도는 ((다이 내 최대 범프 높이)-(다이 내 최소 범프 높이))/(2*다이 내 평균 범프 높이) 로 계산된다.
도 14a는 장치 유출부 측에서 기판 (1400) 주변부 근방의 전기도금 장치의 구조를 도시한다. 화살표들로 나타낸 바와 같이, 전해질은 CIRP (1404) 위로 그리고 기판 (1400) 아래로, 그리고 기판 홀더 (1406) 아래 밖으로 흐름으로써, 교차 플로우 매니폴드 (1402) 를 나간다. 이 예에서, CIRP (1404) 는 기판 (1400) 아래 놓이는 실질적으로 편평한 부분을 갖는다. 이 영역의 에지에서, 기판 (1400) 과 기판 홀더 (1406) 사이의 계면 근방에서, CIRP (1404) 는 하향으로 기울어지고, 이어서 다시 평탄해진다. 도 14b는 도 14a에 도시된 영역에서 기판 (1400) 과 CIRP (1404) 사이의 플로우 분포와 관련된 모델링 결과들을 나타내는 그래프를 도시한다.
모델링 결과들은 기판의 표면으로부터 0.25 ㎜ 위치에서 예측된 전단 속도를 도시한다. 특히, 전단 플로우는 기판의 에지 근방에서 급격하게 감소한다.
도 15는 범프 높이 대 기판 상의 방사상 위치에 관련된 실험 결과들 및 전단 플로우 대 기판 상 (전해질 유출부 상) 방사상 위치를 도시하는 모델링 결과들을 도시한다. 이 예에서, 기판은 도금 동안 회전하지 않는다. 실험 범프 높이 결과들은 전단 속도가 낮을수록 낮은 에지 범프 높이에서 역할을 하려는 것을 나타내는, 예측된 전단 속도와 같은 트렌드를 따른다.
도 16a는 다이-내 불균일도 대 기판 상의 방사상 위치를 나타내는 실험 결과들을 도시한다. 도 16b는 포토레지스트의 두께 대 기판 상의 방사상 위치를 나타내는 실험 결과들을 도시한다. 도 16a 및 도 16b는 함께, 기판의 에지 근방에서 확인되는 보다 높은 레지스트 두께 및 불균일도와 함께 포토레지스트 두께와 다이-내 불균일도 사이에 강한 상관이 있다는 것을 암시한다.
도 17a는 에지 플로우 엘리먼트 (1710) 가 내부에 설치된 전기도금 셀의 단면도를 예시한다. 에지 플로우 엘리먼트 (1710) 는 기판 (1700) 의 에지 아래에, 기판 (1700) 과 기판 홀더 (1706) 사이의 계면에 인접하게 위치된다. 이 예에서, CIRP (1704) 는 기판 (1700) 과 거의 동일 공간에 있는 상승된 플래토 (plateau) 영역을 포함하도록 성형된다. 특정한 실시예들에서, 에지 플로우 엘리먼트 (1710) 는 전체적으로 또는 부분적으로 CIRP (1704) 의 상승된 부분 방사상 외부에 위치된다. 에지 플로우 엘리먼트 (1710) 는 또한 전체적으로 또는 부분적으로 CIRP (1704) 의 상승된 부분 상에 포지셔닝될 수도 있다. 전해질은 화살표들로 나타낸 바와 같이 교차 플로우 매니폴드 (1702) 를 통해 흐른다. 플로우 전환기 (1708) 는 전해질이 흐르는 경로를 성형하는 것을 돕는다. 플로우 전환기 (1708) 는 기판의 표면을 가로질러 교차 플로우를 촉진하도록 유출부 측과 비교하여 (교차 플로우가 시작되는) 유입부 측에서 상이하게 성형된다.
도 17a에 도시된 바와 같이, 전해질은 전기도금 셀의 유입부 측 상의 교차 플로우 매니폴드 (1702) 로 들어간다. 전해질은 에지 플로우 엘리먼트 (1710) 둘레, 교차 플로우 매니폴드 (1702) 를 통해, 두번째로 에지 플로우 엘리먼트 (1710) 둘레, 그리고 유출부를 통해 밖으로 흐른다. 상기 언급된 바와 같이, 전해질은 또한 CIRP (1704) 의 홀들을 통해 상향으로 이동함으로써 교차 플로우 매니폴드 (1702) 로 들어간다. 에지 플로우 엘리먼트 (1710) 의 일 목적은 기판 (1700) 과 기판 홀더 (1706) 사이의 계면에서 대류를 증가시키는 것이다. 이 계면은 도 17b에 보다 상세히 도시된다. 에지 플로우 엘리먼트 (1710) 를 사용하지 않고, 점선 원으로 도시된 영역에서 대류는 바람직하지 않게 낮다. 에지 플로우 엘리먼트 (1710) 는 점선으로 도시된 영역 내에서 보다 큰 대류를 촉진하는, 기판 (1700) 의 에지 근방의 전해질 플로우 경로에 영향을 준다. 이는 기판 에지 근방에서 저 대류 레이트 및 저 도금 레이트를 극복하는 것을 돕는다. 이는 또한, 도 16a 및 도 16b와 관련하여 설명된 바와 같이, 포토레지스트/피처 높이 차로 인해 발생하는 차들을 방지하는 것을 도울 수도 있다.
특정한 실시예들에서, 에지 플로우 엘리먼트 (1710) 는, 교차 플로우 매니폴드 (1702) 내 교차 플로우가 기판 (1700) 과 기판 홀더 (1706) 에 의해 형성된 모서리 내로 보다 유리하게 지향되도록 성형될 수도 있다. 다양한 형상들이 이 목적을 달성하기 위해 사용될 수도 있다.
도 18a 내지 도 18c는 전기도금 셀에 에지 플로우 엘리먼트 (1810) 를 설치하기 위해 사용가능한 3가지 구성들을 도시한다. 다양한 다른 구성들이 또한 사용될 수도 있다. 정확한 구성과 무관하게, 도 18a 내지 도 18c는 에지 플로우 엘리먼트 (1810) 의 일 측면의 단면만을 도시하지만, 에지 플로우 엘리먼트 (1810) 는 많은 경우들에서 링 또는 아치와 같은 형상일 수도 있다. 제 1 구성 (타입 1, 도 18a) 에서, 에지 플로우 엘리먼트 (1810) 는 CIRP (1804) 에 부착된다. 이 예의 에지 플로우 엘리먼트 (1810) 는 전해질이 에지 플로우 엘리먼트 (1810) 와 CIRP (1804) 사이로 흐르게 하는 어떠한 플로우 바이패스도 포함하지 않는다. 이와 같이, 모든 전해질은 에지 플로우 엘리먼트 (1810) 를 걸쳐 흐른다. 제 2 구성 (타입 2, 도 18b) 에서, 에지 플로우 엘리먼트 (1810) 는 CIRP (1804)에 부착되고, 에지 플로우 엘리먼트와 CIRP 사이에 플로우 바이패스를 포함한다. 플로우 바이패스는 에지 플로우 엘리먼트 (1810) 내의 통로들에 의해 형성된다. 이들 통로돌은 일정량의 전해질로 하여금 에지 플로우 엘리먼트 (1810) (에지 플로우 엘리먼트 (1810) 의 상부 모서리와 CIRP (1804) 사이) 를 통해 흐르게 한다. 제 3 구성 (타입 3, 도 18c) 에서, 에지 플로우 엘리먼트 (1810) 는 기판 홀더 (1806) 에 부착된다. 이 예에서, 전해질은 에지 플로우 엘리먼트 (1810) 와 CIRP (1804) 사이로 흐를 수도 있다. 또한, 에지 플로우 엘리먼트 (1810) 내의 통로들은 기판 (1800) 과 기판 홀더 (1806) 사이의 계면의 매우 근방의 에지 플로우 엘리먼트 (1810) 를 통한 전해질의 플로우를 허용한다. 도 18d는 도 18a 내지 도 18c에 도시된 에지 플로우 엘리먼트들의 특징들 일부를 요약한 표를 제시한다.
도 19a 내지 도 19e는 에지 플로우 엘리먼트 (1910) 의 조정기능 (adjustability) 을 달성하는 상이한 방법들에 대한 예들을 제시한다. 일부 실시예들에서, 에지 플로우 엘리먼트 (1910) 는, 고정된 위치에, 예를 들어, CIRP (1904) 상에 설치될 수도 있고, 도 19a에 도시된 바와 같이 고정된 기하학적 구조를 가질 수도 있다. 그러나, 많은 다른 경우들에서, 에지 플로우 엘리먼트가 설치되는/사용되는 방식의 부가적인 유연성이 있을 수도 있다. 예를 들어, 일부 경우들에서 에지 플로우 엘리먼트의 위치/형상은 전기도금 프로세스들 사이에서 (예를 들어, 목표된 대로, 특정한 도금 프로세스를 다른 도금 프로세스들과 비교하여 튜닝하도록) 또는 전기도금 프로세스 내에서 (예를 들어, 단일 도금 프로세스에서 시간에 걸쳐 도금 파라미터들을 튜닝하도록) (수동으로 또는 자동으로) 조정될 수도 있다.
일 예에서, 심들이 에지 플로우 엘리먼트의 위치 (그리고 어느 정도 형상) 를 조정하도록 사용될 수도 있다. 예를 들어, 상이한 애플리케이션들 및 목표된 플로우 패턴들/특성들에 대해 다양한 높이들의 심들과 함께, 일련의 심들이 제공될 수도 있다. 심들은 에지 플로우 엘리먼트의 높이를 상승시켜, 에지 플로우 엘리먼트와 기판/기판 홀더 사이의 거리를 감소시키도록 CIRP와 에지 플로우 엘리먼트 사이에 설치될 수도 있다. 일부 경우들에서, 심들은 방위각적으로 비대칭적인 방식으로 사용될 수도 있어서, 상이한 방위각 위치들에서 상이한 에지 플로우 엘리먼트 높이를 달성한다. 플로우 성형 엘리먼트를 포지셔닝하기 위해 (도 19b 및 도 19c에 엘리먼트 (1912) 로 도시된 바와 같은) 스크루들 또는 다른 기계적 피처들을 사용함으로써 동일한 결과가 달성될 수 있다. 도 19b 및 도 19c는 스크루들 (1912) 이 에지 플로우 엘리먼트 (1910) 의 위치를 제어하도록 사용될 수도 있는 2 개의 실시예들을 예시한다. 심들을 사용함에 따라, (에지 플로우 엘리먼트 (1910) 를 따라 상이한 위치들에 위치된) 스크루들 (1912) 은 에지 플로우 엘리먼트 (1910) 의 방위각적으로 비대칭적 포지셔닝을 발생시키는 방식으로 포지셔닝될 수도 있다 (예를 들어, 상이한 높이들로 스크루들 (1912) 을 포지셔닝함으로써). 도 19b 및 도 19c 각각에서, 에지 플로우 엘리먼트 (1910) 는 2 개의 상이한 위치들에서 도시된다. 도 19b에서, 에지 플로우 엘리먼트는 피봇 지점을 중심으로 회전함으로써 2 (이상) 개의 위치들 사이에서 변화된다. 도 19c에서, 에지 플로우 엘리먼트는 선형 방식으로 에지 플로우 엘리먼트를 이동시킴으로써 2 (이상) 개의 위치들 사이에서 변화된다. 부가적인 스크루들 또는 다른 포지셔닝 메커니즘들이 추가 지지를 위해 제공될 수도 있다.
일부 구현예들에서, 에지 플로우 엘리먼트 (1910) 의 위치 및/또는 형상은 도금 프로세스 동안 동적으로, 예를 들어 전기 또는 공압식 액추에이터들을 사용하여 조정될 수도 있다. 도 19d 및 도 19e는 심지어 전기도금 프로세스 동안 회전 액추에이터 (1913) (도 19d) 또는 선형 액추에이터 (1915) (도 19e) 를 사용하여 에지 플로우 엘리먼트 (1910) 가 동적으로 이동될 수 있는 실시예들을 제시한다. 이러한 조정들은 시간에 따른 전해질 플로우의 정밀한 제어를 허용하여, 높은 정도의 튜닝기능을 허용하고 고 품질 도금 결과들을 촉진한다.
다시 도 18d를 참조하면, 도 18a 및 도 18b에 각각 도시된 제 1 구성 및 제 2 구성은 에지 플로우 엘리먼트 (1810) 가 CIRP (1804) 에 부착되기 때문에, (통상적으로 도금 동안 회전하지 않음) 에지 플로우 엘리먼트 (1810) 로 하여금 방위각적으로 비대칭되게 하는 것이다. 비대칭성은 전기도금 셀의 유입부 측 근방에 포지셔닝된 에지 플로우 엘리먼트 (1810) 부분들 대 다른 곳, 예를 들어 전기도금 셀의 유출부 근방에 포지셔닝된 에지 플로우 엘리먼트의 부분들 간의 형상 차이들에 관련될 수도 있다. 이러한 방위각적 비대칭들은 전기도금 동안 기판 표면에 걸쳐 전해질이 교차 플로우하는 방식으로 인해 일어나는 불균일도들을 방지하도록 사용될 수도 있다. 이러한 비대칭은 에지 플로우 엘리먼트 (1810) 의 형상의 다수의 특징들, 예를 들어 높이, 폭, 에지들의 둥글기 (roundness)/날카로움 (sharpness), 플로우 바이패스 통로들의 존재, 수직 위치, 수평/방사상 위치, 등의 차이들에 관련될 수도 있다. 기판 홀더 (1806) 상에 설치되는 도 18c에 도시된 제 3 구성이 또한 방위각적으로 비대칭일 수도 있다. 그러나, 많은 실시예들에서 전기도금 동안 기판 (1800) 및 기판 홀더 (1806) 가 회전하기 때문에, 에지 플로우 엘리먼트 (1810) 의 모든 비대칭은 전기도금 동안 에지 플로우 엘리먼트 (1810) 가 기판 (1800) 과 함께 회전한다는 사실로 인해 평균될 수 있다 (적어도 도 18c의 실시예에서와 같이, 에지 플로우 엘리먼트가 기판 홀더 (1806) 에 부착되는 경우들에서). 이와 같이, 이는 일반적으로 에지 플로우 엘리먼트가 기판 홀더에 부착되고, 기판 홀더와 함께 회전할 때 방위각적으로 비대칭적인 에지 플로우 엘리먼트를 갖는 것이 유리하지 않다. 이러한 이유로, 도 18d는 제 3 구성에 대한 방위각적 비대칭과 관련하여 "X*"를 열거한다. 기술된 모든 구성들이 본 실시예들의 범위 내로 간주된다.
도 20a 내지 도 20c는 에지 플로우 엘리먼트 (2010) 가 방위각적으로 비대칭일 수도 있는 다수의 방식들을 예시한다. 도 20a 내지 도 20c는 전기도금 셀 내, 예를 들어 CIRP (2004) 상에 포지셔닝된 에지 플로우 엘리먼트 (2010) 의 상면도를 도시한다. 상기 논의된 바와 같이 다른 부착 방법들이 또한 사용될 수도 있다. 예 각각에서, 에지 플로우 엘리먼트 (2010) 의 단면 형상이 도시된다. 도 20a에서, 에지 플로우 엘리먼트 (2010) 는 방위각적으로 대칭이고, 기판의 전체 주변부 둘레로 연장한다. 여기서, 에지 플로우 엘리먼트 (2010) 는 삼각형 단면을 갖고, 가장 높은 부분은 에지 플로우 엘리먼트 (2010) 의 내부 에지를 향해 포지셔닝된다. 도 20b에서, 에지 플로우 엘리먼트는 방위각적으로 비대칭이고, 에지 플로우 엘리먼트 (2010) 의 전체 주변부 둘레로 연장한다. 여기서, 에지 플로우 엘리먼트가 전해질 유입부 근방에서 제 1 단면 형상 (예를 들어, 삼각형), 그리고 전해질 유출부 (유입부 반대편에 포지셔닝됨) 근방에서 제 2 단면 형상 (예를 들어, 라운딩된 필라) 을 갖기 때문에, 방위각적 비대칭이 발생한다.
유사한 실시예들에서, 임의의 조합의 단면 형상들이 사용될 수도 있다. 일반적으로 말하면, 단면 형상들은 이로 제한되지만, 삼각형, 사각형, 직사각형, 원형, 타원형, 라운딩된, 커브된, 포인팅된, 사다리꼴, 골판지 (corrugated), 모래시계 형상, 등을 포함하는 임의의 형상일 수도 있다. 통로들을 통한 플로우는 에지 플로우 엘리먼트 (2010) 자체를 통해 제공될 수도 있고 또는 제공되지 않을 수도 있다. 또 다른 유사한 실시예에서, 단면 형상들은 유사할 수도 있지만, 주변부 둘레에서 사이즈들을 가변하여, 방위각적 비대칭을 도입한다. 유사하게, 단면 형상들은 기판/기판 홀더 및/또는 CIRP (2004) 에 대해 상이한 수직적 위치 및/또는 수평적 위치에 포지셔닝되지만, 유사하거나 동일할 수도 있다. 상이한 단면 형상들로의 전이는 갑작스러울 수도 있고 또는 점진적일 수도 있다. 도 20c에서, 에지 플로우 엘리먼트 (2010) 는 특정한 방위각적 위치들에만 존재한다. 여기서, 에지 플로우 엘리먼트 (2010) 는 도금 셀의 다운스트림 (유출부) 측 상에만 존재한다. 유사한 실시예에서, 에지 플로우 엘리먼트는 도금 셀의 업스트림 (유입부) 측 상에만 존재할 수도 있다. 방위각적으로 비대칭인 에지 플로우 엘리먼트들은 교차 플로우 전해질의 결과로서 일어날 수도 있는 모든 비대칭들을 극복하도록 전기도금 결과들을 튜닝하는데 특히 유리할 수도 있다. 이는 균일하고, 고 품질의 도금 결과들을 촉진하는 것을 돕는다. 자명한 바와 같이, 방위각 비대칭은 에지 플로우 엘리먼트 형상, 치수들 (예를 들어, 높이 및/또는 폭), 기판 에지에 대한 위치, 바이패스 영역 존재 또는 구성, 등의 방위각 변동들로부터 발생할 수도 있다.
도 20c에 대해, 특정한 실시예들에서 아치-형상 에지 플로우 엘리먼트 (2010) 는 기판의 주변부에 인접하여 적어도 약 60 °, 적어도 약 90 °, 적어도 약 120 °, 적어도 약 150 °, 적어도 약 180 °, 적어도 약 210 °, 적어도 약 240 °, 적어도 약 270 °, 또는 적어도 약 300 ° 연장할 수도 있다. 이들 또는 다른 실시예들에서, 아치-형상 에지 플로우 엘리먼트는 약 90 ° 이하, 약 120 ° 이하, 약 150 ° 이하, 약 180 ° 이하, 약 210 ° 이하, 약 240 ° 이하, 약 270 ° 이하, 약 300 ° 이하, 또는 약 330 °이하로 연장할 수도 있다. 아치의 중심은 유입부 영역, 유출부 영역 (유입부 영역 반대편) 에 인접하거나, 유입부/유출부 영역들로부터 오프셋된 일부 다른 위치에 포지셔닝될 수도 있다. 특정한 다른 실시예들에서, 방위각 비대칭들이 사용되면, 이 문단에 기술된 아치 형상들은 이러한 비대칭을 나타내는 영역의 사이즈에 대응할 수도 있다. 예를 들어, 링-형상 에지 플로우 엘리먼트는 예를 들어, 도 22를 참조하여 설명된 (이하에 더 기술된) 바와 같이, 에지 플로우 엘리먼트를 따라 상이한 위치들에 설치된 상이한 심 높이들을 갖는 결과로서 방위각 비대칭을 가질 수도 있다. 일부 이러한 실시예들에서, 상대적으로 보다 두껍거나 보다 얇은 심들 (따라서 설치 후에, 각각 상대적으로 보다 크거나 보다 짧은 에지 플로우 엘리먼트를 발생시키는) 을 갖는 영역은 상기 기술된 임의의 최소 치수 및/또는 최대 치수를 갖는 아치에 걸칠 수도 있다. 일 예에서, 상대적으로 보다 큰 심들을 갖는 영역은 적어도 약 60 °, 그리고 약 150 ° 이하에 걸친다. 열거된 아치 치수들의 임의의 조합이 사용될 수도 있고, 방위각 비대칭은 본 명세서에 기술된 임의의 비대칭 타입으로 나타날 수도 있다.
도 21은 내부에 에지 플로우 엘리먼트 (2110) 가 설치된 전기도금 셀의 단면도를 도시한다. 이 예에서, 에지 플로우 엘리먼트 (2110) 는 CIRP (2104) 의 상승된 플래토 부분의 방사상 외부에 포지셔닝된다. 에지 플로우 엘리먼트 (2110) 의 형상은 유입부 근방의 전해질로 하여금 교차 플로우 매니폴드 (2102) 에 도달하기 위해 기울어져 상향으로 이동되게 하고, 유사하게, 유출부 근방 전해질로 하여금 교차 플로우 매니폴드 (2102) 를 나가기 위해 기울어져 하향으로 이동하게 한다. 도 19a 내지 도 19e에 도시된 바와 같이, 에지 플로우 엘리먼트의 최상부 부분은 CIRP의 상승된 부분의 평면 위로 연장할 수도 있다. 다른 경우들에서, 에지 플로우 엘리먼트의 최상부 부분은 CIRP (2104) 의 상승된 부분과 같은 높이일 수도 있다. 일부 경우들에서, 에지 플로우 엘리먼트의 위치는 본 명세서의 다른 곳에서 기술된 바와 같이 조정가능하다. 에지 플로우 엘리먼트 (2110) 의 형상 및 위치는 기판 (2100) 과 기판 홀더 (2106) 사이에 형성된 모서리 근방에서 보다 높은 정도의 교차 플로우를 촉진할 수도 있다.
도 22a는 CIRP (2204) 및 에지 플로우 엘리먼트 (2210) 의 단면도를 예시한다. 이 예에서, 에지 플로우 엘리먼트 (2210) 는 CIRP (2204) 의 홈부 (2216) 내로 피팅하는 이동식 부분이다. 도 22b는 도 22a에 도시된 에지 플로우 엘리먼트 (2210) 및 CIRP (2204) 의 부가적인 도면을 제공한다. 이 실시예에서, 에지 플로우 엘리먼트 (2210) 는 최대 12 개의 스크루들을 사용하여 CIRP (2204) 상의 제자리에 홀딩되고, 12 개의 스크루들은 에지 플로우 엘리먼트 (2210) 의 높이/위치를 튜닝하기 위한 12 개의 개별 위치들을 제공한다. 유사한 실시예들에서, 임의의 수의 스크루들/조정/부착 지점들이 사용될 수도 있다. CIRP (2204) 는 제 2 홈부 (2217) 를 포함할 수도 있고, 제 2 홈부는 전해질이 교차 플로우 매니폴드로부터 나오는 유출부를 제공할 수도 있어, 교차 플로우 전해질을 촉진한다. 에지 플로우 엘리먼트 (2210) 는 일련의 스크루들 (도 22a 및 도 22b에는 미도시) 을 사용하여 CIRP (2204) 의 홈부 (2216) 내로 고정된다.
도 22c는 전해질이 교차 플로우 매니폴드를 나감에 따라 교차 플로우의 x-방향 속도와 관련된 모델링 결과들을 제공한다. 에지 플로우 엘리먼트 (2210) 둘레의 개별 위치들에서 에지 플로우 엘리먼트 (2210) 의 높이를 조정하도록 일련의 심들 (2218) (이 예에서, 에지 플로우 엘리먼트 (2210) 를 CIRP (2204) 의 홈부 (2216) 내로 고정하는 스크루들 (2212) 둘레에 피팅하는 심 워셔들) 이 사용될 수도 있다는 것이 또한, 도 22c에 도시된다. 심의 높이는 H로 라벨링된다. 이들 높이들은 에지 플로우 엘리먼트 (2210) 의 상단부와 기판 (미도시) 사이의 방위각적으로 비대칭 거리를 달성하도록 독립적으로 조정될 수도 있다. 이 예에서, 검정색 원으로 도시된 바와 같이, 에지 플로우 엘리먼트 (2210) 는 에지 플로우 엘리먼트 (2210) 의 내측 에지가 CIRP (2204) 의 상승된 부분 위인 높이/위치로 연장하도록 포지셔닝된다.
일부 실시예들에서, 에지 플로우 엘리먼트의 최상부 부분과 CIRP의 최상부 부분 사이의 수직 거리는 약 0 내지 5 ㎜, 예를 들어 약 0 내지 1 ㎜일 수도 있다. 이들 또는 다른 경우들에서, 이 거리는 에지 플로우 엘리먼트 상의 하나 이상의 위치들에서 적어도 약 0.1 ㎜, 또는 적어도 약 0.25 ㎜일 수도 있다. 에지 플로우 엘리먼트의 최상부 부분과 기판 사이의 수직 거리는 약 0.5 내지 5 ㎜, 일부 경우들에서 약 1 내지 2 ㎜일 수도 있다. 다양한 실시예들에서, 에지 플로우 엘리먼트의 최상부 부분과 CIRP의 최상부 부분 간의 거리는 CIRP의 상승된 부분과 기판 표면 간의 거리의 약 10 내지 90 %, 일부 경우들에서 약 25 내지 50 %이다. 이 문단에 참조된 "CIRP의 최상부 부분"은 (예를 들어, 에지 플로우 엘리먼트가 CIRP와 통합된 경우) 에지 플로우 엘리먼트 자체는 배제한다. 통상적으로, CIRP의 최상부 부분은 CIRP의 상부 표면이고, 교차 플로우 매니폴드에서 기판 반대편에 위치된다. 다양한 실시예들에서, 도 21에 도시된 바와 같이, CIRP는 상승된 플래토 부분을 포함한다. 이러한 실시예들에서 "CIRP의 최상부 부분"은 CIRP의 상승된 플래토 부분이다. CIRP가 상부에 일련의 돌기들을 포함하는 실시예들에서, 돌기들의 상단부는 "CIRP의 최상부 부분"에 대응한다. 기판 바로 아래의 CIRP의 영역들만이 CIRP의 최상부 부분을 결정할 때 고려된다.
도 22c의 실시예를 다시 참조하면, 심들 (2218) 이 없는 (또는 적절히 박형의 심들 (2218)), 에지 플로우 엘리먼트 (2210) 의 상단부는 CIRP (2204) 의 상승된 부분과 거의 같은 공간에 걸칠 수도 있다. 일 특정한 실시예에서, 에지 플로우 엘리먼트 (2210) 가 도 22c에 도시되고, 심들 (2218) 은, 전기도금 셀의 유입부 측 근방에서, 에지 플로우 엘리먼트 (2210) 의 상단부가 CIRP (2204) 의 상승된 부분 (예를 들어, 심들이 없는, 심들이 적은, 그리고/또는 보다 짧은 심들이 유입부 근방에 제공됨) 의 상승된 부분과 거의 같은 공간에 걸치거나 상승된 부분 아래이고, 그리고 전기도금 셀의 유출부 측 근방에서, 에지 플로우 엘리먼트 (2210) 의 상단부가 CIRP (2204) 의 상승된 부분 (예를 들어, 유입부와 비교하여 유출부 근방에 보다 많은 심들 및/또는 보다 두꺼운 심들이 제공됨) 의 방사상으로 외부이지만 위에 있도록, 방위각적으로 비대칭적인 방식으로 제공된다.
특히, 기판 (2200) 과 기판 홀더 (2206) 사이에 형성된 모서리의 플로우는 다소 낮지만, 에지 플로우 엘리먼트 (2210) 가 제공되지 않는 경우와 비교하여 개선되었다.
도 22d는 도 22c에 도시된 설정을 사용하여 몇몇 상이한 심 두께들에 대한 기판 근방 교차 플로우 (즉, 수평 방향의 플로우) 의 x-방향 속도 대 기판 상 방사상 위치를 도시하는 모델링 결과들을 도시한다. 심의 높이는 기판의 에지 근방의 교차 플로우의 속도에 강한 영향을 갖는다. 일반적으로 말하면, 심이 보다 두꺼울수록, 기판의 에지 근방에서 교차 플로우 속도가 보다 높다. 기판의 주변부 근방에서 교차 플로우의 이러한 상승은 기판 에지 근방에서 통상적으로 달성되는 저 도금 레이트를 보상할 수도 있다 (예를 들어, 상기 기술된 바와 같이, 장치 기하학적 구조 및/또는 포토레지스트 두께의 결과로서). 이들 차이는 관련된 위치들에서 심들의 높이를 단순히 변화시킴으로써 에지 플로우 프로파일의 변조/튜닝기능을 허용한다.
특정한 실시예들에서, 에지 플로우 엘리먼트는 (외측 반경과 내측 반경 사이의 차로서 측정된) 약 0.1 내지 50 ㎜의 폭을 갖는다. 일부 이러한 경우들에서, 이 폭은 적어도 약 0.01 ㎜ 또는 적어도 약 0.25 ㎜이다. 통상적으로, 이 폭의 적어도 일부는 기판 홀더의 내측 에지의 방사상 내부에 위치된다. 에지 플로우 엘리먼트의 높이는 전기도금 장치의 남아 있는 부분들의 기하학적 구조, 예를 들어 교차 플로우 매니폴드의 높이에 상당 부분 의존한다. 또한, 에지 플로우 엘리먼트의 높이는, 이 엘리먼트가 전기도금 장치에 설치되는 방법, 및 장비의 다른 부분들과 이루어진 조절들 (accommodations) (예를 들어, CIRP 내로 머시닝된 홈부들) 에 의존한다. 특정한 구현예들에서, 에지 플로우 엘리먼트는 약 0.1 내지 5 ㎜, 또는 약 1 내지 2 ㎜인 높이를 가질 수도 있다. 심들이 사용되면, 다양한 두께들로 제공될 수 있다. 이들 두께들은 또한 도금 장치의 기하학적 구조 및 내부에 에지 플로우 엘리먼트를 고정하기 위한 장치의 다른 부분 또는 CIRP에서 이루어진 조절들에 의존한다. 예를 들어, 도 22a 및 도 22b에 도시된 바와 같이, 에지 플로우 엘리먼트가 CIRP의 홈부들 내에 피팅되면, CIRP의 홈부가 상대적으로 보다 깊다면 상대적으로 보다 두꺼운 심들이 필요할 수도 있다. 일부 실시예들에서, 심들은 약 0.25 내지 4 ㎜, 또는 약 0.5 내지 1.5 ㎜의 두께들을 가질 수도 있다.
위치의 면에서, 에지 플로우 엘리먼트는 통상적으로 에지 플로우 엘리먼트의 적어도 일부가 기판 지지부의 내측 에지의 방사상으로 내부이도록 포지셔닝된다. 많은 경우들에서, 이는 에지 플로우 엘리먼트는 에지 플로우 엘리먼트의 적어도 일부가 기판 자체의 에지의 방사상 내부이도록 포지셔닝된다는 것을 의미한다. 에지 플로우 엘리먼트가 기판 지지부의 내측 에지로부터 내측으로 연장하는 수평 거리는 특정한 실시예들에서 적어도 약 1 ㎜, 또는 적어도 약 5 ㎜, 또는 적어도 약 10 ㎜, 또는 적어도 약 20 ㎜일 수도 있다. 일부 실시예들에서, 이 거리는 약 30 ㎜ 이하, 예를 들어 약 20 ㎜ 이하, 약 10 ㎜ 이하, 또는 약 2 ㎜ 이하이다. 이들 또는 다른 실시예들에서, 에지 플로우 엘리먼트가 기판 지지부의 내측 에지로부터 방사상 외측으로 연장하는 수평 거리는 적어도 약 1 ㎜, 또는 적어도 약 10 ㎜일 수도 있다. 일반적으로, 에지 플로우 엘리먼트가 전기도금 장치 내에 피팅할 수 있는 한, 에지 플로우 엘리먼트가 기판 지지부의 내측 에지로부터 방사상 외측으로 연장하는 거리에 대한 상한은 없다.
도 23a는 램프-형상을 갖는 에지 플로우 엘리먼트가 사용되는 전해질 플로우에 대한 모델링 결과들을 도시한다. 도 23a에서, 쉐이딩된 (shaded) 영역은 전해질이 통과하는 영역과 관련된다. 상이한 쉐이딩들은 전해질이 흐르는 레이트를 나타낸다. 쉐이딩된 영역 위의 백색 공간은 기판 및 (예를 들어 도 22c에 라벨링된 바와 같은) 기판 홀더에 대응한다. 쉐이딩된 영역 아래의 백색 공간은 CIRP 및 에지 플로우 엘리먼트에 대응한다. 이 예에서, 에지 플로우 엘리먼트는 CIRP를 갖는 임의의 형상을 가질 수도 있고, 도 23a에 도시된 형상을 갖는 플로우 경로를 발생시킨다. 일부 경우들에서, 에지 플로우 엘리먼트는 단순히 CIRP의 에지일 수도 있다. 도 23a에서, CIRP/에지 플로우 엘리먼트는 함께 기판과 기판 홀더 간의 계면 근방에서 램프 형상을 발생시킨다. 램프는 CIRP의 상승된 부분 위로 연장하는, 도면에 도시된 램프 높이를 갖는다. 램프는 기판의 에지와 기판 홀더 사이의 계면의 방사상 내부에 위치되는 최대 높이를 갖는다. 일부 실시예들에서, 램프 높이는 약 0.25 내지 5 ㎜, 예를 들어 약 0.5 내지 1.5 ㎜일 수도 있다. 램프의 최대 높이와 기판 홀더의 내측 에지 사이의 수평 거리 (도 23a에 "컵으로부터 삽입된 램프"로 라벨링됨) 는 약 1 내지 10 ㎜, 예를 들어 약 2 내지 5 ㎜일 수도 있다. 기판 홀더의 내측 에지와 램프의 시작부 사이의 수평 거리 (도 23a에 "내측 램프 폭"으로 라벨링됨) 는 약 1 내지 30 ㎜, 예를 들어 약 5 내지 10 ㎜일 수도 있다. 램프의 시작부와 램프의 단부 사이의 수평 거리 (도 23a에서 "총 램프 폭"으로 라벨링됨) 는 약 5 내지 50 ㎜, 예를 들어 약 10 내지 20 ㎜일 수도 있다. 램프의 내측 에지 상에서 램프가 상승하는 평균 각도는 약 10 내지 80 도일 수도 있다. 램프의 외측 에지 상에서 램프가 하강하는 평균 각도는 약 10 내지 80 도, 예를 들어 약 40 내지 50 도일 수도 있다. 램프의 상단부는 예각일 수도 있고, 또는 도시된 바와 같이 평활할 수도 있다.
도 23b는 상이한 램프 높이들에 대한 플로우 속도 대 기판 상의 방사상 위치를 예시하는 모델링 결과들을 도시한다. 보다 높은 램프 높이들은 보다 높은 속도 플로우를 발생시킨다. 보다 높은 램프 높이들은 또한 보다 두드러진 압력 강하들과 상관된다.
도 24a는 에지 플로우 엘리먼트의 또 다른 타입과 관련된 모델링 결과들을 도시한다. 이 예에서, (도 23a 중 하나와 같은) 에지 플로우 엘리먼트는, CIRP에 부착하는 별도의 부분일 수도 있고 또는 CIRP와 통합될 수도 있고, 전해질로 하여금 에지 플로우 엘리먼트 내 통로들을 통해 흐르게 하는 플로우 바이패스를 포함한다. 플로우 바이패스 통로의 길이는 "길이"로 라벨링되고, 플로우 바이패스 통로의 높이는 "바이패스 높이"로 라벨링된다. "램프 높이"는 플로우 바이패스 통로의 상단부와 램프의 상단부 사이의 수직 거리를 지칭한다. 특정한 실시예들에서, 플로우 바이패스 통로는 적어도 약 1 ㎜, 또는 적어도 약 5 ㎜의 최소 길이, 및/또는 약 2 ㎜, 또는 약 20 ㎜의 최대 길이를 가질 수도 있다. 플로우 바이패스 통로의 높이는 적어도 약 0.1 ㎜, 또는 적어도 약 4 ㎜일 수도 있다. 이들 또는 다른 경우들에서, 플로우 바이패스 통로의 높이는 약 1 ㎜ 이하, 또는 약 8 ㎜ 이하일 수도 있다. 일부 실시예들에서, 플로우 바이패스 통로의 높이는 CIRP (예를 들어, 존재한다면, CIRP의 상승된 부분) 와 기판 사이의 거리의 약 10 내지 50 %일 수도 있다 (이 거리는 또한 교차 플로우 매니폴드의 높이이다). 유사하게, 램프의 높이는 CIRP와 기판 사이의 거리의 약 10 내지 90 %일 수도 있다. 이는 일부 경우들에서 적어도 약 0.2 ㎜, 또는 적어도 약 4.5 ㎜의 램프 높이에 대응할 수도 있다. 이들 또는 다른 경우들에서, 램프 높이는 약 6 ㎜ 이하, 예를 들어 약 1 ㎜ 이하일 수도 있다.
도 24b는 도 24a에서 라벨링된 파라미터들에 대해 상이한 값들을 사용하여 실행되는 모델링 결과들을 도시한다. 특히, 결과들은 이들 기하학적 구조의 파라미터들이 기판의 에지 근방의 플로우를 튜닝하도록 가변할 수도 있어서, 임의의 미리 결정된 애플리케이션에 대해 목표된 플로우 패턴을 달성한다는 것을 도시한다. 이 그래프에 도시된 상이한 경우들 사이를 구별할 필요는 없다. 대신, 결과들은 많은 상이한 플로우 패턴들이 에지 플로우 엘리먼트의 기하학적 구조를 가변함으로써 달성될 수도 있다는 것을 도시하는 것과 관련된다.
도 25는 기판 (2500) 과 기판 홀더 (2506) 사이에 형성된 모서리에 포지셔닝되는 에지 플로우 엘리먼트 (2510) 에 관련된 플로우 모델링 결과들을 제시한다. 이 예에서, 도시된 바와 같이, 에지 플로우 엘리먼트 (2510) 는 전해질로 하여금 흐르게 하는 플로우 바이패스 통로들을 포함한다. 특히, 전해질은 CIRP (2504) 와 에지 플로우 엘리먼트 (2510) 사이에서, 또한 에지 플로우 엘리먼트 (2510) 와 기판 (2500)/기판 홀더 (2506) 사이에서 흐를 수 있다. 일 예에서, 에지 플로우 엘리먼트는 도 18c와 관련하여 기술된 바와 같이, 기판 홀더에 바로 부착될 수도 있다. 다른 예에서, 에지 플로우 엘리먼트는 도 18b와 관련하여 기술된 바와 같이, CIRP에 바로 부착될 수도 있다.
도 26a 내지 도 26d는 다양한 실시예들에 따른 에지 플로우 인서트들의 몇몇 예들을 도시한다. 에지 플로우 엘리먼트의 일부만이 경우 각각으로 도시된다. 이들 에지 플로우 엘리먼트들은 CIRP에 부착함으로써 전기도금 셀 내, 예를 들어 도 22a와 관련하여 기술된 바와 같은 홈부 내에 설치될 수도 있다. 도 26a 내지 도 26d에 도시된 에지 플로우 엘리먼트들은 상이한 높이들, 상이한 플로우 바이패스 높이들, 상이한 각도들, 상이한 방위각 대칭도/비대칭도, 등을 갖도록 제조된다. 도 26a 및 도 26b의 에지 플로우 엘리먼트들에서 용이하게 보일 수 있는 일 타입의 비대칭성은 특정한 방위각 위치들에 있고, 플로우 바이패스 통로들이 존재하지 않고, 전해질은 전기도금 셀을 나가기 위해 이들 위치들에서 에지 플로우 엘리먼트의 최상부 부분의 모든 방식으로 이동해야 한다. 에지 플로우 엘리먼트 상의 다른 위치들에서, 전해질로 하여금 에지 플로우 엘리먼트의 최상부 부분 위 그리고 아래 양자로 흐르게 하는, 플로우 바이패스 통로들이 존재한다. 특정한 실시예들에서, 에지 플로우 엘리먼트는, 도 26a 및 도 26b에 도시된 바와 같이, 상이한 부분들이 상이한 방위각 위치들에서 포지셔닝되는, 플로우 바이패스 통로들을 갖는 부분(들) 및 플로우 바이패스 통로들을 갖지 않는 부분(들)을 포함한다. 에지 플로우 엘리먼트는 플로우 바이패스 통로들을 갖는 부분(들)이 전기도금 셀의 유입부 영역/유출부 영역 중 하나 또는 양자와 정렬되도록 전기도금 장치 내에 설치될 수도 있다. 일부 실시예들에서, 에지 플로우 엘리먼트는 플로우 바이패스 통로들이 결여된 부분(들)이 전기도금 셀의 유입부 영역/유출부 영역 중 하나 또는 양자와 정렬되도록 전기도금 장치 내에 설치될 수도 있다.
에지 플로우 엘리먼트가 방위각적으로 비대칭일 수도 있는 또 다른 방식은 에지 플로우 엘리먼트 상의 상이한 위치들에서 상이한 치수들의 플로우 바이패스 통로들을 제공하는 것이다. 예를 들어, 유입부 및/또는 유출부 근방의 플로우 바이패스 통로들은 유입부 및/또는 유출부로부터 이격된 플로우 바이패스 통로들보다 넓거나 보다 좁을 수도 있고, 또는 보다 크거나 보다 짧을 수도 있다. 유사하게, 유입부 근방의 플로우 바이패스 통로들은 유출부 근방의 플로우 바이패스 통로들보다 넓거나 보다 좁을 수도 있고, 또는 보다 크거나 보다 짧을 수도 있다. 이들 또는 다른 경우들에서, 인접한 플로우 바이패스 통로들 간의 공간은 불균일할 수도 있다. 일부 실시예들에서, 플로우 바이패스 통로들은 함께 (또는 이격되어) 유입부 및/또는 유출부로부터 이격된 영역들과 비교하여, 유입부 및/또는 유출부 영역들 근방에 보다 가까울 수도 있다. 유사하게, 플로우 바이패스 통로들은 유출부 영역과 비교하여 유입부 영역 근방에서 함께 (또는 이격되어) 보다 가까울 수도 있다. 플로우 바이패스 통로들의 형상은 또한, 예를 들어 교차 플로우를 촉진하도록 방위각적으로 비대칭일 수도 있다. 특정한 구현예들에서 이를 달성하는 일 방식은 교차 플로우의 방향과 어느 정도 정렬되는 플로우 바이패스 통로들을 사용하는 것일 수도 있다. 일부 실시예들에서, 에지 플로우 엘리먼트의 높이는 방위각적으로 비대칭이다. 상대적으로 보다 높은 부분들은 일부 실시예들에서 전기도금 장치의 유입부 및/또는 유출부 측과 정렬될 수도 있다. 이 동일한 결과는 방위각적으로 대칭인 높이를 갖고, 가변하는 높이들의 심들을 사용하여 CIRP 상에 설치된, 에지 플로우 엘리먼트를 사용하여 달성될 수 있다.
전해질이 많은 위치들에서 전기도금 셀을 나갈 수도 있다고 이해되는 동안, 전기도금 셀의 "유출부 영역"은 (교차 플로우 전해질이 시작되고, CIRP의 홀들을 통해 교차 플로우 매니폴드로 들어가는 전해질은 고려하지 않는) 유입부 반대편 영역으로 이해된다. 즉, 유입부는 교차 플로우가 실질적으로 시작되는, 업스트림 영역에 대응하고, 유출부는 업스트림 영역에 반대되는 다운스트림 영역에 대응한다.
도 27a 내지 도 27c는 도 28 내지 도 30과 관련하여 기술된 다수의 실험들에 대해 사용된 실험적 설정을 제시한다. 이 일련의 테스트들에서, 에지 플로우 엘리먼트 (2710) 는 상이한 위치들에서 가변하는 높이들의 CIRP (2704) 에 설치된다. 4 개의 상이한 설정들이 사용되고, 도 27a에서 A, B, C, 및 D로 라벨링된다. 가변하는 높이들의 심들은 에지 플로우 엘리먼트 (2710) 를 상이한 높이들에 포지셔닝하도록 사용된다. 도 27a에 도시된 바와 같이, 에지 플로우 엘리먼트 (2710) 는 업스트림 부분 (2710a) (약 9 시 위치와 3 시 위치 사이) 및 다운스트림 부분 (2710b) (약 4 시 위치와 8 시 위치 사이) 로 개념적으로 분할된다. 에지 플로우 엘리먼트 (2710) 의 업스트림 부분 (2710a) 은 교차 플로우 매니폴드로의 유입부과 정렬된다 (예를 들어, 유입부의 중심은 약 12 시 위치에 포지셔닝됨). 테스트된 상이한 설정들이 도 27b의 표에 기술된다. 도 27a에서, CIRP (2710) 는 일반적으로 도면의 하단 부분에 도시된 것보다 훨씬 보다 길고/보다 넓은 것으로 이해될 것이다.
도 27b의 표는 실험적 설정과 관련된 3 개의 갭 높이들을 기술한다. 제 1 갭 높이 (웨이퍼-CIRP 갭) 는 기판 표면과 CIRP의 상승된 부분 사이의 거리에 대응한다. 이는 교차 플로우 매니폴드의 높이이다. 제 2 갭 높이 (업스트림 갭) 는 에지 플로우 엘리먼트의 업스트림 부분에 대한 에지 플로우 엘리먼트의 최상부 부분 사이의 기판 사이의 거리에 대응한다. 유사하게, 제 3 갭 높이 (다운스트림 갭) 는 에지 플로우 엘리먼트의 다운스트림에 대한 에지 플로우 엘리먼트의 최상부 부분과 기판 사이의 거리에 대응한다. 설정 A에서, 업스트림 갭 및 다운스트림 갭은 각각 기판-CIRP 갭과 동일한 사이즈이다. 여기서, 에지 플로우 엘리먼트의 상단부는 CIRP의 상승된 부분과 같은 높이이다. 설정 B에서, 업스트림 갭 및 다운스트림 갭은 동일하고, 양자는 기판-CIRP 갭보다 작다. 이 예에서, 에지 플로우 엘리먼트는 방위각적으로 대칭인 방식으로 CIRP의 상승된 부분보다 높은 위치로 연장한다. 설정 C에서, 업스트림 갭은 기판-CIRP 갭과 동일한 사이즈이지만, 다운스트림 갭은 보다 작다. 이 예에서, 에지 플로우 엘리먼트는 에지 플로우 엘리먼트 상의 업스트림 위치들에서 CIRP의 상승된 부분과 같은 높이이고, 에지 플로우 엘리먼트의 다운스트림 위치들에서 CIRP의 상승된 부분보다 높다. 설정 D는 훨씬 보다 작은 다운스트림 갭을 갖고, 설정 C와 유사하다. 에지 플로우 엘리먼트와 기판 간의 보다 작은 갭들은 에지 플로우 엘리먼트와 CIRP 간의 보다 큰 심들을 사용한 결과이다. 도 27c는 상이한 위치들에서 전해질의 교차 플로우 속도와 관련된 모델링 결과들을 도시한다. 이 도면은 도 27a 및 도 27b와 관련하여 기본적인 실험적 설정의 기하학적 구조를 도시한다.
도 28은 도 27a 내지 도 27c와 관련하여 기술된 설정 A 및 설정 B와 관련된 실험 결과들을 제시한다. 이 실험에 대해, 기판은 전기도금 동안 회전되지 않는다. 도 28의 그래프는 도금된 범프 높이 대 기판 상 방사상 위치를 예시한다. 결과들은 설정 B가 설정 A와 비교하여 기판의 에지 근방의 실질적으로 보다 균일한 범프 높이를 발생시킨다는 것을 나타낸다. 이는 CIRP의 상승된 부분의 평면 위로 에지 플로우 엘리먼트를 상승시키는 것이 도금 균일도에 대한 상당한 이점들을 가질 수 있다는 것을 암시한다.
도 29는 도 27a 내지 도 27c와 관련하여 기술된 설정들 A 내지 D와 관련된 실험 데이터를 제시한다. 그래프는 다이-내 불균일도 대 기판 상 방사상 위치를 예시한다. 보다 낮은 불균일도가 목표된다. 다양한 실시예들에서, 5 % 미만의 다이-내 불균일도를 목표로 할 수도 있다. 설정 D는 최상 (최저 불균일도) 으로 수행된다. 설정 B 및 설정 C는 또한 A 설정보다 우수하게 수행된다. 이와 같이, 상승된 CIRP의 평면 위로, 특히 (반드시 배타적이지 않지만) 에지 플로우 엘리먼트 상의 다운스트림 위치들로, 에지 플로우 엘리먼트를 상승시키는 것이 특히 유리하다고 여겨진다.
도 30은 도 27a 내지 도 27c와 관련하여 기술된 설정들 A 내지 D에 대해 도금된 범프 높이 대 기판 상 방사상 위치를 도시하는 실험적 결과들을 제시한다. 설정 D가, 최저 다이-내 불균일도를 갖는, 가장 균일한 에지 프로파일을 발생시킨다. 도 30에 도시된 "WiD" 값들은 도금 후 기판들 상에서 관찰된 다이-내 두께 불균일도들과 관련된다.
본 명세서에 기술된 구성들 및/또는 접근 방법들은 본질적으로 예시적이고, 이들 구체적인 실시예들 또는 예들은, 다수의 변동들이 가능하기 때문에, 제한적인 것으로 간주되지 않아야 한다는 것이 이해된다. 본 명세서에 기술된 구체적인 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 작용들은 예시된 순서로, 다른 순서로, 병렬로 수행될 수도 있고, 또는 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변경될 수도 있다.
본 개시의 주제는 모든 신규하고 명백하지 않은 조합들 및 다양한 프로세스들, 시스템들 및 구성들의 하위 조합들, 및 다른 특징들, 기능들, 작용들, 및/또는 본 명세서에 개시된 특성들, 뿐만 아니라 이의 임의의 모든 등가물들을 포함한다.
부가적인 예들
교차 플로우 매니폴드 (226) 를 통한 개선된 교차 플로우가 바람직하다는 것을 암시하는 몇몇 관찰들이 본 섹션에 제시된다. 이 섹션 전체에서, 2 개의 기본적인 도금 셀 설계들이 테스트된다. 설계들 양자는, 때때로 채널링된 이온 저항성 플레이트 (206) 의 상단부 상에 교차 플로우 매니폴드 (226) 를 규정하는, 플로우 전환기로서 지칭되는 한정 링 (210) 을 포함한다. 설계는 에지 플로우 엘리먼트를 포함하지 않지만, 목표된 대로, 이러한 엘리먼트는 어떤 설정에 부가될 수도 있다. 때때로 제어 설계 및/또는 TC1 설계로 지칭되는, 제 1 설계는 이 교차 플로우 매니폴드 (226) 에 대한 측면 유입부를 포함하지 않는다. 대신, 제어 설계에서, 교차 플로우 매니폴드 (226) 내로의 모든 플로우는 CIRP (206) 아래에서 시작되고 웨이퍼 상에 충돌하기 전에 기판 면을 가로질러 흐르기 전에, CIRP (206) 의 홀들을 통해 위로 이동한다. 때때로 제 2 설계 및/또는 TC2 설계로 지칭되는, 제 2 설계는 교차 플로우 주입 매니폴드 (222) 및 CIRP (206) 의 채널들 또는 포어를 통과하지 않고 교차 플로우 매니폴드 (226) 내로 바로 유체를 주입하기 위한 모든 연관된 하드웨어를 포함한다 (그러나, 일부 경우들에서, 교차 플로우 주입 매니폴드로 전달된 플로우는 CIRP (206) 주변부 근방의 전용 채널들, 예컨대 CIRP 매니폴드 (208) 로부터 교차 플로우 매니폴드 (226) 로 유체를 지향시키도록 사용된 채널들로부터 분리되고/구별되는 채널들을 통과한다).
도 10a 및 도 10b 내지 도 12a 및 도 12b는 측면 유입부를 갖지 않는 제어 도금 셀 (도 10a, 도 11a, 및 도 12a) 대 교차 플로우 매니폴드로의 측면 유입부를 갖는 제 2 도금 셀 (도 10b, 도 11b, 및 도 12b) 을 사용하여 달성된 플로우 패턴들을 비교한다.
도 10a는 제어 설계 도금 장치의 일부의 상면도를 도시한다. 구체적으로, 도면은 플로우 전환기 (210) 를 갖는 CIRP (206) 를 도시한다. 도 10b는 제 2 도금 장치의 일부의 상면도, 구체적으로 CIRP (206), 플로우 전환기 (210) 및 교차 플로우 주입 매니폴드 (222)/교차 플로우 매니폴드 유입부 (250)/교차 플로우 샤워헤드 (242) 를 도시한다. 도 10a 및 도 10b의 플로우 방향은 일반적으로 플로우 전환기 (210) 상의 유출부 (234) 를 향해, 좌측으로부터 우측이다. 도 10a 및 도 10b에 도시된 설계들은 도 11a 및 도 11b 내지 도 12a 내지 도 12b의 모델링된 설계들에 대응한다.
도 11a는 제어 설계를 위한 교차 플로우 매니폴드 (226) 를 통한 플로우를 도시한다. 이 경우, 교차 플로우 매니폴드 (226) 의 모든 플로우는 CIRP (206) 아래로부터 시작된다. 특정한 지점에서 플로우의 크기는 화살표들의 사이즈로 나타낸다. 도 11a의 제어 설계에서, 플로우 크기는 CIRP (206) 를 통과하는 부가적인 유체로서 교차 플로우 매니폴드 (226) 전체에서 실질적으로 증가하고, 웨이퍼 상에 충돌하고, 교차 플로우와 합쳐진다. 그러나, 도 11b의 현재 설계에서, 이 플로우의 증가는 훨씬 보다 덜 두드러진다. 이 증가는 특정한 양의 유체가 교차 플로우 주입 매니폴드 (222) 및 연관된 하드웨어를 통해 교차 플로우 매니폴드 (226) 내로 바로 전달되기 때문에 크지 않다.
도 12a는 도 10a에 도시된 제어 설계 장치에서 도금된 기판 면에 걸친 수평 속도를 도시한다. 특히, 플로우 속도는 0에서 (플로우 전환기 유출부 반대편 위치에서) 시작되고 유출부 (234) 에 도달할 때까지 상승한다. 유감스럽게도, 웨이퍼의 중심에서 평균 플로우는 제어 실시예들에서 상대적으로 낮다. 결과로서, 채널링된 이온 저항성 플레이트 (206) 의 채널들로부터 방출된 음극액의 제트들은 중심 영역에서 유체역학적으로 우세하다. 웨이퍼의 회전이 방위각적으로 평균된 교차 플로우 경험을 생성하기 때문에 문제는 워크피스의 에지 영역들을 향해 그렇게 표명되지 않는다.
도 12b는 도 10b에 도시된 현재 설계에서 도금된 기판 면에 걸친 수평 속도를 도시한다. 이 경우, 수평 속도는 교차 플로우 주입 매니폴드 (222) 로부터 주입된 유체로 인해 비-제로 값으로 유입부 (250) 에서 측면 유입부 (250) 를 통해 교차 플로우 매니폴드 (226) 내로 시작된다. 또한, 웨이퍼의 중심에서 플로우 레이트는 제어 설계와 비교하여 현재 설계에서 상승되어, 충돌하는 제트들이 그렇지 않으면 지배적일 수도 있는, 웨이퍼의 중심 근방에서 저 교차 플로우 영역을 감소시키거나 제거한다. 따라서, 측면 유입부는 유입부-대-유출부 방향을 따라 교차 플로우 레이트들의 균일도를 실질적으로 개선하고, 보다 균일한 도금 두께를 발생시킬 것이다.
다른 실시예들
상기된 바는 구체적인 실시예들의 완전한 기술이지만, 다양한 수정들, 대안적 구성들 및 등가물들이 사용될 수도 있다. 따라서, 상기 기술 및 예시들은 첨부된 청구항들에 의해 규정된 본 발명의 범위를 제한하는 것으로 간주되어서는 안된다.

Claims (21)

  1. (a) 실질적으로 평면형인 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 전기도금 챔버;
    (b) 전기도금 동안 상기 기판의 도금면이 상기 애노드로부터 분리되도록 상기 실질적으로 평면형인 기판을 홀딩하도록 구성된 기판 홀더;
    (c) 약 10 ㎜ 이하의 갭에 의해 상기 기판의 상기 도금면으로부터 분리되는 기판-대면 표면을 포함하는 이온 저항성 엘리먼트로서, 상기 갭은 상기 이온 저항성 엘리먼트와 상기 기판 사이에 교차 플로우 매니폴드를 형성하고,
    상기 이온 저항성 엘리먼트는 적어도 전기도금 동안 상기 기판의 상기 도금면과 같은 공간을 차지하고, 그리고 상기 이온 저항성 엘리먼트는 전기도금 동안 상기 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 상기 이온 저항성 엘리먼트;
    (d) 전해질을 상기 교차 플로우 매니폴드로 도입하기 위한 상기 교차 플로우 매니폴드로의 측면 유입부;
    (e) 상기 교차 플로우 매니폴드에서 흐르는 전해질을 수용하기 위한 상기 교차 플로우 매니폴드로의 측면 유출부로서,
    측면 유입부 및 상기 측면 유출부는 전기도금 동안 상기 기판의 상기 도금면 상에서 마주보는 주변 위치들에 방위각적으로 인접하게 위치되고, 상기 측면 유입부 및 상기 측면 유출부는 상기 교차 플로우 매니폴드 내에서 교차 플로우 전해질을 생성하도록 구성되는, 상기 측면 유출부; 및
    (f) 상기 측면 유출부 이외에 상기 교차 플로우 매니폴드로 하나 이상의 유출부들을 전체적으로 또는 부분적으로 시일링하기 위한 시일링 부재를 포함하는, 전기도금 장치.
  2. 제 1 항에 있어서,
    상기 이온 저항성 엘리먼트와 상기 기판 홀더 사이의 상기 교차 플로우 매니폴드 주변에 위치된 플로우 한정 엘리먼트를 더 포함하는, 전기도금 장치.
  3. 제 2 항에 있어서,
    상기 기판 홀더의 표면과 상기 플로우 한정 엘리먼트의 표면 사이의 누설 갭을 더 포함하고, 상기 시일링 부재는, 상기 기판 홀더가 상기 플로우 한정 엘리먼트에 충분히 가까울 때 상기 누설 갭을 시일링하도록 구성되는, 전기도금 장치.
  4. 제 3 항에 있어서,
    상기 시일링 부재는 상기 누설 갭의 적어도 약 75 %를 시일링하는, 전기도금 장치.
  5. 제 4 항에 있어서,
    상기 시일링 부재는 상기 누설 갭의 약 100 %를 시일링하는, 전기도금 장치.
  6. 제 2 항에 있어서,
    상기 측면 유출부는 상기 플로우 한정 엘리먼트 내에서 형성되는, 전기도금 장치.
  7. 제 6 항에 있어서,
    상기 측면 유출부는 상기 플로우 한정 엘리먼트 내에 벤팅 (vent) 영역을 포함하고, 상기 벤팅 영역은 상기 기판의 상기 주변부에 인접하게 약 20 내지 120 °에 걸치는 (spanning), 전기도금 장치.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 시일링 부재는 압축성 재료를 포함하는, 전기도금 장치.
  9. 제 8 항에 있어서,
    상기 시일링 부재는 플루오로폴리머 엘라스토머를 포함하는, 전기도금 장치.
  10. 제 9 항에 있어서,
    상기 플루오로폴리머 엘라스토머는 약 65 내지 70 %의 불소를 포함하는, 전기도금 장치.
  11. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 시일링 부재는 상기 기판 홀더에 고정되게 또는 릴리즈되게 (releasably) 부착되는, 전기도금 장치.
  12. 제 2 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 시일링 부재는 상기 플로우 한정 엘리먼트에 고정되게 또는 릴리즈되게 부착되는, 전기도금 장치.
  13. 제 2 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 시일링 부재는 상기 기판 홀더 및 상기 플로우 한정 엘리먼트와 상이한 스카폴드 (scaffold) 에 고정되게 또는 릴리즈되게 부착되는, 전기도금 장치.
  14. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 시일링이 인게이지될 때 상기 장치는 시일링 상태에 있고, 그리고 상기 시일링이 인게이지되지 않을 때 상기 장치는 언시일링된 (unsealed) 상태에 있고, 상기 장치는 전기도금 동안 상기 시일링된 상태와 상기 언시일링된 상태 사이를 간헐적으로 스위칭하기 위한 실행가능 인스트럭션들을 포함하는 제어기를 더 포함하는, 전기도금 장치.
  15. 제 14 항에 있어서,
    상기 제어기는, 상기 장치가 상기 언시일링된 상태에 있는 동안, 상기 기판을 회전시키기 위한 실행가능한 인스트럭션들을 더 포함하는, 전기도금 장치.
  16. 제 15 항에 있어서,
    상기 제어기는, 상기 장치가 시일링된 상태에 있는 동안 상기 기판을 회전시키지 않기 위한 실행가능한 인스트럭션들을 더 포함하는, 전기도금 장치.
  17. 기판을 전기도금하는 방법에 있어서,
    (a) 실질적으로 평면형인 기판을 기판 홀더에 수용하는 단계로서, 상기 기판의 도금면은 노출되고, 그리고 상기 기판 홀더는 전기도금 동안 상기 기판의 상기 도금면이 상기 애노드로부터 분리되도록 상기 기판을 홀딩하도록 구성되는, 상기 기판을 기판 홀더에 수용하는 단계;
    (b) 상기 기판을 전해질에 담그는 단계로서, 약 10 ㎜ 이하의 갭이 상기 기판의 상기 도금면과 이온 저항성 엘리먼트의 상부 표면 사이에 형성되고, 상기 갭은 교차 플로우 매니폴드를 형성하고, 상기 이온 저항성 엘리먼트는 적어도 상기 기판의 상기 도금면과 같은 공간을 차지하고, 그리고 상기 이온 저항성 엘리먼트는 전기도금 동안 상기 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 상기 기판을 전해질에 담그는 단계;
    (c) 상기 기판 홀더의 상기 기판과 콘택트하여 전해질을 흘리는 단계로서,
    (i) 측면 유입부로부터, 상기 교차 플로우 매니폴드 내로, 그리고 측면 유출부 밖으로, 그리고, 선택가능하게, (ii) 상기 이온 저항성 엘리먼트 아래로부터, 상기 이온 저항성 엘리먼트를 통해, 상기 교차 플로우 매니폴드 내로, 그리고 상기 측면 유출부 밖으로, 상기 측면 유입부 및 상기 측면 유출부는 상기 기판의 상기 도금면 상의 반대편 주변 위치들에 방위각적으로 인접하게 위치되고, 상기 측면 유입부 및 상기 측면 유출부는 전기도금 동안 상기 교차 플로우 매니폴드 내에서 교차 플로우 전해질을 생성하도록 설계되거나 구성되고, 그리고 전기도금의 적어도 일부 동안 시일링 부재가 상기 측면 유출부 이외에 상기 교차 플로우 매니폴드에 하나 이상의 유출부들을 전체적으로 또는 부분적으로 시일링하는, 상기 전해질을 흘리는 단계; 및
    (d) 상기 단계 (c) 에서와 같이 상기 전해질을 흘리는 동안 상기 기판의 상기 도금면 상에 재료를 전기도금하는 단계를 포함하는, 기판을 전기도금하는 방법.
  18. 제 17 항에 있어서,
    상기 시일링 부재가 인게이지될 때, 상기 교차 플로우 매니폴드는 시일링된 상태에 있고, 그리고 상기 시일링 부재가 인게이지되지 않을 때, 상기 교차 플로우 매니폴드는 언시일링된 상태에 있고, 상기 단계 (d) 에서 재료를 전기도금하는 단계는,
    (i) 상기 교차 플로우 매니폴드가 언시일링된 상태일 때, 상기 기판을 회전시키는 동안, 재료를 전기도금하는 동작,
    (ii) 상기 교차 플로우 매니폴드를 시일링하기 위해 상기 시일링 부재를 인게이지하는 동안 재료를 전기도금하는 동작,
    (iii) 상기 교차 플로우 매니폴드가 상기 시일링된 상태에 있을 때 상기 기판을 회전상 고정되게 유지하는 동안 재료를 전기도금하는 동작, 및
    (iv) 상기 교차 플로우 매니폴드를 시일링하지 않도록 상기 시일링 부재를 디스인게이지하는 동안 재료를 전기도금하는 동작을 포함하는, 기판을 전기도금하는 방법.
  19. 제 18 항에 있어서,
    상기 단계 (d) 에서 재료를 전기도금하는 상기 동작들 (i) 내지 (iv) 는 상기 기판 상의 전기도금 동안 적어도 3 회 수행되는, 기판을 전기도금하는 방법.
  20. 제 18 항 또는 제 19 항에 있어서,
    상기 교차 플로우 매니폴드는 총 도금 시간의 과반 동안 상기 시일링된 상태인, 기판을 전기도금하는 방법.
  21. 제 17 항에 있어서,
    상기 시일링 부재가 인게이지될 때, 상기 교차 플로우 매니폴드는 시일링된 상태에 있고, 그리고 상기 시일링 부재가 인게이지되지 않을 때, 상기 교차 플로우 매니폴드는 언시일링된 상태에 있고, 상기 단계 (d) 에서 재료를 전기도금하는 단계는,
    (i) 상기 교차 플로우 매니폴드가 상기 시일링된 상태에 있을 때 상기 기판을 회전상 고정되게 유지하는 동안, 상기 기판으로 제 1 전류를 인가하는 동작, 및
    (ii) 상기 교차 플로우 매니폴드가 상기 언시일링된 상태에 있을 때 상기 기판을 회전시키는 동안 (A) 상기 기판으로 전류를 인가하지 않거나 (B) 상기 제 1 전류와 상이한 전류를 인가하는 동작을 포함하는, 기판을 전기도금하는 방법.
KR1020170062053A 2016-05-24 2017-05-19 전기도금 동안 교차 플로우 매니폴드의 동적 변조 KR102383143B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220040703A KR102423978B1 (ko) 2016-05-24 2022-03-31 전기도금 동안 교차 플로우 매니폴드의 동적 변조

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662340736P 2016-05-24 2016-05-24
US62/340,736 2016-05-24
US15/225,716 2016-08-01
US15/225,716 US10364505B2 (en) 2016-05-24 2016-08-01 Dynamic modulation of cross flow manifold during elecroplating

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220040703A Division KR102423978B1 (ko) 2016-05-24 2022-03-31 전기도금 동안 교차 플로우 매니폴드의 동적 변조

Publications (2)

Publication Number Publication Date
KR20170132672A true KR20170132672A (ko) 2017-12-04
KR102383143B1 KR102383143B1 (ko) 2022-04-04

Family

ID=60421000

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170062053A KR102383143B1 (ko) 2016-05-24 2017-05-19 전기도금 동안 교차 플로우 매니폴드의 동적 변조
KR1020220040703A KR102423978B1 (ko) 2016-05-24 2022-03-31 전기도금 동안 교차 플로우 매니폴드의 동적 변조

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220040703A KR102423978B1 (ko) 2016-05-24 2022-03-31 전기도금 동안 교차 플로우 매니폴드의 동적 변조

Country Status (5)

Country Link
US (2) US10364505B2 (ko)
KR (2) KR102383143B1 (ko)
CN (2) CN107419312B (ko)
SG (1) SG10201704179YA (ko)
TW (1) TWI729136B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US10612151B2 (en) * 2018-02-28 2020-04-07 Lam Research Corporation Flow assisted dynamic seal for high-convection, continuous-rotation plating
US10655240B2 (en) * 2018-05-01 2020-05-19 Lam Research Corporation Removing bubbles from plating cells
US10760178B2 (en) 2018-07-12 2020-09-01 Lam Research Corporation Method and apparatus for synchronized pressure regulation of separated anode chamber
TWI700401B (zh) 2018-08-21 2020-08-01 財團法人工業技術研究院 待電鍍的面板、使用其之電鍍製程、及以其製造之晶片
KR20210081441A (ko) * 2018-11-19 2021-07-01 램 리써치 코포레이션 고대류 (high convection) 도금 셀들에서 거품을 방지하기 위한 교차 플로우 (cross flow) 도관
CN114502778A (zh) * 2019-10-04 2022-05-13 朗姆研究公司 用于防止唇形密封件镀出的晶片屏蔽
PT3910095T (pt) * 2020-05-11 2022-04-14 Semsysco Gmbh Sistema de distribuição de um fluido de processo para tratamento superficial químico e/ou electrolítico de um substrato rotativo
CN115803481A (zh) * 2021-06-21 2023-03-14 朗姆研究公司 用于管芯级电沉积厚度分布控制的微惰性阳极阵列
JP7098089B1 (ja) * 2022-02-07 2022-07-08 株式会社荏原製作所 めっき装置
TW202346659A (zh) * 2022-03-01 2023-12-01 美商蘭姆研究公司 電沉積工具中的間隙特徵化

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6022465A (en) * 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US20140183049A1 (en) * 2012-12-12 2014-07-03 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
DE3108358C2 (de) 1981-03-05 1985-08-29 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum partiellen Galvanisieren von zu elektrisch leitenden Bändern, Streifen oder dgl. zusammengefaßten Teilen im Durchlaufverfahren
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4633893A (en) 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4738272A (en) 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4856544A (en) 1984-05-21 1989-08-15 Cfm Technologies, Inc. Vessel and system for treating wafers with fluids
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
ATE74294T1 (de) 1985-06-24 1992-04-15 Cfm Technologies Inc Behandlung von halbleiterscheiben mit einer fluessigkeitsstroemung.
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5472592A (en) 1994-07-19 1995-12-05 American Plating Systems Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5626736A (en) 1996-01-19 1997-05-06 Shipley Company, L.L.C. Electroplating process
WO2000061498A2 (en) 1999-04-13 2000-10-19 Semitool, Inc. System for electrochemically processing a workpiece
US20020066464A1 (en) 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
AT407114B (de) 1997-06-10 2000-12-27 Immuno Ag Alpha 1-antitrypsin-präparation sowie verfahren zu deren herstellung
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
WO1999016936A1 (en) 1997-09-30 1999-04-08 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
EP1055020A2 (en) 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
JP2000087299A (ja) 1998-09-08 2000-03-28 Ebara Corp 基板メッキ装置
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6793796B2 (en) 1998-10-26 2004-09-21 Novellus Systems, Inc. Electroplating process for avoiding defects in metal features of integrated circuit devices
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6162728A (en) 1998-12-18 2000-12-19 Texas Instruments Incorporated Method to optimize copper chemical-mechanical polishing in a copper damascene interconnect process for integrated circuit applications
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
US6454918B1 (en) 1999-03-23 2002-09-24 Electroplating Engineers Of Japan Limited Cup type plating apparatus
JP3331332B2 (ja) 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 カップ式めっき装置
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
TW499329B (en) 1999-09-17 2002-08-21 Product System Inc Chemically inert megasonic transducer system
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
EP2017374A3 (en) 2000-03-17 2011-04-27 Ebara Corporation Plating apparatus and method
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US7273535B2 (en) 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US20020062839A1 (en) 2000-06-26 2002-05-30 Steven Verhaverbeke Method and apparatus for frontside and backside wet processing of a wafer
US7456113B2 (en) 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
JP2002289568A (ja) 2001-03-23 2002-10-04 Dainippon Screen Mfg Co Ltd 基板洗浄装置およびそれに用いる超音波振動エレメント
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6605525B2 (en) 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4043234B2 (ja) 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
WO2003007412A1 (en) 2001-07-13 2003-01-23 Brown University Research Foundation Polymer electrolyte membrane for electrochemical and other applications
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
KR100810705B1 (ko) 2001-08-22 2008-03-10 가부시키가이샤 루스ㆍ콤 전주장치 및 전주방법
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
TWI224531B (en) 2001-09-11 2004-12-01 Ebara Corp Substrate processing apparatus and method
JP3681670B2 (ja) 2001-09-25 2005-08-10 シャープ株式会社 半導体集積回路の製造装置および製造方法
US6755946B1 (en) * 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10229001B4 (de) 2002-06-28 2007-02-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040118694A1 (en) 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
EP1391540A3 (en) 2002-08-08 2006-10-04 Texas Instruments Incorporated Methods and apparatus for improved current density and feature fill control in ECD reactors
US20040084318A1 (en) 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP2004250785A (ja) 2003-01-31 2004-09-09 Ebara Corp 電解処理装置及び基板処理装置
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
JP4674207B2 (ja) 2003-06-24 2011-04-20 ラム・リサーチ・アクチエンゲゼルシヤフト ディスク様基板の湿式処理装置と方法
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4681221B2 (ja) 2003-12-02 2011-05-11 ミライアル株式会社 薄板支持容器
US7553401B2 (en) 2004-03-19 2009-06-30 Faraday Technology, Inc. Electroplating cell with hydrodynamics facilitating more uniform deposition across a workpiece during plating
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
JP4583811B2 (ja) 2004-05-31 2010-11-17 吉田 英夫 めっき処理方法
CN101120433B (zh) 2004-06-04 2010-12-08 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
TW200633038A (en) 2004-11-19 2006-09-16 Asm Nutool Inc Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
WO2006127320A2 (en) 2005-05-25 2006-11-30 Applied Materials, Inc. Electroplating apparatus based on an array of anodes
US7255970B2 (en) 2005-07-12 2007-08-14 Az Electronic Materials Usa Corp. Photoresist composition for imaging thick films
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
KR100657600B1 (ko) 2005-10-24 2006-12-19 (주)씨-넷 엘씨디모듈의 백라이트 유니트용 커넥터
WO2007128659A1 (en) 2006-05-05 2007-11-15 Sez Ag Device and method for wet treating plate-like substrates
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN101220500A (zh) 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 晶圆凸点制造挂具
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
US20100065433A1 (en) 2008-09-12 2010-03-18 Victor Vidaurre Heiremans System and apparatus for enhancing convection in electrolytes to achieve improved electrodeposition of copper and other non ferrous metals in industrial electrolytic cells
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US20120261254A1 (en) 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US8581225B2 (en) 2010-04-28 2013-11-12 Panasonic Corporation Variable resistance nonvolatile memory device and method of manufacturing the same
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
KR20120036030A (ko) 2010-10-07 2012-04-17 동우 화인켐 주식회사 네가티브 포토레지스트 잔류물 제거용 조성물 및 이를 이용한 세정방법
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
US9404194B2 (en) 2010-12-01 2016-08-02 Novellus Systems, Inc. Electroplating apparatus and process for wafer level packaging
KR101373103B1 (ko) * 2011-03-28 2014-03-11 연세대학교 산학협력단 Pauf 및 그의 결합 파트너의 상호작용을 이용한 암 치료제의 스크리닝 방법
TWI550139B (zh) 2011-04-04 2016-09-21 諾菲勒斯系統公司 用於裁整均勻輪廓之電鍍裝置
JP6113154B2 (ja) 2011-06-24 2017-04-12 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 基板上に均一な金属膜を形成するための方法及び装置
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
SG10201509320WA (en) * 2012-05-14 2015-12-30 Novellus Systems Inc Cross flow manifold for electroplating apparatus
CN102719865B (zh) 2012-07-13 2016-02-24 曲悦峰 一种镀膜模具
CN103866374B (zh) 2012-12-12 2017-06-06 诺发系统公司 电镀过程中用于高效传质的电解液流体动力的增强装置
WO2014150783A1 (en) 2013-03-15 2014-09-25 Hydronovation, Inc. Electrochemical water softening system
US20140299476A1 (en) 2013-04-09 2014-10-09 Ebara Corporation Electroplating method
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
CN103361694A (zh) 2013-08-08 2013-10-23 上海新阳半导体材料股份有限公司 一种用于3d铜互连高深宽比硅通孔技术微孔电镀填铜方法
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
CN203890477U (zh) 2014-06-13 2014-10-22 中芯国际集成电路制造(北京)有限公司 导流装置以及电镀装置
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US20170073805A1 (en) 2015-04-30 2017-03-16 E-Chromic Technologies, Inc. Fabrication methodology for thin film lithium ion devices
US20160333492A1 (en) 2015-05-13 2016-11-17 Applied Materials, Inc. Methods for increasing the rate of electrochemical deposition
JP6511989B2 (ja) 2015-06-29 2019-05-15 凸版印刷株式会社 電気めっき液分析装置、及び電気めっき液分析方法
KR20180091948A (ko) 2016-01-06 2018-08-16 어플라이드 머티어리얼스, 인코포레이티드 전기화학 증착 동안 작업부재의 피쳐들을 차폐하기 위한 시스템들 및 방법들
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US20170342590A1 (en) 2016-05-24 2017-11-30 Lam Research Corporation Modulation of applied current during sealed rotational electroplating
US20180258546A1 (en) 2017-03-09 2018-09-13 Lam Research Corporation Electroplating apparatus and methods utilizing independent control of impinging electrolyte
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US10094035B1 (en) 2017-10-16 2018-10-09 Lam Research Corporation Convection optimization for mixed feature electroplating

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6022465A (en) * 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US20140183049A1 (en) * 2012-12-12 2014-07-03 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating

Also Published As

Publication number Publication date
US10364505B2 (en) 2019-07-30
KR102423978B1 (ko) 2022-07-21
CN107419312B (zh) 2020-06-16
TWI729136B (zh) 2021-06-01
CN111748835A (zh) 2020-10-09
TW201809370A (zh) 2018-03-16
US20190301042A1 (en) 2019-10-03
SG10201704179YA (en) 2017-12-28
KR102383143B1 (ko) 2022-04-04
US11047059B2 (en) 2021-06-29
US20170342583A1 (en) 2017-11-30
CN111748835B (zh) 2023-06-09
CN107419312A (zh) 2017-12-01
KR20220044925A (ko) 2022-04-12

Similar Documents

Publication Publication Date Title
KR102423978B1 (ko) 전기도금 동안 교차 플로우 매니폴드의 동적 변조
KR102629901B1 (ko) 전기도금 장치를 위한 에지 플로우 엘리먼트
US20170342590A1 (en) Modulation of applied current during sealed rotational electroplating
US10662545B2 (en) Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
CN108707940B (zh) 使用远程电流动态控制电镀均匀性的装置和方法
US10233556B2 (en) Dynamic modulation of cross flow manifold during electroplating
JP6494910B2 (ja) 電気メッキ中の効率的な物質輸送のための電解質流体力学の強化
KR102563118B1 (ko) 혼합된 피처 전기도금을 위한 대류 최적화
US20180258546A1 (en) Electroplating apparatus and methods utilizing independent control of impinging electrolyte
KR102142159B1 (ko) 전기도금 장치용 교차류 매니폴드
US20230175162A1 (en) Cross flow conduit for foaming prevention in high convection plating cells
CN210215601U (zh) 一种有源阳极和一种用于在衬底上电镀金属的电镀装置
KR20230136017A (ko) 전기 도금 동안 테일러링된 유체 역학에 대한 공간적으로 그리고 치수적으로 불균일한 채널링된 플레이트

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant