KR102629901B1 - 전기도금 장치를 위한 에지 플로우 엘리먼트 - Google Patents

전기도금 장치를 위한 에지 플로우 엘리먼트 Download PDF

Info

Publication number
KR102629901B1
KR102629901B1 KR1020160108112A KR20160108112A KR102629901B1 KR 102629901 B1 KR102629901 B1 KR 102629901B1 KR 1020160108112 A KR1020160108112 A KR 1020160108112A KR 20160108112 A KR20160108112 A KR 20160108112A KR 102629901 B1 KR102629901 B1 KR 102629901B1
Authority
KR
South Korea
Prior art keywords
substrate
flow
edge
edge flow
electroplating
Prior art date
Application number
KR1020160108112A
Other languages
English (en)
Other versions
KR20170026215A (ko
Inventor
가브리엘 해이 그라함
브라이언 엘. 버칼루
스티븐 티. 메이어
로버트 래쉬
제임스 이삭 포트너
리 펭 추아
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170026215A publication Critical patent/KR20170026215A/ko
Application granted granted Critical
Publication of KR102629901B1 publication Critical patent/KR102629901B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Electrochemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 명세서의 실시예들은 기판 상에 하나 이상의 재료들을 전기도금하기 위한 방법들 및 장치에 관한 것이다. 많은 경우들에서, 재료는 금속이고 그리고 기판은 반도체 웨이퍼이지만, 실시예들은 이로 제한되지 않는다. 통상적으로, 본 명세서의 실시예들은 기판 근방에 위치된 채널형 플레이트를 활용하고, 채널형 플레이트에 의해 하단 상에, 기판에 의해 상단 상에, 그리고 교차 플로우 한정 링에 의해 측면들 상에 규정된 교차 플로우 매니폴드를 생성한다. 또한 통상적으로 기판과 기판 홀더 사이에 형성된 코너 내로 전해액을 지향시키도록 구성된 에지 플로우 엘리먼트가 존재한다. 도금 동안, 유체는 채널형 플레이트 내의 채널들을 통해 상측으로 그리고 교차 플로우 한정 링의 일 측면 상에 위치된 교차 플로우 측면 유입부를 통해 측면 방향으로 양자로 교차 플로우 매니폴드에 진입한다. 플로우 경로들은 교차 플로우 매니폴드 내에서 결합하고 그리고 교차 플로우 유입부 반대편에 위치된 교차 플로우 출구로 나간다. 이들 결합된 플로우 경로들 및 에지 플로우 엘리먼트는 특히 기판의 주변에서 개선된 도금 균일성을 발생시킨다.

Description

전기도금 장치를 위한 에지 플로우 엘리먼트{EDGE FLOW ELEMENT FOR ELECTROPLATING APPARATUS}
개시된 실시예들은 전기 도금 동안 전해액 유체 역학을 제어하기 위한 방법들 및 장치에 관한 것이다. 보다 구체적으로, 본 명세서에 기술된 방법들 및 장치는 약 50 ㎛ 미만의 폭들을 가진 작은 마이크로범핑 (microbumping) 피처들 (예를 들어, 구리, 니켈, 주석 및 주석 합금 땜납들), 및 구리 TSV (through silicon via) 피처들의 쓰루 레지스트 도금 (through resist plating) 과 같이, 반도체 웨이퍼 기판들 상으로 금속들을 도금하기에 특히 유용하다.
전기 화학 증착 프로세스들은 현대의 집적 회로 제조에 잘 확립되었다. 21세기 초기에 알루미늄 금속 라인 상호접속부로부터 구리 금속 라인 상호접속부로의 전이는, 점점 더 정교한 전착 프로세스들 및 도금 툴들을 필요하게 한다. 정교함의 대부분은 디바이스 금속화 층들 내의 훨씬 보다 작은 전류 운반 라인들에 대한 필요에 응답하여 발전되었다. 이들 구리 라인들은 흔히 "다마신" 프로세싱 (패시베이션 전 금속화) 으로서 지칭되는 방법론으로 매우 얇은, 고 종횡비 트렌치들 및 비아들 내로 금속을 전기 도금함으로써 형성된다.
전기 화학 증착은 이제 일반적으로 그리고 흔히 WLP (wafer level packaging) 및 TSV (through silicon via) 전기 연결 기술로서 공지된 정교한 패키징 및 멀티칩 상호접속 기술들에 대한 상업적 필요를 충족시킬 준비가 되어 있다. 이들 기술들은 부분적으로 (FEOL (Front End of Line) 상호접속부들과 비교하여) 일반적으로 보다 큰 피처 사이즈들 및 고 종횡비들에 기인한 기술들 자체의 매우 상당한 과제들을 제시한다.
패키징 피처들 (예를 들어, 쓰루 칩 연결 TSV, 상호접속 재분배 배선, 또는 칩 대 보드 (chip to board) 또는 칩 본딩, 예컨대 플립-칩 필라들) 의 타입 및 애플리케이션에 따라, 도금된 피처들은 보통 현재의 기술에서, 약 2 ㎛보다 크고 그리고 통상적으로 피처들의 주요 치수에서 약 5 내지 100 ㎛이다 (예를 들어, 구리 필라들은 약 50 ㎛일 수도 있음). 전력 버스들과 같은 일부 온-칩 (on-chip) 구조체들에 대해, 도금될 피처는 100 ㎛보다 클 수도 있다. WLP 피처들의 종횡비들은 통상적으로 약 1:1 (높이 대 폭) 이하이지만, 종횡비들은 아마도 약 2:1 또는 그 쯤만큼 큰 범위일 수 있고, 반면에 TSV 구조체들은 매우 고 종횡비들 (예를 들어, 대략 20:1) 을 가질 수 있다.
WLP 구조체 사이즈들이 100 내지 200 ㎛로부터 50 ㎛ 미만으로 축소되는 것은 독특한 세트의 문제들을 갖게 되는데, 이것은 이 스케일에서, 유체 역학 및 질량 이송 경계 층들이 거의 동일하기 때문이다. 보다 큰 피처들을 가진 이전의 세대들에 대해, 피처 내로의 유체 및 질량의 수송은 피처들 내로의 플로우 필드들의 일반적인 관통에 의해 진행되었지만, 보다 작은 피처들에 대해, 플로우 역류들의 형성 및 정체는 성장한 피처 내의 질량 수송의 균일성 및 레이트 양자를 저해할 수 있다. 그러므로, 보다 작은 "마이크로범프 (microbump)" 및 TSV 피처들 내에서 균일한 질량 이송을 생성하는 새로운 방법들이 요구된다.
또한, 전적으로 확산 프로세스에 대한 시간 상수 τ (1D 확산 평형 시간 상수) 는 다음과 같이 피처 깊이 L 및 확산 상수 D로 스케일링된다.
(s).
금속 이온의 확산 계수에 대한 평균-적정 값 (예를 들어, 5 x 10- 6 ㎠/s) 을 가정하면, 상대적으로 큰 FEOL 0.3 ㎛ 깊이의 다마신 피처는 단지 약 0.1 ms의 시간 상수를 가질 것이지만, 50 ㎛ 깊이의 TSV의 WLP 범프는 수초의 시간 상수를 가질 것이다.
피처 사이즈뿐만 아니라 도금 속도는 다마신 애플리케이션들로부터 WLP 및 TSV 애플리케이션들을 구별한다. 많은 WLP 애플리케이션들에 대해, 도금될 금속 (예를 들어, 구리, 니켈, 금, 은 땜납들, 등) 에 따라, 한 편으로는 제작 필요 조건과 비용 필요 조건 사이의 밸런스 그리고 다른 한 편으로는 기술적 필요 조건들과 기술적 어려움 사이의 밸런스가 있다 (예를 들어, 다이 내 그리고 피처 타깃들 내와 같은 웨이퍼 필요 조건들에 대한 그리고 웨이퍼 패턴 가변성을 가진 자본 생산성 (capital productivity) 의 목적들). 구리에 대해, 이 밸런스는 보통 적어도 약 2 ㎛/min, 그리고 통상적으로 적어도 약 3 내지 4 ㎛/min 또는 그 이상의 레이트로 달성된다. 주석 도금에 대해, 약 3 ㎛/min 초과의 도금 레이트, 그리고 일부 애플리케이션들에 대해, 적어도 약 7 ㎛/min의 도금 레이트가 요구될 수도 있다. 니켈 및 스트라이크 (strike) 금 (예를 들어, 저 농도 금 플래시 막 층들) 에 대해, 도금 레이트들은 약 0.1 내지 1 ㎛/min일 수도 있다. 이들 금속-관련된 보다 고 도금 레이트 레짐들 (regimes) 에서, 전해액 내의 금속 이온들의 효율적인 질량 이송이 중요하다.
특정한 실시예들에서, 도금은 WIW (WIthin a Wafer), WID (WIthin and among all the features of a particular Die), 그리고 또한 WIF (WIthin the individual Features themselves) 에서 양호한 도금 균일성을 달성하도록 웨이퍼의 전체 면에 걸쳐 매우 균일한 방식으로 실시되어야 한다. WLP 및 TSV 애플리케이션들의 고 도금 레이트들은 전착된 층의 균일성에 대한 과제들을 제시한다. 다양한 WLP 애플리케이션들에 대해, 도금은 웨이퍼 표면을 따라 방사상으로 최대 약 5 %의 절반 범위변동 (웨이퍼의 직경에 걸쳐 복수의 위치들에서 다이 내의 단일의 피처 타입 상에서 측정된, WIW 불균일성으로서 지칭됨) 을 나타내어야 한다. 유사한 동일하게 문제가 되는 필요 조건은 상이한 사이즈들 (예를 들어 피처 직경) 또는 피처 밀도 (예를 들어 칩 다이의 어레이의 중간에 격리되거나 임베딩된 (embedded) 피처) 의 다양한 피처들의 균일한 증착 (두께 및 형상) 이다. 이 성능 (performance) 사양은 일반적으로 WID 불균일성으로서 지칭된다. WID 불균일성은 웨이퍼 상의 특정한 다이 위치에서 (예를 들어 중간 반경, 중심 또는 에지에서) 미리결정된 웨이퍼 다이 내의 평균 피처 높이 또는 다른 치수에 대한 상기에 기술된 바와 같은 다양한 피처들 타입들의 국부적 가변성 (예를 들어 < 5 % 절반 범위) 으로서 측정된다.
최종 문제가 되는 필요 조건은 피처 형상의 일반적인 제어이다. 적절한 플로우 및 질량 이송 대류 제어 없이, 도금 후에, 라인 또는 필라는 결국 2차원 또는 3차원 (예를 들어 새들 (saddle) 또는 돔 형상) 으로 볼록한, 편평한 또는 오목한 방식으로 경사질 수 있고, 일반적으로 편평한 프로파일이 바람직하지만, 항상 그러한 것은 아니다. 이들 과제들과 마주하는 동안, WLP 애플리케이션들은 종래의, 잠재적으로 덜 비싼 픽 앤 플레이스 (pick and place) 일련의 루팅 (routing) 동작들과 경쟁해야 (compete) 한다. 여전히 또한, WLP 애플리케이션들을 위한 전기 화학 증착은 다양한 구리가 아닌 금속들, 예컨대, 땜납들 같은 리드, 주석, 주석-은, 및 다른 언더범프 (underbump) 금속화 재료들, 예컨대, 니켈, 금, 팔라듐, 및 이들의 다양한 합금들을 수반할 수도 있고, 이들 중 일부는 구리를 포함한다. 공융 합금들과 비슷한 주석-은의 도금은 리드-주석 공융 땜납에 대한 리드 프리 (free) 땜납 대안물로서 도금되는 합금을 위한 도금 기법의 예이다.
본 명세서의 특정한 실시예들은 기판 상에 하나 이상의 재료들을 전기 도금하기 위한 방법들 및 장치에 관한 것이다. 많은 경우들에서, 재료는 금속이고 그리고 기판은 반도체 웨이퍼이지만, 실시예들은 이로 제한되지 않는다. 통상적으로, 본 명세서의 실시예들은 기판 근방에 위치된 CIRP (channeled ionically resistive plate) 를 활용하고, CIRP에 의해 하단 상에, 그리고 기판에 의해 상단 상에 규정된 교차 플로우 매니폴드를 생성한다. 도금 동안, 유체는 CIRP 내의 채널들을 통해 상측으로, 그리고 기판의 일 측면에 가깝게 위치된 교차 플로우 측면 유입부를 통해 측면 방향으로 양자로 교차 플로우 매니폴드에 진입한다. 플로우 경로들은 교차 플로우 매니폴드 내에서 결합하고 그리고 교차 플로우 유입부 반대편에 위치된 교차 플로우 출구로 나간다. 다양한 실시예들에서, 에지 플로우 엘리먼트는 기판의 주변 근방에 플로우를 지향시키도록 사용될 수도 있다. 에지 플로우 엘리먼트는 CIRP와 통합될 수도 있거나 기판 홀더와 통합될 수도 있거나, 에지 플로우 엘리먼트가 분리될 수도 있다. 기판이 기판 홀더와 콘택트하는 경우에, 에지 플로우 엘리먼트는, 다른 경우에 에지 플로우 엘리먼트 없이 성취되는 것보다 기판의 에지 근방에서 상대적으로 보다 높은 정도의 전단 플로우를 촉진한다. 이 기판의 주변 근방의 증가된 전단 플로우는 보다 균일한 도금 결과들을 발생시킨다.
본 명세서의 실시예들의 일 양태에서, (a) 실질적으로 평면형인 기판 상에 금속을 전기 도금하는 동안 전해액 및 양극을 담도록 구성된 전기 도금 챔버; (b) 기판의 도금면이 전기 도금 동안 양극으로부터 분리되도록 실질적으로 평면형인 기판을 홀딩하도록 구성된 기판 홀더로서, 기판이 기판 홀더에 위치될 때, 코너가 기판과 기판 홀더 사이의 인터페이스에 형성되고, 코너는 기판의 도금면에 의해 상단 상에 그리고 기판 홀더에 의해 측면 상에 규정되는, 기판 홀더; (c) 약 10 ㎜ 이하의 갭만큼 기판의 도금면으로부터 분리되는 기판-대면 표면을 포함하는 이온 저항성 엘리먼트로서, 이온 저항성 엘리먼트는 적어도 전기 도금 동안 기판의 도금면과 같은 공간을 차지하고, 이온 저항성 엘리먼트는 전기 도금 동안 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 이온 저항성 엘리먼트; (d) 전해액을 갭에 도입하기 위한 갭으로의 유입부; (e) 갭 내에서 흐르는 전해액을 수용하기 위한 갭으로의 유출부; 및 (f) 기판과 기판 홀더 사이의 인터페이스에서 코너 내로 전해액을 지향시키도록 구성된 에지 플로우 엘리먼트로서, 에지 플로우 엘리먼트는 호 형상이거나 링 형상이고 그리고 기판과 기판 홀더 사이의 인터페이스에서 코너의 적어도 부분적으로 방사상으로 내부에 그리고 기판의 주변에 가깝게 위치되는, 에지 플로우 엘리먼트를 포함하는 전기 도금 장치가 제공되고, 유입부 및 유출부는 전기 도금 동안 기판의 도금면 상의 방위각으로 반대편의 주변 위치들에 가깝게 위치되고, 그리고 유입부 및 유출부는 전기 도금 동안 기판의 도금면 상에 전단력을 생성하거나 유지하도록 갭 내에 교차로 흐르는 전해액을 생성하도록 구성된다.
특정한 구현예들에서, 에지 플로우 엘리먼트는 이온 저항성 엘리먼트 및/또는 기판 홀더에 부착되도록 구성된다. 일부 실시예들에서, 에지 플로우 엘리먼트는 이온 저항성 엘리먼트와 통합되고 그리고 이온 저항성 엘리먼트의 주변에 가까운 상승된 부분을 포함하고, 상승된 부분은 이온 저항성 엘리먼트의 기판-대면 표면의 나머지 부분의 높이에 대해 상승되고, 기판-대면 표면의 나머지 부분은 상승된 부분의 방사상으로 내부에 위치된다.
복수의 실시예들에서, 이온 저항성 엘리먼트는 에지 플로우 엘리먼트가 설치되는 홈을 포함한다. 일부 이러한 경우들에서, 장치는 이온 저항성 엘리먼트와 에지 플로우 엘리먼트 사이에 위치된 하나 이상의 심들 (shims) 을 더 포함한다. 하나 이상의 심들은 방위각으로 비대칭인 방식으로 위치되는 에지 플로우 엘리먼트를 발생시킬 수도 있다.
특정한 구현예들에서, 에지 플로우 엘리먼트는 (a) 위치, (b) 형상, 및/또는 (c) 플로우 바이패스 통로들의 존재 또는 형상 중 하나 이상에 대해 방위각으로 비대칭이다. 특정한 실시예들에서, 방위각 비대칭은 특정한 위치에 위치될 수도 있다. 예를 들어, 일부 경우들에서 에지 플로우 엘리먼트는 적어도 제 1 부분 및 제 2 부분을 포함하고, 부분들은 에지 플로우 엘리먼트의 방위각 비대칭에 기초하여 규정되고, 제 1 부분은 갭으로의 유입부 또는 갭으로의 유출부 근방에 중심이 위치된다.
에지 플로우 엘리먼트는 다양한 형상들 및 피처들을 가질 수 있다. 다양한 구현예들에서, 에지 플로우 엘리먼트는 전해액으로 하여금 에지 플로우 엘리먼트를 통해 흐르게 하는 플로우 바이패스 통로들을 포함한다. 일부 실시예들에서, 플로우 바이패스 통로들은 전해액으로 하여금 에지 플로우 엘리먼트의 상부 에지와 이온 저항성 엘리먼트 사이를 흐르게 할 수도 있다. 이들 또는 다른 경우들에서, 플로우 바이패스 통로들은 전해액으로 하여금 에지 플로우 엘리먼트의 하부 에지와 기판 홀더 사이를 흐르게 할 수도 있다. 일부 경우들에서, 에지 플로우 엘리먼트는 링 형상이다. 다른 경우들에서, 에지 플로우 엘리먼트는 호 형상일 수도 있다.
에지 플로우 엘리먼트는 하나 이상의 면들에서 조정 가능할 수도 있다. 예를 들어, 이온 저항성 엘리먼트에 대해 에지 플로우 엘리먼트의 위치는 조정 가능할 수도 있다. 일부 이러한 경우들에서, 장치는 이온 저항성 엘리먼트의 위치에 대해 에지 플로우 엘리먼트의 위치를 조정하기 위한 심들 및/또는 나사들을 더 포함한다. 다양한 실시예들에서, 에지 플로우 엘리먼트는 이온 저항성 엘리먼트에 의해 형성된 플레인에 대해 상승되고 그리고/또는 하강될 수도 있다. 이러한 조정은 기판과 기판 홀더 사이의 인터페이스 근방의 전해액의 플로우 패턴에 영향을 줄 수 있고, 이에 따라 큰 정도의 튜닝성 (tunability) 을 달성한다. 특정한 실시예들에서, 장치는 이온 저항성 엘리먼트의 위치에 대해 에지 플로우 엘리먼트의 위치를 조정하기 위한 액추에이터를 더 포함하고, 액추에이터는 에지 플로우 엘리먼트의 위치로 하여금 전기 도금 동안 조정되도록 허용한다.
개시된 실시예들의 또 다른 양태에서, 전기 도금에서 사용되는 에지 플로우 엘리먼트가 제공되고, 에지 플로우 엘리먼트는, 전기 도금 장치 내의 기판 홀더 및/또는 이온 저항성 엘리먼트와 매이팅하도록 (mate) 구성된 엘리먼트를 포함하고, 엘리먼트는 링 형상이거나 호 형상이고, 엘리먼트는 전기적으로 절연성 재료를 포함하고, 엘리먼트가 내부에 기판을 가진 전기 도금 장치 내에 설치될 때, 엘리먼트는 기판 홀더의 내측 에지의 적어도 부분적으로, 방사상으로 내부에 위치되고, 그리고 전기 도금 동안, 엘리먼트는 기판과 기판 홀더 사이의 인터페이스에 형성된 코너 내로 유체를 지향시키고, 코너는 기판에 의해 상단 상에 그리고 기판 홀더에 의해 측면 상에 규정된다.
특정한 구현예들에서, 에지 플로우 엘리먼트는 방위각으로 비대칭이다. 일부 실시예들에서, 에지 플로우 엘리먼트는 전해액이 전기 도금 동안 흐를 수 있는 플로우 바이패스 통로들을 더 포함한다.
개시된 실시예들의 추가의 양태에서, 기판을 전기 도금하기 위한 방법이 제공되고, 방법은: (a) 기판 홀더 내에 실질적으로 평면형인 기판을 수용하는 단계로서, 기판의 도금면은 노출되고, 그리고 기판 홀더는, 기판의 도금면이 전기 도금 동안 양극으로부터 분리되도록 기판을 홀딩하기 위해 구성되는, 실질적으로 평면형인 기판을 수용하는 단계; (b) 기판을 전해액 내에 침지시키는 단계로서, 약 10 ㎜ 이하의 갭은 기판의 도금면과 이온 저항성 엘리먼트의 상부 표면 사이에 형성되고, 이온 저항성 엘리먼트는 적어도 기판의 도금면과 같은 공간을 차지하고, 그리고 이온 저항성 엘리먼트는 전기 도금 동안 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 기판을 전해액 내에 침지시키는 단계; (c) 기판 홀더 내의 기판과 콘택트하여 전해액을 (i) 측면 유입부로부터, 에지 플로우 엘리먼트 위 그리고/또는 아래의 갭 내로, 그리고 측면 유출부 밖으로, 그리고 (ii) 이온 저항성 엘리먼트 아래로부터, 이온 저항성 엘리먼트를 통해, 갭 내로, 그리고 측면 유출부 밖으로 흘리는 단계로서, 유입부 및 유출부는 기판의 도금면 상의 방위각으로 반대편의 주변 위치들에 가깝게 위치되고, 유입부 및 유출부는 전기 도금 동안 갭 내에 교차로 흐르는 전해액을 생성하도록 설계되거나 구성되는, 전해액을 흘리는 단계; (d) 기판 홀더를 회전시키는 단계; 및 (e) 단계 (c) 에서처럼 전해액을 흘리는 동안 기판의 도금면 상에 재료를 전기 도금하는 단계로서, 에지 플로우 엘리먼트는 기판과 기판 홀더 사이에 형성되는 코너 내로 전해액을 지향시키도록 구성되고, 코너는 기판의 도금면에 의해 상단 상에 그리고 기판 홀더의 내측 에지에 의해 측면 상에 규정되는, 도금면 상에 재료를 전기 도금하는 단계를 포함한다.
일부 실시예들에서, 에지 플로우 엘리먼트는 방위각으로 비대칭이다. 특정한 경우들에서, 에지 플로우 엘리먼트는 전해액으로 하여금 에지 플로우 엘리먼트를 통해 흐르게 하는 플로우 바이패스 통로들을 포함할 수도 있다. 일부 실시예들에서, 전기 도금 동안 에지 플로우 엘리먼트의 위치는 조정될 수도 있다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1a는 반도체 웨이퍼들을 전기 화학적으로 처리하기 위한 기판 홀딩 및 포지셔닝 장치의 사시도를 도시한다.
도 1b는 콘 및 컵을 포함한 기판 홀딩 어셈블리의 일부분의 단면도를 도시한다.
도 1c는 본 명세서의 실시예들을 실시하는데 사용될 수도 있는 전기 도금 셀의 간략화된 도면을 도시한다.
도 1d 내지 도 1g는 이들 실시예들을 실시할 때 달성된 플로우 역학의 평면도들과 함께, 기판의 면에 걸친 교차 플로우를 향상시키도록 사용될 수도 있는 다양한 전기 도금 장치 실시예들을 예시한다.
도 2는 본 명세서에 개시된 특정한 실시예들에 따른, 음극 챔버 내에 통상적으로 존재하는 전기 도금 장치의 다양한 부품들의 분해도를 예시한다.
도 3a는 본 명세서의 특정한 실시예들에 따른, 교차 플로우 측면 유입부 및 주변 하드웨어의 클로즈 업된 도면을 도시한다.
도 3b는 다양한 개시된 실시예들에 따른, 교차 플로우 유출부, CIRP 매니폴드 유입부, 및 주변 하드웨어의 클로즈 업된 도면을 도시한다.
도 4는 도 3a 및 도 3b에 도시된 전기 도금 장치의 다양한 부품들의 단면도를 도시한다.
도 5는 특정한 실시예들에 따른, 6 개의 개별적인 세그먼트들로 나누어진 샤워헤드 및 교차 플로우 주입 매니폴드를 도시한다.
도 6은 특히 교차 플로우의 유입부 측에 포커싱된, 본 명세서의 실시예에 따른, CIRP 및 연관된 하드웨어의 평면도를 도시한다.
도 7은 다양한 개시된 실시예들에 따른, 교차 플로우 매니폴드의 유입부 측 및 유출부 측 양자를 도시한 CIRP 및 연관된 하드웨어의 간략화된 평면도를 예시한다.
도 8a 및 도 8b는 특정한 실시예들에 따른 교차 플로우 유입부 구역의 초기 (도 8a) 설계 및 수정된 (도 8b) 설계를 도시한다.
도 9는 프레임에 의해 지지되고 그리고 플로우 한정 링에 의해 부분적으로 커버된 CIRP의 실시예를 도시한다.
도 10a는 측면 유입부가 사용되지 않는 경우에 플로우 한정 링 및 CIRP의 간략화된 평면도를 도시한다.
도 10b는 본 명세서에 개시된 다양한 실시예들에 따른, CIRP, 플로우 한정 링, 및 교차 플로우 측면 유입부의 간략화된 평면도를 도시한다.
도 11a 및 도 11b는 각각 도 10a 및 도 10b에 도시된 장치를 위한 교차 플로우 매니폴드를 통한 교차 플로우를 예시한다.
도 12a 및 도 12b는 각각 도 10a 및 도 10b에 도시된 장치에 대한 웨이퍼 위치 대 도금 동안 수평 교차 플로우 속도를 도시한 그래프들이다.
도 13a 및 도 13b는 기판의 주변 근방의 저 도금 레이트와 관련된 문제들을 예시한, 기판 상의 방사상 위치 대 범프 높이를 도시한 실험 결과들을 제시한다.
도 14a는 전기 도금 장치의 일부분의 단면도를 도시한다.
도 14b는 도 14a에 도시된 장치를 통한 플로우와 관련된 모델링 결과들을 도시한다.
도 15는 기판의 주변 근방의 보다 낮은 정도의 도금을 도시한, 기판 상의 방사상 위치 대 범프 높이와 관련된 실험 결과들 그리고 기판 상의 방사상 위치 대 전단 플로우 속도와 관련된 모델링 결과들을 도시한다.
도 16a 및 도 16b는 기판 상의 상이한 방사상 위치들에서의 다이 내 두께 불균일성 (도 16a) 및 포토레지스트 두께 (도 16b) 와 관련된 실험 결과들을 도시한다.
도 17a 및 도 17b는 에지 플로우 엘리먼트가 사용되는 일 실시예에 따른, 전기 도금 장치의 단면도를 도시한다.
도 18a 내지 도 18c는 다양한 실시예들에 따른, 전기 도금 장치 내에 에지 플로우 엘리먼트를 설치하기 위한 3 개의 타입들의 부착 구성들을 예시한다.
도 18d는 도 18a 내지 도 18c에 도시된 에지 플로우 엘리먼트들의 특정한 특징들을 기술한 표를 제시한다.
도 19a 내지 도 19e는 전기 도금 장치 내의 에지 플로우 엘리먼트를 조정하기 위한 방법들을 예시한다.
도 20a 내지 도 20c는 다양한 실시예들에 따라 사용될 수도 있는 몇몇의 타입들의 에지 플로우 엘리먼트들을 예시하고, 그 중 일부는 방위각으로 비대칭이다.
도 21은 에지 플로우 엘리먼트 및 상단 플로우 인서트 (insert) 가 사용되는 특정한 실시예들에 따른, 전기 도금 셀의 단면도를 예시한다.
도 22a 및 도 22b는 내부에 에지 플로우 엘리먼트가 설치되는, 홈을 가진 CIRP (channeled ionically resistive plate) 를 도시한다.
도 22c 및 도 22d는 다양한 심 (shim) 두께들에 대해 기판의 에지 근방의 플로우 속도를 기술한 모델링 결과들을 도시한다.
도 23a 및 도 23b는 특정한 실시예들에 따른, 램프 형상을 가진 에지 플로우 엘리먼트를 가진 전기 도금 장치와 관련된 모델링 결과들을 제시한다.
도 24a, 도 24b, 및 도 25는 특정한 실시예들에 따른, 상이한 타입들의 플로우 바이패스 통로들을 포함하는 에지 플로우 엘리먼트들을 가진 전기 도금 장치와 관련된 모델링 결과들을 제시한다.
도 26a 내지 도 26d는 에지 플로우 엘리먼트의 몇몇의 예들을 예시하고, 에지 플로우 엘리먼트 각각은 내부에 플로우 바이패스 통로들을 갖는다.
도 27a 내지 도 27c는 도 28 내지 도 30에 도시된 결과들을 생성하도록 사용된 실험 설정 (setup) 을 기술한다.
도 28 내지 도 30은 도 27a 내지 도 27c에 관하여 기술된 실험 설정들에 대해, 기판 상의 방사상 위치에 대한 도금된 범프 높이 (도 28 및 도 30) 또는 다이 내 두께 불균일성 (도 29) 과 관련된 실험 결과들을 제시한다.
본 출원에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판", 및 "부분적으로 제조된 집적 회로"는 상호교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 그 위에서의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 다음의 상세한 설명은 본 발명이 웨이퍼 상에서 구현된다는 것을 가정한다. 종종, 반도체 웨이퍼들은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 그러나, 본 발명은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 이 발명의 장점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 등과 같은 다양한 물품들을 포함한다.
다음의 기술에서, 다수의 특정한 세부 사항들이 제공된 실시예들의 완전한 이해를 제공하기 위해서 제시된다. 개시된 실시예들은 이러한 특정한 세부 사항들 전부 또는 일부 없이도 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들은 특정한 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하려고 의도된 것이 아님이 이해될 것이다.
기판 상에 하나 이상의 금속들을 전기 도금하기 위한 장치 및 방법들이 본 명세서에 기술된다. 실시예들은 일반적으로 기판이 반도체 웨이퍼인 경우에 기술되지만; 본 발명은 이로 제한되지 않는다.
개시된 실시예들은 매우 균일한 도금 층들이 획득되도록 도금 동안 전해액 유체 역학의 제어를 위해 구성된 전기 도금 장치, 그리고 전해액 유체 역학의 제어를 포함한 방법들을 포함한다. 구체적인 구현예들에서, 개시된 실시예들은 충돌 플로우 (impinging flow) (워크피스 표면으로 지향되거나 워크피스 표면에 수직인 플로우) 와 전단 플로우 (때때로 "교차 플로우" 또는 워크피스 표면에 대해 평행한 속도를 가진 플로우로서 지칭됨) 의 결합들을 생성하는 방법들 및 장치를 채용한다.
일 실시예는 다음의 피처들을 포함한 전기 도금 장치이다: (a) 실질적으로 평면형인 기판 상에 금속을 전기 도금하는 동안 전해액 및 양극을 담도록 구성된 도금 챔버; (b) 기판의 도금면이 전기 도금 동안 양극으로부터 분리되도록 실질적으로 평면형인 기판을 홀딩하도록 구성된 기판 홀더로서, 기판이 기판 홀더 내에 위치될 때, 코너가 기판과 기판 홀더 사이의 인터페이스에서 형성되고, 코너는 기판의 도금면에 의해 상단 상에 그리고 기판 홀더에 의해 측면 상에 규정되는, 기판 홀더; (c) 전기 도금 동안 기판의 도금면으로부터 분리되고 그리고 도금면에 실질적으로 평행한 기판-대면 표면을 포함한 채널형 이온 저항성 엘리먼트로서, 채널형 이온 저항성 엘리먼트는 복수의 비연통 채널들을 포함하고, 비연통 채널들은 전기 도금 동안 엘리먼트를 통한 전해액의 이송을 허용하는, 채널형 이온 저항성 엘리먼트; (d) 전단력 (교차 플로우) 을 생성하고 그리고/또는 전단력 (교차 플로우) 을 기판의 도금면으로 흐르는 전해액에 인가하기 위한 메커니즘; 및 (e) 기판/기판 홀더 인터페이스 가까이에, 기판의 주변 근방에서 전단 플로우를 촉진하기 위한 메커니즘. 웨이퍼는 실질적으로 평면형이지만, 웨이퍼는 또한 통상적으로 하나 이상의 미세한 트렌치들을 갖고 그리고 전해액 노출로부터 마스킹된 (masked) 표면의 하나 이상의 부분들을 가질 수도 있다. 다양한 실시예들에서, 장치는 또한 기판 도금면의 방향으로 전기 도금 셀 내에 전해액을 흘리는 동안 기판 및/또는 채널형 이온 저항성 엘리먼트를 회전시키기 위한 메커니즘을 포함한다.
특정한 구현예들에서, 교차 플로우를 적용하기 위한 메커니즘은 예를 들어, 채널형 이온 저항성 엘리먼트의 주변 상의 또는 주변에 가까운 적절한 플로우 지향 및 분배 수단을 가진 유입부이다. 유입부는 채널형 이온 저항성 엘리먼트의 기판-대면 표면을 따라 교차하여 흐르는 음극액을 지향시킨다. 유입부는 방위각으로 비대칭이고, 채널형 이온 저항성 엘리먼트의 원주를 부분적으로 따르고, 그리고 하나 이상의 갭들을 갖고, 그리고 전기 도금 동안 채널형 이온 저항성 엘리먼트와 실질적으로 평면형인 기판 사이의 교차 플로우 주입 매니폴드를 규정한다. 다른 엘리먼트들은 교차 플로우 주입 매니폴드와 협력하여 작용하기 위해 선택 가능하게 제공된다. 이들은 도면들과 함께 이하에 더 기술되는, 교차 플로우 주입 플로우 분배 샤워헤드 및 교차 플로우 한정 링을 포함할 수도 있다.
특정한 구현예들에서, 기판의 주변 근방의 전단 플로우를 촉진하기 위한 메커니즘은 에지 플로우 엘리먼트이다. 에지 플로우 엘리먼트는 일부 경우들에서 CIRP 또는 기판 홀더의 통합된 부품일 수도 있다. 다른 경우들에서, 에지 플로우 엘리먼트는 CIRP 또는 기판 홀더와 인터페이싱하는 별개의 피스일 수도 있다. 에지 플로우 엘리먼트가 별개의 피스인 일부 경우들에서, 다양한 상이하게 성형된 에지 플로우 엘리먼트들은 기판의 에지 근방에서 플로우 분배로 하여금 미리결정된 애플리케이션에 대해 튜닝되게 (tuned) 하도록 별도로 제공될 수도 있다. 다양한 경우들에서 에지 플로우 엘리먼트는 방위각으로 비대칭일 수도 있다. 에지 플로우 엘리먼트에 관한 추가의 상세들은 이하에 제시된다.
특정한 실시예들에서, 장치는 기판 도금면을 향하거나 기판 도금면에 수직인 방향으로의 전해액의 플로우로 하여금 전기 도금 동안 채널형 이온 저항성 엘리먼트를 나가는 적어도 약 3 ㎝/s (예를 들어, 적어도 약 5 ㎝/s 또는 적어도 약 10 ㎝/s) 의 평균 플로우 속도를 생성하게 할 수 있도록 구성된다. 특정한 실시예들에서, 장치는 기판의 도금면의 중심 지점을 걸쳐 약 3 ㎝/s 이상 (예를 들어, 약 5 ㎝/s 이상, 약 10 ㎝/s 이상, 약 15 ㎝/s 이상, 또는 약 20 ㎝/s 이상) 의 평균 횡단 전해액 속도를 생성하는 조건들 하에서 동작하도록 구성된다. 이들 플로우 레이트들 (즉, 이온 저항성 엘리먼트의 홀들을 나가는 플로우 레이트 및 기판의 도금면에 걸친 플로우 레이트) 은 특정한 실시예들에서 대략 12 인치 직경 기판 및 약 20 L/min의 전체 전해액 플로우 레이트를 채용하는 전기 도금 셀에서 적절하다. 본 명세서의 실시예들은 다양한 기판 사이즈들로 실시될 수도 있다. 일부 경우들에서, 기판은 약 200 ㎜, 약 300 ㎜, 또는 약 450 ㎜의 직경을 갖는다. 또한, 본 명세서의 실시예들은 매우 다양한 전체 플로우 레이트들로 실시될 수도 있다. 특정한 구현예들에서, 전체 전해액 플로우 레이트는 약 1 내지 60 L/min, 약 6 내지 60 L/min, 약 5 내지 25 L/min, 또는 약 15 내지 25 L/min이다. 도금 동안 달성된 플로우 레이트들은 사용될 펌프의 사이즈 및 용량과 같은 특정한 하드웨어 제한들에 의해 제한될 수도 있다. 당업자는 본 명세서에 언급된 플로우 레이트들이, 개시된 기법들이 보다 큰 펌프들을 사용하여 실시될 때보다 높을 수도 있다는 것을 이해할 것이다.
일부 실시예들에서, 전기 도금 장치는 2 개의 챔버들 각각 내에 상이한 전해액 조성물들, 전해액 순환 루프들, 및/또는 유체 역학이 있는 분리된 양극 및 음극 챔버들을 포함한다. 이온 투과성 멤브레인은 챔버들 사이의 하나 이상의 컴포넌트들 (components) 의 다이렉트 대류 수송 (플로우에 의한 질량의 이동) 을 억제하고 그리고 챔버들 사이의 목표된 분리를 유지하도록 채용될 수도 있다. 멤브레인은 대량의 전해액 플로우를 차단할 수도 있고 그리고 카티온들 (cations) 과 같은 이온들의 수송을 허용하는 동안 유기 첨가제들과 같은 특정한 종의 수송을 배제할 수도 있다. 일부 실시예들에서, 멤브레인은 DuPont의 NAFION™ 또는 관련된 이온 선택적 폴리머를 포함한다. 다른 경우들에서, 멤브레인은 이온 교환 재료를 포함하지 않고, 대신에 미소공성 (micro-porous) 재료를 포함한다. 관습적으로, 음극 챔버 내의 전해액은 "음극액"으로서 지칭되고 양극 챔버 내의 전해액은 "양극액"으로서 지칭된다. 흔히, 양극액 및 음극액은 상이한 조성물들을 갖고, 양극액은 거의 또는 전혀 없는 도금 첨가제들 (예를 들어, 가속화제, 억제제, 및/또는 평탄화제) 을 포함하고 그리고 음극액은 상당한 농도들의 이러한 첨가제들을 포함한다. 금속 이온들 및 산들의 농도는 또한 종종 2 개의 챔버들 사이에서 상이하다. 분리된 양극 챔버를 포함한 전기 도금 장치의 예는, 2000년 11월 3일 출원된 미국 특허 제 6,527,920 호 [대리인 문서 NOVLP007]; 2002년 8월 27 일 출원된 미국 특허 제 6,821,407 호 [대리인 문서 NOVLP048], 2009년 12월 17일 출원된 미국 특허 제 8,262,871 호 [대리인 문서 NOVLP308] 에 기술되고, 각각은 전체가 참조로서 본 명세서에 인용된다.
일부 실시예들에서, 양극 멤브레인은 이온 교환 재료를 포함할 필요가 없다. 일부 예들에서, 멤브레인은 매사추세츠, 윌밍턴 소재의 Koch Membrane에 의해 제작된 폴리에테르설폰과 같은 미소공성 재료로 이루어진다. 이 멤브레인 타입은 특히 주석-은 도금 및 금 도금과 같은 불활성 양극 애플리케이션들에 적용 가능하지만, 또한 니켈 도금과 같은 가용성 양극 애플리케이션들에 대해 사용될 수도 있다.
특정한 실시예들에서, 그리고 본 명세서의 다른 부분에서 보다 완전히 기술된 바와 같이, 음극액은, 전해액이 피딩되고 (fed), 축적되고, 그리고 이어서 바로 웨이퍼 표면을 향하여 CIRP의 다양한 비연통 채널들을 통해 실질적으로 균일하게 분배되고 통과하는, 이하에 "CIRP 매니폴드 구역"으로서 지칭되는 매니폴드 구역 내로 주입된다.
다음의 논의에서, 개시된 실시예들의 상단 피처 및 하단 피처 (또는 상부 피처 및 하부 피처, 등과 같은 유사한 용어들) 또는 엘리먼트들을 지칭할 때, 용어들 상단 및 하단은 단순히 편의를 위해 사용되고 그리고 본 발명의 구현예 또는 참조의 단일의 프레임만을 나타낸다. 상단 및 하단 컴포넌트들이 중력에 대해 반전되고 그리고/또는 상단 및 하단 컴포넌트들이 좌우 컴포넌트들 또는 우좌 컴포넌트들이 되는 것과 같은 다른 구성들이 가능하다.
본 명세서에 기술된 일부 양태들은 다양한 타입들의 도금 장치에서 채용될 수도 있지만, 단순성 및 명료성을 위해, 대부분의 예들은 웨이퍼-페이스-다운 (wafer-face-down), "파운틴 (fountain)" 도금 장치에 관한 것일 것이다. 이러한 장치에서, 도금될 워크피스 (통상적으로 본 명세서에 제시된 예들에서 반도체 웨이퍼) 는 일반적으로 실질적으로 수평인 배향 (일부 경우들에서 전체 도금 프로세스 동안 또는 전체 도금 프로세스 중 일부 부분 동안 완전히 수평인 것으로부터 어느 정도 가변될 수도 있음) 을 갖고 그리고 도금 동안 회전하도록 전력 공급될 수도 있고, 일반적으로 수직으로 상측인 전해액 대류 패턴을 생성한다. 웨이퍼의 중심으로부터 웨이퍼의 에지로의 충돌 플로우 질량의 통합, 뿐만 아니라 웨이퍼의 중심에 대한 웨이퍼의 에지에서 회전하는 웨이퍼의 고유의 보다 높은 각속도는, 방사상으로 증가하는 전단 (웨이퍼에 평행한) 플로우 속도를 생성한다. 셀들/장치의 파운틴 도금 류의 부재의 일 예는 캘리포니아, 산 호세 소재의 Novellus Systems, Inc.에 의해 생산되고 입수 가능한 Sabre® Electroplating System이다. 부가적으로, 파운틴 전기 도금 시스템들은 예를 들어, 2001년 8월 10일 출원된 미국 특허 제 6,800,187 호 [대리인 문서 NOVLP020] 및 2008년 11월 7일 출원된 미국 특허 제 8,308,931 호 [대리인 문서 NOVLP299] 에 기술되고, 각각은 전체가 참조로서 본 명세서에 인용된다.
도금될 기판은 일반적으로 평면형이거나 실질적으로 평면형이다. 본 명세서에 사용된 바와 같이, 피처들, 예컨대 트렌치들, 비아들, 포토레지스트 패턴들 등을 가진 기판은 실질적으로 평면형일 것으로 간주된다. 종종 이들 피처들은 미시 규모이지만, 이것은 반드시 항상 그러한 것은 아니다. 많은 실시예들에서, 기판의 표면의 하나 이상의 부분들은 전해액에 대한 노출로부터 마스킹될 수도 있다.
도 1a 및 도 1b의 다음의 기술은 본 명세서에 기술된 장치 및 방법들의 이해를 돕도록 일반적인 비제한적인 맥락을 제공한다. 도 1a는 반도체 웨이퍼들을 전기 화학적으로 처리하기 위한 기판 홀딩 및 포지셔닝 장치 (100) 의 사시도를 제공한다. 장치 (100) 는 웨이퍼 인게이징 컴포넌트들 (때때로 본 명세서에서 "크램셸" 컴포넌트들로서 지칭됨) 을 포함한다. 실제 크램셸은 압력이 웨이퍼와 시일 사이에 인가될 수 있어서, 웨이퍼를 컵 내에 고정시킬 수 있는, 콘 (103) 및 컵 (102) 을 포함한다.
컵 (102) 은 상단 플레이트 (105) 에 연결되는, 받침대들 (104) 에 의해 지지된다. 이 어셈블리 (102 내지 105), 집합적으로 어셈블리 (101) 는 스핀들 (106) 을 통해 모터 (107) 에 의해 구동된다. 모터 (107) 는 장착 브래킷 (109) 에 부착된다. 스핀들 (106) 은 도금 동안 회전을 허용하도록 웨이퍼 (이 도면에는 도시되지 않음) 에 토크를 전달한다. 스핀들 (106) 내의 에어 실린더 (미도시) 는 또한 컵 내에 하우징된 시일링 부재 (립시일) 와 웨이퍼 사이에 시일을 생성하도록 컵과 콘 (103) 사이에 수직력을 제공한다. 이 논의의 목적들을 위해, 컴포넌트들 (102 내지 109) 을 포함한 어셈블리는 집합적으로 웨이퍼 홀더 (111) 로서 지칭된다. 그러나, "웨이퍼 홀더"의 개념은 일반적으로 웨이퍼를 인게이징하고 그리고 웨이퍼의 이동 및 포지셔닝을 허용하는 컴포넌트들의 다양한 조합들 및 서브-조합들로 확장된다는 것을 주의하라.
제 2 플레이트 (117) 에 슬라이드 가능하게 연결되는, 제 1 플레이트 (115) 를 포함한 틸팅 어셈블리는 장착 브래킷 (109) 에 연결된다. 구동 실린더 (113) 는 각각 피봇 조인트들 (119 및 121) 에서 플레이트 (115) 와 플레이트 (117) 양자에 연결된다. 그러므로, 구동 실린더 (113) 는 플레이트 (117) 에 걸쳐 플레이트 (115) (따라서 웨이퍼 홀더 (111)) 를 슬라이딩하기 위한 힘을 제공한다. 웨이퍼 홀더 (111) 의 원위 단부 (즉, 장착 브래킷 (109)) 는 플레이트들 (115 및 117) 사이의 콘택트 구역을 규정하는 호형 경로 (미도시) 를 따라 이동되고, 그러므로 웨이퍼 홀더 (111) 의 근위 단부 (즉, 컵 및 콘 어셈블리) 는 실제 피봇시 틸팅된다. 이것은 도금 욕 내로의 웨이퍼의 기울어진 진입을 허용한다.
전체 장치 (100) 는 또 다른 액추에이터 (미도시) 를 통해 도금 용액 내로 웨이퍼 홀더 (111) 의 근위 단부를 침지시키도록 위 또는 아래로 수직으로 리프팅된다. 그러므로, 2-컴포넌트 포지셔닝 메커니즘은 전해액에 대해 수직인 궤적을 따른 수직 이동 및 웨이퍼에 대해 수평인 배향 (전해액 표면에 대해 수평) 으로부터 탈선 (deviation) 을 허용하는 틸팅 이동 (기울어진-웨이퍼 침지력) 양자를 제공한다. 장치 (100) 의 이동 능력들 그리고 연관된 하드웨어의 보다 상세한 기술은, 전체가 참조로서 본 명세서에 인용되는, 2001년 5월 31일 출원되고 2003년 4월 22일 허여된 미국 특허 제 6,551,487 호 [대리인 문서 NOVLP022] 에 기술된다.
통상적으로 양극 (예를 들어, 구리 양극 또는 비금속 불활성 양극) 및 전해액을 하우징하는 도금 챔버를 가진 특정한 도금 셀을 가진 장치 (100) 가 사용된다는 것을 주의하라. 도금 셀은 또한 도금 셀을 통해 - 그리고 도금될 워크피스에 대하여 전해액을 순환시키기 위한 배관 또는 배관 연결부들을 포함할 수도 있다. 도금 셀은 또한 양극 격실 및 음극 격실 내에 상이한 전해액 화학물질들을 유지하도록 설계된 멤브레인들 또는 다른 분리기들을 포함할 수도 있다. 일 실시예에서, 일 멤브레인은 실질적으로 억제제들, 가속화제들, 또는 다른 유기 도금 첨가제들이 없는 전해액을 담는 양극 챔버를 규정하도록 채용되고, 또는 또 다른 실시예에서, 양극액 및 음극액의 무기 도금 조성물은 실질적으로 상이하다. 물리적 수단 (예를 들어 밸브들을 포함한 다이렉트 펌프, 또는 오버플로우 트로프 (trough)) 에 의해 주요 도금 욕으로 양극액 또는 음극액을 이송하는 수단이 선택 가능하게 또한 공급될 수도 있다.
다음의 기술은 크램셸의 컵 및 콘 어셈블리의 보다 많은 상세를 제공한다. 도 1b는 단면 포맷으로 콘 (103) 및 컵 (102) 을 포함한, 어셈블리 (100) 의 일부분 (101) 을 도시한다. 이 도면은 컵 및 콘 제품 어셈블리의 참된 도시로 의미되기보다는 논의 목적들을 위한 양식화된 도시임을 주의하라. 컵 (102) 은 나사들 (108) 을 통해 부착되는 받침대들 (104) 을 통해 상단 플레이트 (105) 에 의해 지지된다. 일반적으로, 컵 (102) 은 지지부를 제공하고 지지부 상에 웨이퍼 (145) 가 놓인다. 컵 (102) 은 개구를 포함하고 개구를 통해 도금 셀로부터의 전해액이 웨이퍼와 콘택트할 수 있다. 웨이퍼 (145) 는 도금이 발생하는 곳인 전면 (142) 을 갖는다는 것을 주의하라. 웨이퍼 (145) 의 주변부는 컵 (102) 상에 놓인다. 콘 (103) 은 도금 동안 웨이퍼를 고정시키도록 웨이퍼의 후면에 압력을 가한다.
웨이퍼를 101 내로 로딩하도록, 콘 (103) 은 콘 (103) 의 도시된 위치로부터 스핀들 (106) 을 통해 콘 (103) 이 상단 플레이트 (105) 를 터치할 (touch) 때까지 리프팅된다. 이 위치로부터, 갭이 컵과 콘 사이에 생성되고 갭 내로 웨이퍼 (145) 가 삽입될 수 있고, 따라서 웨이퍼가 갭 내로 로딩될 수 있다. 이어서 콘 (103) 은 도시된 바와 같이 컵 (102) 의 주변부에 대해 웨이퍼를 인게이징하고 그리고 웨이퍼의 외측 주변부를 따라 립 시일 (143) 을 넘어 방사상으로 일 세트의 전기적 콘택트들 (도 1b에 도시되지 않음) 과 매이팅하도록 (mate) 하강된다.
스핀들 (106) 은 콘 (103) 으로 하여금 웨이퍼 (145) 를 인게이징하게 하기 위한 수직력 및 어셈블리 (101) 를 회전시키기 위한 토크 양자를 전달한다. 이들 전달된 힘들은 도 1b에서 화살표들로 나타난다. 웨이퍼 도금은 통상적으로 웨이퍼가 회전하는 동안 (도 1b의 상단에 파선 화살표들로 나타낸 바와 같이) 발생한다는 것을 주의하라.
컵 (102) 은 콘 (103) 이 웨이퍼 (145) 를 인게이징할 때 유체 밀봉 시일을 형성하는 압축 가능한 립 시일 (143) 을 갖는다. 콘 및 웨이퍼로부터의 수직력은 유체 밀봉 시일을 형성하도록 립 시일 (143) 을 압축한다. 립 시일은 전해액이 웨이퍼 (145) 의 후면과 콘택트하는 것을 방지하고 (구리 또는 주석 이온들과 같은 오염 종을 실리콘 내로 바로 도입할 수 있는 경우) 그리고 장치 (101) 의 민감성 컴포넌트들과 콘택트하는 것을 방지한다. 또한 웨이퍼 (145) 의 후면 (미도시) 을 더 보호하도록 유체-밀봉 시일들을 형성하는 웨이퍼와 컵의 인터페이스 사이에 위치된 시일들이 있을 수도 있다.
콘 (103) 은 또한 시일 (149) 을 포함한다. 도시된 바와 같이, 시일 (149) 은 인게이징될 때 콘 (103) 의 에지 그리고 컵의 상부 구역 근방에 위치된다. 이것은 또한 웨이퍼 (145) 의 후면을 컵 위로부터 크램셸에 진입할 수도 있는 모든 전해액을부터 보호한다. 시일 (149) 은 콘 또는 컵에 부착될 수도 있고, 그리고 단일의 시일 또는 멀티 컴포넌트 시일일 수도 있다.
도금의 시작시, 콘 (103) 은 컵 (102) 위로 상승되고 그리고 웨이퍼 (145) 가 어셈블리 (102) 로 도입된다. 웨이퍼가 컵 (102) 내로 - 통상적으로 로봇 암에 의해 - 처음 도입될 때, 웨이퍼의 전면 (142) 은 립 시일 (143) 상에 살며시 놓인다. 도금 동안 어셈블리 (101) 는 균일한 도금을 달성하는 것을 돕도록 회전한다. 차후의 도면들에서, 어셈블리 (101) 는 도금 동안 웨이퍼 도금 표면 (142) 에서 전해액의 유체 역학을 제어하기 위한 컴포넌트들에 관하여 그리고 보다 매우 단순화된 포맷으로 도시된다. 그러므로, 워크피스에서의 유체 전단 및 질량 이송의 개요는 다음과 같다.
도 1c에 도시된 바와 같이, 도금 장치 (150) 는 양극 (160) 을 하우징하는 도금 셀 (155) 을 포함한다. 이 예에서, 전해액 (175) 은 양극 (160) 내의 개구를 통해 중심에서 셀 (155) 내로 흐르고, 그리고 전해액은 수직으로 배향된 (교차되지 않는) 쓰루 홀들을 가진 채널형 이온 저항성 엘리먼트 (170) 를 통과하고, 홀들을 통해 전해액이 흐르고 그리고 이어서 전해액이 웨이퍼 홀더 (101) 에 홀딩되고, 위치되고 그리고 웨이퍼 홀더 (101) 에 의해 이동되는 웨이퍼 (145) 상에서 충돌된다. 채널형 이온 저항성 엘리먼트들 (170) 은 웨이퍼 도금 표면 상의 균일한 충돌 플로우를 제공한다. 본 명세서에 기술된 특정한 실시예들에 따라, 이러한 채널형 이온 저항성 엘리먼트들을 활용하는 장치는 WLP 및 TSV 애플리케이션들과 같은 고 증착 레이트 레짐들 하의 도금을 포함한, 웨이퍼의 면에 걸친 고 균일성 도금 및 고 레이트를 용이하게 하는 방식으로 구성되고 그리고/또는 동작된다. 기술된 다양한 실시예들의 일부 또는 전부는 다마신뿐만 아니라 TSV 및 WLP 애플리케이션들의 맥락에서 구현될 수 있다.
도 1d 내지 도 1g는 도금될 기판의 면에 걸쳐 교차 플로우를 촉진하도록 사용될 수도 있는 특정한 기법들에 관한 것이다. 이들 도면들에 관하여 기술된 다양한 기법들은 교차 플로우를 촉진하기 위한 대안적인 전략들을 제시한다. 이와 같이, 이들 도면들에 기술된 특정한 엘리먼트들은 선택 가능하고, 그리고 모든 실시예들에서 제시되진 않는다.
일부 실시예들에서, 전해액 플로우 포트들은 본 명세서에 기술된 바와 같이 단독으로 또는 플로우 성형 플레이트 및 플로우 방향전환기와 결합하여 횡단 플로우를 돕도록 구성된다. 다양한 실시예들은 플로우 성형 플레이트 및 플로우 방향전환기와의 결합에 관하여 이하에 기술되지만, 본 발명은 이로 제한되지 않는다. 특정한 실시예들에서 웨이퍼 표면에 걸친 전해액 플로우 벡터들의 크기는 벤트 또는 갭 가까이에서 보다 크고 그리고 웨이퍼 표면에 걸쳐 계속해서 보다 작고, 벤트 또는 갭으로부터 보다 먼 의사 (pseudo) 챔버의 내부에서 보다 작다고 여겨진다는 것을 주의하라. 도 1d에 도시된 바와 같이, 적절하게 구성된 전해액 플로우 포트들을 사용함으로써, 이들 횡단 플로우 벡터들의 크기는 웨이퍼 표면에 걸쳐 보다 균일하다.
일부 실시예들은 플로우 성형 플레이트 및 플로우 방향전환기 어셈블리들와 함께 횡단 플로우 향상을 위해 구성된 전해액 유입부 플로우 포트들을 포함한다. 도 1e는 웨이퍼 홀더 (101) 에 의해 홀딩되고, 위치되고 그리고 회전되는 웨이퍼 (145) 상에 구리를 도금하기 위한 도금 장치 (725) 의 컴포넌트들의 단면을 도시한다. 장치 (725) 는 구리 양극 (160) 및 양극액을 가진 양극 챔버를 가진 듀얼 챔버 셀인 도금 셀 (155) 을 포함한다. 양극 챔버 및 음극 챔버는 지지 부재 (735) 에 의해 지지되는 카티온 멤브레인 (740) 에 의해 분리된다. 도금 장치 (725) 는 본 명세서에 기술된 바와 같이, 플로우 성형 플레이트 (410) 를 포함한다. 플로우 방향전환기 (325) 는 플로우 성형 플레이트 (410) 의 상단 상에 있고, 그리고 본 명세서에 기술된 바와 같이 횡단 전단 플로우를 생성하는 것을 돕는다. 음극액은 플로우 포트들 (710) 을 통해 (멤브레인 (740) 위의) 음극 챔버 내로 도입된다. 플로우 포트들 (710) 로부터, 음극액은 본 명세서에 기술된 바와 같이 플로우 플레이트 (410) 를 통과하고 그리고 웨이퍼 (145) 의 도금 표면 상에 충돌 플로우를 생성한다. 음극액 플로우 포트들 (710) 에 더하여, 부가적인 플로우 포트 (710a) 는 플로우 방향전환기 (325) 의 벤트 또는 갭에 대해 원위 위치의 출구에서 음극액을 도입한다. 이 예에서, 플로우 포트 (710a) 의 출구는 플로우 성형 플레이트 (410) 내의 채널로서 형성된다. 기능적 결과는 음극액 플로우가 웨이퍼 표면에 걸친 횡단 플로우를 향상시키고 그리고 이에 따라 웨이퍼 (및 플로우 플레이트 (410)) 에 걸친 플로우 벡터들을 정규화하도록 플로우 플레이트와 웨이퍼 도금 표면 사이에 형성된 의사 챔버 내로 바로 도입된다는 것이다.
도 1f는 (도 1e로부터) 플로우 포트 (710a) 를 도시한 플로우도를 도시한다. 도 1f에서 알 수 있는 바와 같이, 플로우 포트 (710a) 의 출구는 플로우 방향전환기 (730) 의 내측 원주의 90 도를 걸친다 (span). 당업자는 본 발명의 범위를 벗어나지 않고 포트 (710a) 의 치수들, 구성 및 위치가 가변할 수도 있다는 것을 이해할 것이다. 당업자는 또한 동일한 구성들이 도 1e에 도시된 바와 같은 (플로우 성형 플레이트 (410) 내의) 채널과 결합하여 그리고/또는 플로우 방향전환기 (325) 내의 포트 또는 채널로부터의 음극액 출구를 가진다는 것을 포함함을 이해할 것이다. 다른 실시예들은 플로우 방향전환기의 (하부) 측면 벽, 즉, 플로우 성형 플레이트 상단 표면에 가장 가까운 측면 벽 내에 하나 이상의 포트들을 포함하고, 하나 이상의 포트들은 벤트 또는 갭 반대편의 플로우 방향전환기의 일부분 내에 위치된다. 도 1g는 플로우 성형 플레이트 (410) 와 어셈블된 플로우 방향전환기 (730) 를 도시하고, 플로우 방향전환기 (730) 는 플로우 방향전환기의 갭 반대편의 플로우 방향전환기로부터 전해액을 공급하는 음극액 플로우 포트들 (710b) 을 갖는다. 710a 및 710b와 같은 플로우 포트들은 웨이퍼 도금 표면 또는 플로우 성형 플레이트 상단 표면에 대해 임의의 각으로 전해액을 공급할 수도 있다. 하나 이상의 플로우 포트들은 웨이퍼 표면에 충돌 플로우 그리고/또는 횡단 (전단) 플로우를 전달할 수 있다.
일 실시예에서, 예를 들어 도 1e 내지 도 1g에 관하여 기술된 바와 같이, 본 명세서에 기술된 바와 같은 플로우 성형 플레이트는 플로우 방향전환기와 함께 사용되고, (본 명세서에 기술된 바와 같은) 향상된 횡단 플로우에 대해 구성된 플로우 포트는 또한 플로우 플레이트/플로우 방향전환기 어셈블리와 함께 사용된다. 일 실시예에서 플로우 성형 플레이트는 불균일한 홀 분포를 갖고, 일 실시예에서, 나선형 홀 패턴을 갖는다.
용어 및 플로우 경로들
수많은 도면들이 본 명세서에 개시된 실시예들을 더 예시하고 설명하도록 제공된다. 도면들은 여러가지 중에서, 개시된 전기 도금 장치와 연관된 플로우 경로들 및 구조적 엘리먼트들의 다양한 도면들을 포함한다. 이들 엘리먼트들에는 도 2 내지 도 22a 및 도 22b를 기술함에 있어서 일관되게 사용되는, 특정한 명칭들/참조 번호들이 주어진다.
다음의 실시예들은 대부분의 부분에 대해, 전기 도금 장치가 별개의 양극 챔버를 포함한다고 추정한다. 기술된 피처들은 음극 챔버로부터 양극 챔버를 분리하는 멤브레인 (202) 및 멤브레인 프레임 (274) 을 포함하는 음극 챔버 내에 포함된다. 임의의 수의 가능한 양극 및 양극 챔버 구성들이 채용될 수도 있다. 다음의 실시예들에서, 음극 챔버 내에 담긴 음극액은 이들 2 개의 별개의 매니폴드들로 음극액을 전달하기 위해 교차 플로우 매니폴드 (226) 내 또는 CIRP 매니폴드 (208) 내 또는 채널들 (258 및 262) 내에 대체로 위치된다.
다음의 기술에서 대부분의 포커스는 교차 플로우 매니폴드 (226) 내의 음극액을 제어하는 것에 관한 것이다. 음극액은 2 개의 별개의 진입 지점들: (1) CIRP (206) 내의 채널들 그리고 (2) 교차 플로우 개시 구조체 (250) 를 통해 교차 플로우 매니폴드 (226) 에 진입한다. CIRP (206) 내의 채널들을 통해 교차 플로우 매니폴드 (226) 에 도착한 음극액은 워크피스의 면을 향하여, 통상적으로 실질적으로 수직인 방향으로 지향된다. 이러한 채널 전달된 음극액은 통상적으로 채널형 플레이트에 대해 느리게 (예를 들어, 약 1 내지 30 rpm) 회전하는, 워크피스의 면에 충돌하는 작은 제트들을 형성할 수도 있다. 교차 플로우 개시 구조체 (250) 를 통해 교차 플로우 매니폴드 (226) 에 도착한 음극액은 대조적으로, 워크피스의 면에 실질적으로 평행하게 지향된다.
상기의 논의에서 나타낸 바와 같이, "채널형 이온 저항성 엘리먼트" (206) (또는 "채널형 이온 저항성 엘리먼트" 또는 "CIRP") 는 전기장을 성형하고 그리고 전해액 플로우 특성들을 제어하도록, 도금 동안 작용 전극 (웨이퍼 또는 기판) 과 상대 전극 (양극) 사이에 위치된다. 본 명세서의 다양한 도면들은 개시된 장치의 다른 구조적 피처들에 대해 CIRP (206) 의 상대적인 위치를 도시한다. 이러한 이온 저항성 엘리먼트 (206) 의 일 예는 전체가 참조로서 본 명세서에 이전에 인용되었던 2008년 11월 7일 출원된 미국 특허 제 8,308,931 호 [대리인 문서 NOVLP299] 에 기술된다. 본 명세서에 기술된 CIRP는 상대적으로 저 전도도를 포함한 표면들 또는 매우 얇은 저항성 씨드 층들을 포함한 표면들과 같은 웨이퍼 표면들 상의 방사상 도금 균일성을 개선하기에 적합하다. 채널형 엘리먼트의 특정한 실시예들의 추가의 양태들은 이하에 기술된다.
"멤브레인 프레임" (274) (때때로 다른 문서들에서 양극 멤브레인 프레임으로서 지칭됨) 은 일부 실시예들에서 양극 챔버를 음극 챔버로부터 분리하는 멤브레인 (202) 을 지지하도록 채용된 구조적 엘리먼트이다. 멤브레인 프레임은 본 명세서에 개시된 특정한 실시예들에 관련된 다른 피처들을 가질 수도 있다. 특히, 도면들의 실시예들에 대해, 멤브레인 프레임은 교차 플로우 매니폴드 (226) 로 교차하여 흐르는 음극액을 전달하도록 구성된 샤워헤드 (242) 및 교차 플로우 매니폴드 (226) 를 향하여 음극액을 전달하기 위한 플로우 채널들 (258 및 262) 을 포함할 수도 있다. 멤브레인 프레임 (274) 은 또한 음극액의 최상의 레벨을 결정하고 조절하기에 유용한 셀 위어 (weir) 벽 (282) 을 포함할 수도 있다. 본 명세서의 다양한 도면들은 개시된 교차 플로우 장치와 연관된 다른 구조적 피처들의 맥락에서 멤브레인 프레임 (274) 을 도시한다.
도 2를 다시 참조하면, 멤브레인 프레임 (274) 은 통상적으로 양극 챔버를 음극 챔버로부터 분리할 책임이 있는 이온 교환 멤브레인인 멤브레인 (202) 을 홀딩하기 위한 단단한 구조적 부재이다. 설명된 바와 같이, 양극 챔버는 제 1 조성물의 전해액을 담을 수도 있지만 음극 챔버는 제 2 조성물의 전해액을 담는다. 멤브레인 프레임 (274) 은 또한 채널형 이온 저항성 엘리먼트 (206) 로의 유체 전달의 제어를 돕도록 사용될 수도 있는 복수의 유체 조정 로드들 (rod) (270) (때때로 플로우 제한 엘리먼트들로서 지칭됨) 을 포함할 수도 있다. 멤브레인 프레임 (274) 은 음극 챔버의 최저 부분 및 양극 챔버의 최상부 부분을 규정한다. 기술된 컴포넌트들은 모두 양극 챔버 멤브레인 (202) 및 양극 챔버 위의 전기 화학 도금 셀의 워크피스 측면 상에 위치된다. 컴포넌트들은 모두 음극 챔버의 부품인 것으로 보일 수 있다. 그러나, 교차 플로우 주입 장치의 특정한 구현예들은 분리된 양극 챔버를 채용하지 않고, 따라서 멤브레인 프레임 (274) 은 필수적이지 않음이 이해되어야 한다.
CIRP (206) 에 각각 부착될 수도 있는 웨이퍼 교차 플로우 한정 링 (210) 및 교차 플로우 링 개스킷 (238) 뿐만 아니라 CIRP (206) 가 워크피스 및 멤브레인 프레임 (274) 사이에 일반적으로 위치된다. 보다 구체적으로, 교차 플로우 링 개스킷 (238) 은 CIRP (206) 최상단에 바로 위치될 수도 있고, 그리고 웨이퍼 교차 플로우 한정 링 (210) 은 교차 플로우 링 개스킷 (238) 위에 위치되고 실질적으로 개스킷 (238) 을 샌드위치하여 CIRP (206) 의 상단 표면에 부착될 수도 있다. 본 명세서의 다양한 도면들은 CIRP (206) 에 대해 배치된 교차 플로우 한정 링 (210) 을 도시한다.
도 2에 도시된 바와 같이, 본 개시의 최상부에 관련된 구조적 피처는 워크피스 또는 웨이퍼 홀더이다. 특정한 실시예들에서, 워크피스 홀더는 상기에 언급된 Novellus Systems의 Sabre® 전기 도금 툴에서 구현된 설계와 같은 콘 및 컵 크램셸 타입 설계들에서 흔히 사용되는 (254) 일 수도 있다. 도 2 및 도 8a 및 도 8b는 예를 들어, 장치의 다른 엘리먼트들에 대한 컵 (254) 의 상대적인 배향을 도시한다.
다양한 실시예들에서, 에지 플로우 엘리먼트 (도 2에 도시되지 않음) 가 제공될 수도 있다. 에지 플로우 엘리먼트는 일반적으로 CIRP (206) 위 및/또는 CIRP (206) 내, 그리고 컵 (254) 아래인 위치에 제공될 수도 있다. 에지 플로우 엘리먼트는 이하에 더 기술된다.
도 3a는 본 명세서에 개시된 실시예에 따른, 교차 플로우 유입부 측의 클로즈 업된 단면도를 도시한다. 도 3b는 본 명세서의 실시예에 따른, 교차 플로우 유출부 측의 클로즈 업된 단면도를 도시한다. 도 4는 본 명세서의 특정한 실시예들에 따른, 유입부 측 및 유출부 측 양자를 도시한 도금 장치의 단면도를 도시한다. 도금 프로세스 동안, 음극액은 멤브레인 프레임 (274) 상의 멤브레인 (202) 의 상단부와 멤브레인 프레임 위어 벽 (282) 사이의 구역을 충진하고 구역을 점유한다. 이 음극액 구역은 3 개의 서브-구역들로 세분화될 수 있다: 1) (양극 챔버 카티온 멤브레인을 채용한 설계들을 위한) 분리된-양극-챔버 카티온-멤브레인 (202) (이 엘리먼트는 또한 때때로 하부 매니폴드 구역 (208) 으로서 지칭됨) 위 그리고 CIRP (206) 아래의 CIRP 매니 폴드 구역 (208), 2) CIRP (206) 의 상부 표면과 웨이퍼 사이의 교차 플로우 매니폴드 구역 (226), 및 3) 크램셸/컵 (254) 의 외부 그리고 (멤브레인 프레임 (274) 의 물리적 부품인) 셀 위어 벽 (282) 내부의 상부 셀 구역 또는 "전해액 방지 구역". 웨이퍼가 침지되지 않고 크램셸/컵 (254) 이 하측 위치에 있지 않을 때, 제 2 구역 및 제 3 구역은 일 구역에 결합된다.
워크피스가 워크피스 홀더 (254) 내에 설치될 때 워크피스의 하단부와 CIRP (206) 의 상단부 사이의 상기 구역 (2) 은 음극액을 담고 그리고 "교차 플로우 매니폴드" (226) 로서 지칭된다. 일부 실시예들에서, 음극액은 단일의 유입부 포트를 통해 음극 챔버에 진입한다. 다른 실시예들에서, 음극액은 도금 셀 내의 다른 부분에 위치된 하나 이상의 포트들을 통해 음극 챔버에 진입한다. 일부 경우들에서, 양극 챔버 셀 벽들로부터 제외되고 양극 챔버 주변에, 셀의 욕에 대한 단일의 유입부가 있다. 이 유입부는 양극 챔버 및 셀의 베이스에서 중심 음극액 유입부 매니폴드에 연결된다. 특정한 개시된 실시예들에서, 주요 음극액 매니폴드 챔버는 복수의 음극액 챔버 유입부 홀들 (예를 들어, 12 음극액 챔버 유입부 홀들) 을 피딩한다 (feed). 다양한 경우들에서, 이들 음극액 챔버 유입부 홀들은 2 개의 그룹들로 분할된다: 교차 플로우 주입 매니폴드 (222) 에 음극액을 피딩하는 제 1 그룹, CIRP 매니폴드 (208) 에 음극액을 피딩하는 제 2 그룹. 도 3b는 채널 (262) 을 통해 CIRP 매니폴드 (208) 를 피딩하는 단일의 유입부 홀의 단면을 도시한다. 점선은 유체 플로우의 경로를 나타낸다.
2 개의 상이한 플로우 경로들 또는 스트림들로의 음극액의 분리는 중심 음극액 유입부 매니폴드 (미도시) 내의 셀의 베이스에서 발생한다. 이 매니폴드는 셀의 베이스에 연결된 단일의 파이프에 의해 피딩된다. 주요 음극액 매니폴드로부터, 음극액의 플로우는 2 개의 스트림들로 분리된다: 셀의 일 측면 상에 위치된 12개의 피더 (feeder) 홀들 중 6 개는 CIRP 매니폴드 구역 (208) 을 소싱하도록 (source) 이어지고 그리고 결국 CIRP의 다양한 마이크로채널들을 통해 충돌하는 음극액 플로우를 공급한다. 다른 6 홀들이 또한 중심 음극액 유입부 매니폴드로부터 피딩되지만, 이어서 결국 교차 플로우 샤워헤드 (242) 의 분배 홀들 (246) (100 초과의 수일 수도 있음) 을 피딩하는, 교차 플로우 주입 매니폴드 (222) 로 이어진다. 교차 플로우 샤워헤드 홀들 (246) 을 떠난 후에, 음극액의 플로우 방향은 (a) 웨이퍼에 대해 수직으로부터 (b) 웨이퍼에 대해 평행하게 변화한다. 이 플로우의 변화는 플로우가 교차 플로우 한정 링 (210) 의 유입부 캐비티 (250) 내의 표면 상에 충돌하고 표면에 의해 국한되기 때문에 발생한다. 마침내, 교차 플로우 매니폴드 구역 (226) 에 진입할 시에, 중심 음극액 유입부 매니폴드 내의 셀의 베이스에서 처음에 분리된, 2 개의 음극액 플로우들이 다시 합쳐진다.
도면들에 도시된 실시예들에서, 음극 챔버에 진입한 음극액의 일부는 CIRP 매니폴드 (208) 에 바로 제공되고 그리고 일부분은 교차 플로우 주입 매니폴드 (222) 에 바로 제공된다. 적어도 일부, 그리고 종종 항상 그러한 것은 아니지만, CIRP 매니폴드 (208) 에 그리고 이어서 CIRP 하부 표면에 전달된 모든 음극액은 플레이트 (206) 내의 다양한 마이크로채널들을 통과하고 그리고 교차 플로우 매니폴드 (226) 에 도달한다. CIRP (206) 내의 채널들을 통해 교차 플로우 매니폴드 (226) 에 진입한 음극액은 실질적으로 수직으로 지향된 제트들로서 교차 플로우 매니폴드에 진입한다 (일부 실시예들에서, 채널들은 채널들이 웨이퍼의 표면에 대해 완벽히 직각이 아니도록, 비스듬히 이루어지고, 예를 들어, 제트의 각은 웨이퍼 표면 법선에 대해 최대 약 45 도일 수도 있음). 교차 플로우 주입 매니폴드 (222) 에 진입하는 음극액의 일부는 음극액이 웨이퍼 아래의 수평으로 배향된 교차 플로우로서 진입하는 교차 플로우 매니폴드 (226) 에 바로 전달된다. 교차 플로우 매니폴드 (226) 에 대해 이 방식으로, 교차하여 흐르는 음극액은 교차 플로우 주입 매니폴드 (222) 및 교차 플로우 샤워헤드 플레이트 (242) (예를 들어, 약 0.048"의 직경을 가진 약 139 개의 분포된 홀들 (246) 을 포함함) 를 통과하고, 그리고 이어서 교차 플로우 한정 링 (210) 의 입구 캐비티 (250) 의 액션들/기하학적 구조에 의해 수직으로 상측인 플로우로부터 웨이퍼 표면에 평향한 플로우로 재지향된다.
교차 플로우와 제트들의 절대각들은 정확히 수평이거나 정확히 수직일 필요가 없거나 심지어 서로에 대해 정확히 90°로 배향되지 않는다. 그러나, 일반적으로, 교차 플로우 매니폴드 (226) 내의 음극액의 교차 플로우는 일반적으로 마이크로 CIRP (206) 의 일반적인 플로우의 상단 표면으로부터 워크피스의 표면을 향하고/워크피스의 표면에 대해 수직으로 나오는 음극액의 제트들의 방향 및 워크피스 표면의 방향을 일반적으로 따른다.
언급된 바와 같이, 음극 챔버에 진입한 음극액은 (i) CIRP 매니폴드 (208) 로부터 CIRP (206) 내의 채널들을 통해 그리고 이어서 교차 플로우 매니폴드 (226) 내로 흐르는 음극액과 (ii) 교차 플로우 주입 매니폴드 (222) 내로, 샤워헤드 (242) 내의 홀들 (246) 을 통해, 그리고 이어서 교차 플로우 매니폴드 (226) 내로 흐르는 음극액으로 분할된다. 교차 플로우 주입 매니폴드 구역 (222) 으로부터 바로 진입한 플로우는 때때로 교차 플로우 측면 유입부들 (250) 로서 지칭된, 교차 플로우 한정 링 입구 포트들을 통해 진입할 수도 있고, 그리고 웨이퍼에 평행하게 그리고 셀의 일 측면으로부터 나올 수도 있다. 대조적으로, CIRP (206) 의 마이크로채널들을 통해 교차 플로우 매니폴드 구역 (226) 에 진입한 유체의 제트들은, 웨이퍼 아래 그리고 교차 플로우 매니폴드 (226) 아래로부터 진입하고, 그리고 제팅 (jetting) 유체는 때때로 또한 교차 플로우 유출부 또는 유출부로서 지칭된, 교차 플로우 한정 링 출구 포트 (234) 를 향하여 그리고 웨이퍼에 평행하게 흐르도록 교차 플로우 매니폴드 (226) 내에서 방향전환된다 (재지향됨).
일부 실시예들에서, 음극 챔버에 진입한 유체는 전기 도금 셀 챔버의 음극 챔버 부분의 주변 (종종 주변 벽) 주위에 분포된 복수의 채널들 (258 및 262) 내로 지향된다. 특정한 실시예에서, 음극 챔버의 벽 내에 포함된 12 개의 이러한 채널들이 있다.
음극 챔버 벽들 내의 채널들은 멤브레인 프레임 내의 대응하는 "교차 플로우 피드 채널들"에 연결될 수도 있다. 이들 피드 채널들 (262) 중 일부는 음극액을 CIRP 매니폴드 (208) 에 바로 전달한다. 언급된 바와 같이, 이 매니폴드에 제공된 음극액은 나중에 CIRP (206) 의 작은 수직으로 배향된 채널들을 통과하고 그리고 음극액의 제트들로서 교차 플로우 매니폴드 (226) 에 진입한다.
언급된 바와 같이, 도면들에 도시된 실시예에서, 음극액은 12 개의 음극액 피더 라인들/튜브들 중 6 개를 통해 "CIRP 매니폴드 챔버" (208) 를 피딩한다. CIRP 매니폴드 (208) 를 피딩하는 이들 6 개의 주요 튜브들 또는 라인들 (262) 은 (유체가 웨이퍼 아래의 교차 플로우 매니폴드 구역 (226) 으로부터 나가는) 교차 플로우 한정 링의 출구 캐비티 (234) 아래, 그리고 모든 교차 플로우 매니폴드 컴포넌트들 (교차 플로우 주입 매니폴드 (222), 샤워헤드 (242), 및 한정 링 입구 캐비티 (250)) 반대편에 놓인다.
다양한 도면들에서 도시된 바와 같이, 멤브레인 프레임 내의 일부 교차 플로우 피드 채널들 (258) 은 교차 플로우 주입 매니폴드 (222) 로 바로 이어진다 (예를 들어, 12 개 중 6 개). 이들 교차 플로우 피드 채널들 (258) 은 셀의 양극 챔버의 베이스에서 시작되고 그리고 이어서 멤브레인 프레임 (274) 의 매칭 채널들을 통과하고 그리고 이어서 CIRP (206) 의 하부 부분 상의 대응하는 교차 플로우 피드 채널들 (258) 과 연결된다. 예를 들어, 도 3a를 참조하라.
특정한 실시예에서, 음극액을 교차 플로우 주입 매니폴드 (222) 로 그리고 이어서 교차 플로우 매니폴드 (226) 로 전달하기 위한 6 개의 별개의 피드 채널들 (258) 이 있다. 교차 플로우 매니폴드 (226) 내의 교차 플로우를 달성하기 위해서, 이들 채널들 (258) 은 방위각으로 불균일한 방식으로 교차 플로우 매니폴드 (226) 내로 나간다. 특히, 채널들 (258) 은 교차 플로우 매니폴드 (226) 의 방위각 구역 또는 특정한 측면에서 교차 플로우 매니폴드 (226) 에 진입한다. 도 3a에 도시된 특정한 실시예에서, 음극액을 교차 플로우 주입 매니폴드 (222) 로 바로 전달하기 위한 유체 경로들 (258) 은 교차 플로우 주입 매니폴드 (222) 에 도달하기 전에 4 개의 별개의 엘리먼트들을 통과한다: (1) 셀의 양극 챔버 벽 내의 전용 채널들, (2) 멤브레인 프레임 (274) 내의 전용 채널들, (3) 채널형 이온 저항성 엘리먼트 (206) 의 전용 채널들 (즉, 음극액을 CIRP 매니폴드 (208) 로부터 교차 플로우 매니폴드 (226) 를 통해 전달하기 위해 사용된 1-D 채널들이 아님), 및 마지멤브레인으로, (4) 웨이퍼 교차 플로우 한정 링 (210) 내의 유체 경로들.
언급된 바와 같이, 멤브레인 프레임 (274) 을 통과하고 교차 플로우 주입 매니폴드 (222) 를 피딩하는 플로우 경로들의 부분들은 멤브레인 프레임 내의 교차 플로우 피드 채널들 (258) 로서 지칭된다. 마이크로 CIRP (206) 를 통과하고 CIRP 매니폴드를 피딩하는 플로우 경로들의 부분들은 CIRP 매니폴드 (208) 를 피딩하는 교차 플로우 피드 채널들 (262), 또는 CIRP 매니폴드 피드 채널들 (262) 로서 지칭된다. 즉, 용어 "교차 플로우 피드 채널"은 교차 플로우 주입 매니폴드 (222) 를 피딩하는 음극액 피드 채널들 (258) 및 CIRP 매니폴드 (208) 를 피딩하는 음극액 피드 채널들 (262) 양자를 포함한다. 이들 플로우들 (258 및 262) 사이의 일 차이는 상기에 언급되었다: CIRP (206) 를 통한 플로우의 방향은 처음에 웨이퍼로 지향되고 그리고 이어서 교차 플로우 한정 링 (210) 및 웨이퍼의 존재에 기인하여 웨이퍼에 평행하게 터닝되고 (turned), 반면에 교차 플로우 주입 매니폴드 (222) 로부터 교차 플로우 한정 링 입구 포트들 (250) 을 통해 외부로 나오는 교차 플로우 부분은 웨이퍼에 대해 실질적으로 평행하게 시작된다. 임의의 특정한 모델 또는 이론에 매이지 않고, 충돌 플로우와 평행한 플로우의 이 결합 및 혼합은 리세스된/임베딩된 피처 내의 실질적으로 개선된 플로우 통과를 용이하게 하고 이에 따라 질량 이송을 개선한다고 여겨진다. 웨이퍼 아래에 공간적으로 균일한 대류 플로우 필드를 생성하고 그리고 웨이퍼를 회전시킴으로써, 피처 각각, 그리고 다이 각각은 회전 및 도금 프로세스 동안 거의 동일한 플로우 패턴을 나타낸다.
플레이트의 마이크로채널들을 통과하지 않는 (대신에 웨이퍼의 면에 평행한 플로우로서 교차 플로우 매니폴드 (226) 에 진입하는) CIRP (206) 내의 플로우 경로는, 플로우 경로가 플레이트 (206) 내의 교차 플로우 피드 채널 (258) 을 통과하기 때문에 수직으로 상측인 방향으로 시작되고, 그리고 이어서 CIRP (206) 의 바디 내에 형성된 교차 플로우 주입 매니폴드 (222) 에 진입한다. 교차 플로우 주입 매니폴드 (222) 는 유체를 다양한 개별적인 피드 채널들 (258) 로부터 (예를 들어, 개별적인 6 개의 교차 플로우 피드 채널들 각각으로부터) 교차 플로우 샤워헤드 플레이트 (242) 의 다양한 복수의 플로우 분배 홀들 (246) 로 분배할 수 있는 플레이트 (206) 내의 더그 아웃 채널 (dug out channel) 일 수도 있는 방위각 캐비티이다. 이 교차 플로우 주입 매니폴드 (222) 는 CIRP (206) 의 주변 또는 에지 구역의 각이 진 섹션을 따라 위치된다. 예를 들어, 도 3a 및 도 4 내지 도 6을 참조하라. 특정한 실시예들에서, 교차 플로우 주입 매니폴드 (222) 는 플레이트의 주변 구역의 약 90 내지 180°의 각에 걸친 C-형상의 구조체를 형성한다. 특정한 실시예들에서, 교차 플로우 주입 매니폴드 (222) 의 각도 규모는 약 120 내지 약 170°이고, 그리고 보다 특정한 실시예에서 약 140 내지 150°이다. 이들 또는 다른 실시예들에서, 교차 플로우 주입 매니폴드 (222) 의 각도 규모는 적어도 약 90°이다. 많은 구현예들에서, 샤워헤드 (242) 는 교차 플로우 주입 매니폴드 (222) 와 대략 동일한 각도 규모에 걸친다. 또한, 전체 유입부 구조체 (250) (많은 경우들에서 교차 플로우 주입 매니폴드 (222), 샤워헤드 (242), 샤워헤드 홀들 (246), 및 교차 플로우 한정 링 내의 개구 중 하나 이상을 포함함) 는 이들 동일한 각도 규모들에 걸칠 수도 있다.
일부 실시예들에서, 주입 매니폴드 (222) 내의 교차 플로우는 CIRP (206) 내에 연속적인 유체적으로 커플링된 캐비티를 형성한다. 이 경우에 교차 플로우 주입 매니폴드를 피딩하는 모든 교차 플로우 피드 채널들 (258) (예를 들어, 모두 6 개) 은 하나의 연속적이고 연결된 교차 플로우 주입 매니폴드 챔버 내로 나간다. 다른 실시예들에서, 교차 플로우 주입 매니폴드 (222) 및/또는 교차 플로우 샤워헤드 (242) 는 도 5에 도시된 바와 같이 (6 개의 분리된 세그먼트들을 나타냄), 2 개 이상의 각을 이루어 뚜렷이 구별되고 완전히 또는 부분적으로 분리된 세그먼트들로 분할된다. 일부 실시예들에서, 각을 이루어 분리된 세그먼트들의 수는 약 1 내지 12 개, 또는 약 4 내지 6 개이다. 특정한 실시예에서, 이들 각을 이루어 뚜렷이 구별되는 세그먼트들 각각은 CIRP (206) 내에 배치된 별개의 교차 플로우 피드 채널 (258) 에 유체적으로 커플링된다. 그러므로, 예를 들어, 교차 플로우 주입 매니폴드 (222) 내에 6 개의 각을 이루어 뚜렷이 구별되고 분리된 서브구역들이 있을 수도 있다. 특정한 실시예들에서, 교차 플로우 주입 매니폴드 (222) 의 이들 뚜렷이 구별되는 서브구역들 각각은 동일한 체적 및/또는 동일한 각도 규모를 갖는다.
많은 경우들에서, 음극액은 교차 플로우 주입 매니폴드 (222) 를 나가고 그리고 많은 각을 이루어 분리된 음극액 유출부 포트들 (홀들) (246) 을 가진 교차 플로우 샤워헤드 플레이트 (242) 를 통과한다. 예를 들어 도 2, 도 3a 및 도 3b, 도 6을 참조하라. 특정한 실시예들에서, 교차 플로우 샤워헤드 플레이트 (242) 는 예를 들어 도 6에 도시된 바와 같이, CIRP (206) 와 통합된다. 일부 실시예들에서 샤워헤드 플레이트 (242) 는 CIRP (206) 의 교차 플로우 주입 매니폴드 (222) 의 상단부에 접착제로 부착되거나, 볼트로 결합되거나, 다른 방식으로 부착된다. 특정한 실시예들에서, 교차 플로우 샤워헤드 (242) 의 상단 표면은 CIRP (206) 의 플레인 또는 상단 표면과 동일한 높이이거나 (flush) CIRP (206) 의 플레인 또는 상단 표면 위로 약간 상승된다. 이 방식으로, 음극액이 CIRP의 상단 면과 실질적으로 평행한 방향으로 교차 플로우 매니폴드 (226) 에 진입하도록, 교차 플로우 주입 매니폴드 (222) 를 통해 흐르는 음극액은 처음에 샤워헤드 홀들 (246) 을 통해 수직으로 상측으로 그리고 이어서 교차 플로우 한정 링 (210) 아래로 측면 방향으로 그리고 교차 플로우 매니폴드 (226) 내로 이동할 수도 있다. 다른 실시예들에서, 샤워헤드 홀들 (246) 을 나간 음극액이 이미 웨이퍼-평행한 방향으로 이동하도록, 샤워헤드 (242) 가 배향될 수도 있다.
특정한 실시예에서, 교차 플로우 샤워헤드 (242) 는 139 개의 각을 이루어 분리된 음극액 유출부 홀들 (246) 을 갖는다. 보다 일반적으로, 교차 플로우 매니폴드 (226) 내에 균일한 교차 플로우를 상당히 확립하는 임의의 수의 홀들이 채용될 수도 있다. 특정한 실시예들에서, 교차 플로우 샤워헤드 (242) 내에 약 50 내지 약 300 개의 이러한 음극액 유출부 홀들 (246) 이 있다. 특정한 실시예들에서, 약 100 내지 200 개의 이러한 홀들이 있다. 특정한 실시예들에서, 약 120 내지 160 개의 이러한 홀들이 있다. 일반적으로, 개별적인 포트들 또는 홀들 (246) 의 직경 사이즈는 약 0.020" 내지 0.10" 범위, 보다 구체적으로 약 0.03" 내지 0.06" 범위일 수 있다.
특정한 실시예들에서, 이들 홀들 (246) 은 각을 이루어 균일한 방식으로 교차 플로우 샤워헤드 (242) 의 전체 각도 규모를 따라 배치된다 (즉, 홀들 (246) 사이의 간격은 셀 중심과 2 개의 인접한 홀들 사이의 고정각에 의해 결정됨). 예를 들어 도 3a 및 도 7을 참조하라. 다른 실시예들에서, 홀들 (246) 은 각을 이루어 불균일한 방식으로 각도 규모를 따라 분포된다. 추가의 실시예들에서, 각을 이루어 불균일한 홀 분포는 그럼에도 불구하고 선형으로 ("x" 방향) 균일한 분포이다. 또 다른 방식으로, 이 후자의 경우에, 홀 분포는 홀들이 교차 플로우의 방향에 수직인 축 (이 축은 "x" 방향임) 상에 투영된다면 멀리 떨어져서 동일하게 이격되도록 이루어진다. 홀 (246) 각각은 셀 중심으로부터 동일한 방사상 거리에 위치되고 그리고 인접한 홀들로부터 "x" 방향으로 동일한 거리만큼 이격된다. 이들 각을 이루어 불균일한 홀들 (246) 을 가진 순 (net) 효과는 전체 교차 플로우 패턴이 보다 많이 균일하다는 것이다. 교차 플로우 샤워헤드 홀들 (246) 에 대한 이들 2 개의 타입들의 배치들은 이하의 실험 섹션에서 더 검토된다. 도 22b 및 이하의 연관된 논의를 참조하라.
특정한 실시예들에서, 교차 플로우 샤워헤드 (242) 를 나가는 음극액의 방향은 웨이퍼 교차 플로우 한정 링 (210) 에 의해 더 제어된다. 특정한 실시예들에서, 이 링 (210) 은 CIRP (206) 의 전체 원주에 걸쳐 연장한다. 특정한 실시예들에서, 교차 플로우 한정 링 (210) 의 단면은 도 3a 및 도 4에 도시된 바와 같이 L-형상을 갖는다. 특정한 실시예들에서, 웨이퍼 교차 플로우 한정 링 (210) 은 교차 플로우 샤워헤드 (242) 의 유출부 홀들 (246) 과 유체로 연통하는 방향성 핀들 (266) 과 같은 일련의 플로우 지향 엘리먼트들을 포함한다. 보다 구체적으로, 방향성 핀들 (266) 은 인접한 방향성 핀들 (266) 사이 및 웨이퍼 교차 플로우 한정 링 (210) 의 상부 표면 아래에 대체로 분리된 유체 통로들을 규정한다. 일부 경우들에서, 핀들 (266) 의 목적은 교차 플로우 샤워헤드 홀들 (246) 로부터 나간 플로우를 다른 방식으로 방사상으로 내측 방향으로부터 "좌측에서 우측으로" 플로우 궤적 (좌측은 교차 플로우의 유입부 측 (250) 이고, 우측은 유출부 측 (234) 임) 으로 재지향시키고 제한하는 것이다. 이것은 실질적으로 선형인 교차 플로우 패턴을 확립하는 것을 돕는다. 교차 플로우 샤워헤드 (242) 의 홀들 (246) 을 나가는 음극액은 방향성 핀들 (266) 의 배향에 의해 유발된 플로우 스트림라인을 따라 방향성 핀들 (266) 에 의해 지향된다. 특정한 실시예들에서, 웨이퍼 교차 플로우 한정 링 (210) 의 모든 방향성 핀들 (266) 은 서로 평행하다. 이 평행한 배치는 교차 플로우 매니폴드 (226) 내에 균일한 교차 플로우 방향을 확립하는 것을 돕는다. 다양한 실시예들에서, 웨이퍼 교차 플로우 한정 링 (210) 의 방향성 핀들 (266) 은 교차 플로우 매니폴드 (226) 의 유입부 (250) 및 유출부 (234) 측면 양자를 따라 배치된다. 이것은 예를 들어 도 7의 평면도에 예시된다.
나타낸 바와 같이, 교차 플로우 매니폴드 (226) 내에서 흐르는 음극액은 도 3b 및 도 4에 도시된 바와 같이, 웨이퍼 교차 플로우 한정 링 (210) 의 유입부 구역 (250) 으로부터 링 (210) 의 유출부 측 (234) 으로 지나간다. 특정한 실시예들에서, 유출부 측 (234) 에, 유입부 측 상의 방향성 핀들 (266) 에 평행하고 방향성 핀들 (266) 과 정렬할 수도 있는 복수의 방향성 핀들 (266) 이 있다. 교차 플로우는 유출부 측 (234) 상의 방향성 핀들 (266) 에 의해 생성된 채널들을 통해 이어서 결국 바로 교차 플로우 매니폴드 (226) 밖으로 지나간다. 이어서 플로우는 수집 및 재순환을 위한 위어 (282) 위를 흐르기 전에 멤브레인 프레임의 상부 위어 벽 (282) 에 의해 수집되고 일시적으로 보유된 유체와 함께, 웨이퍼 홀더 (254) 및 교차 플로우 한정 링 (210) 을 넘어 그리고 일반적으로 방사상으로 외측으로 음극 챔버의 또 다른 구역으로 지나간다. 그러므로 도면들 (예를 들어, 도 3a, 도 3b 및 도 4) 이 교차 플로우 매니폴드에 진입하고 나가는 음극액의 전체 회로의 부분적인 경로만을 도시한다는 것이 이해되어야 한다. 도 3b 및 도 4에 도시된 실시예에서, 예를 들어, 교차 플로우 매니폴드 (226) 로부터 나가는 유체는 작은 홀들을 통해 또는 다시 유입부 측 상의 피드 채널들 (258) 과 유사한 채널들을 통해 지나가지 않지만, 오히려 앞서 언급한 축적 구역 내에 축적될 때 웨이퍼 방향에 일반적으로 평행하게 외측으로 지나간다는 것을 주의하라.
도 6은 샤워헤드 (242) 및 139 개의 유출부 홀들 (246) 과 함께, CIRP (206) 내의 임베딩된 교차 플로우 주입 매니폴드 (222) 를 도시한 교차 플로우 매니폴드 (226) 의 평면도를 도시한다. 교차 플로우 주입 매니폴드 플로우를 위한 모든 6 개의 유체 조정 로드들 (270) 이 또한 도시된다. 교차 플로우 한정 링 (210) 은 이 도시에 나타나지 않지만, CIRP (206) 의 상부 표면과 교차 플로우 한정 링 (210) 사이를 시일링하는 교차 플로우 한정 링 시일링 개스킷 (238) 의 개요는 도시된다. 도 6에 도시된 다른 엘리먼트들은 교차 플로우 한정 링 패스너들 (218), 멤브레인 프레임 (274), 및 CIRP (206) 의 양극 측면 상의 나사 홀들 (278) (예를 들어 음극 차폐 인서트를 위해 사용될 수도 있음) 을 포함한다.
일부 실시예들에서, 교차 플로우 한정 링 유출부 (234) 의 기하학적 구조는 교차 플로우 패턴을 더 최적화하도록 튜닝될 수도 있다. 예를 들어, 교차 플로우 패턴이 한정 링 (210) 의 에지로 분기하는 경우는, 교차 플로우 한정 링 유출부 (234) 의 외측 구역들 내의 개방 영역을 감소시킴으로써 정정될 수도 있다. 특정한 실시예들에서, 유출부 매니폴드 (234) 는 교차 플로우 주입 매니폴드 (222) 와 매우 유사하게, 분리된 섹션들 또는 포트들을 포함할 수도 있다. 일부 실시예들에서, 유출부 섹션들의 수는 약 1 내지 12 개, 또는 약 4 내지 6 개이다. 포트들은 방위각으로 분리되고, 유출부 매니폴드 (234) 를 따라 상이한 (보통 인접한) 위치들을 점유한다. 포트들 각각을 통한 상대적인 플로우 레이트들은 일부 경우들에서 독립적으로 제어될 수도 있다. 이 제어는 예를 들어, 유입부 플로우에 관하여 기술된 제어 로드들과 유사한 제어 로드들 (270) 을 사용함으로써 달성될 수도 있다. 또 다른 실시예에서, 유출부의 상이한 섹션들을 통한 플로우는 유출부 매니폴드의 기하학적 구조에 의해 제어될 수 있다. 예를 들어, 각각의 측면 에지 근방에 보다 적은 개방 영역 그리고 중심 근방에 보다 많은 개방 영역을 가진 유출부 매니폴드는, 보다 많은 플로우가 유출부의 중심 근방에서 나가고 보다 적은 플로우가 유출부의 에지들 근방에서 나가는 용액 플로우 패턴을 발생시킬 것이다. 유출부 매니폴드 (234) 내의 포트들을 통해 상대적인 플로우 레이트들을 제어하는 다른 방법들이 또한 사용될 수도 있다 (예를 들어, 펌프들, 등).
언급된 바와 같이, 음극액 챔버에 진입하는 대량의 음극액이 복수의 채널들 (258 및 262), 예를 들어, 12 개의 별개의 채널들을 통해 교차 플로우 주입 매니폴드 (222) 및 CIRP 매니폴드 (208) 내로 별도로 지향된다. 특정한 실시예들에서, 이들 개별적인 채널들 (258 및 262) 을 통한 플로우들은 적절한 메커니즘에 의해 서로 독립적으로 제어된다. 일부 실시예들에서, 이 메커니즘은 유체를 개별적인 채널들 내로 전달하기 위한 별개의 펌프들을 수반한다. 다른 실시예들에서, 단일의 펌프가 주요 음극액 매니폴드를 피딩하도록 사용되고, 그리고 조정 가능한 다양한 플로우 제한 엘리먼트들이 다양한 채널들 (258 및 262) 사이 그리고 교차 플로우 주입 매니폴드 (222) 와 CIRP 매니폴드 (208) 구역들 사이 그리고/또는 셀의 각이 진 주변을 따른 상대적인 플로우들을 조절하도록 제공된 플로우 경로를 피딩하는 채널들 중 하나 이상에 제공될 수도 있다. 도면들에 도시된 다양한 실시예들에서, 하나 이상의 유체 조정 로드들 (270) (때때로 또한 플로우 제어 엘리먼트들로서 지칭됨) 은 독립적인 제어가 제공되는 채널들 내에 배치된다. 도시된 실시예들에서, 유체 조정 로드 (270) 는 음극액이 교차 플로우 주입 매니폴드 (222) 또는 CIRP 매니폴드 (208) 를 향하는 음극액의 플로우 동안 수축되는 환형 공간을 제공한다. 완전히 후퇴된 상태에서, 유체 조정 로드 (270) 는 본질적으로 플로우에 대한 저항을 제공하지 않는다. 완전히 인게이징된 상태에서, 유체 조정 로드 (270) 는 플로우에 대한 최대 저항을 제공하고, 일부 구현예들에서 채널을 통한 모든 플로우를 중단한다. 중간 상태들 또는 위치들에서, 로드 (270) 는 채널의 내측 직경과 유체 조정 로드의 외측 직경 사이의 제한된 환형 공간을 통해 유체가 흐를 때 플로우의 중간 레벨들의 수축을 허용한다.
일부 실시예들에서, 유체 조정 로드들 (270) 의 조정은 전기 도금 셀의 제어기 또는 오퍼레이터로 하여금 교차 플로우 주입 매니폴드 (222) 또는 CIRP 매니폴드 (208) 로의 플로우를 촉진하게 (favor) 한다. 특정한 실시예들에서, 음극액을 교차 플로우 주입 매니폴드 (222) 로 바로 전달하는 채널들 (258) 내의 유체 조정 로드들 (270) 의 독립적인 조정은, 오퍼레이터 또는 제어기로 하여금 교차 플로우 매니폴드 (226) 내로의 유체 플로우의 방위각 컴포넌트 (component) 를 제어하게 한다. 이들 조정들의 효과는 이하의 실험 섹션에서 더 논의된다.
도 8a 및 도 8b는 도금 컵 (254) 에 대한 대응하는 교차 플로우 유입부 (250) 및 교차 플로우 주입 매니폴드 (222) 의 단면도들을 도시한다. 교차 플로우 유입부 (250) 의 위치는 교차 플로우 한정 링 (210) 의 위치에 의해 적어도 부분적으로 규정된다. 구체적으로, 유입부 (250) 는 교차 플로우 한정 링 (210) 이 종료되는 곳에서 시작된다고 간주될 수도 있다. 도 8a에 도시된 초기 설계의 경우에, 한정 링 (210) 종료 지점 (그리고 유입부 (250) 시작 지점) 은 웨이퍼의 에지 아래에 있지만, 반면에, 도 8b에 도시된 수정된 설계에서, 종료/시작 지점은 초기 설계와 비교할 때, 웨이퍼 에지로부터 더 방사상으로 외측으로 그리고 도금 컵 아래에 있다는 것을 주의하라. 또한, 앞선 설계의 교차 플로우 주입 매니폴드 (222) 는 교차 플로우 매니폴드 구역 (226) 내로의 유체 진입의 지점 근방에 일부 원치 않은 터뷸런스 (turbulence) 를 잠재적으로 형성하는 교차 플로우 링 캐비티 (일반적으로 좌측방향 화살표는 상측으로 상승하기 시작함) 내의 스텝을 가진다. 일부 경우들에서, 에지 플로우 엘리먼트 (미도시) 는 기판의 주변 그리고/또는 CIRP의 주변 근방에 존재할 수도 있다. 에지 플로우 엘리먼트는 유입부 (250) 에 가깝게 그리고/또는 유출부에 가깝게 존재할 수도 있다 (도 8a 및 도 8b에 도시되지 않음). 에지 플로우 엘리먼트는 기판의 도금면과 컵 (254) 의 에지 사이에 형성되는 코너 내로 전해액을 지향시키도록 사용될 수도 있고, 이에 따라 이 구역에서 다른 방식으로 상대적으로 저 교차 플로우에 대응한다.
개시된 장치는 본 명세서에 기술된 방법들을 수행하도록 구성될 수도 있다. 적합한 장치는 본 명세서에 기술되고 도시된 바와 같은 하드웨어 및 본 발명에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 가진 하나 이상의 제어기들을 포함한다. 장치는 그 중에서도, 컵 (254) 및 콘 내의 웨이퍼의 포지셔닝, CIRP (206) 에 대한 웨이퍼의 포지셔닝, 웨이퍼의 회전, 교차 플로우 매니폴드 (226) 내로의 음극액의 전달, CIRP 매니폴드 (208) 내로의 음극액의 전달, 교차 플로우 주입 매니폴드 (222) 내로의 음극액의 전달, 유체 조정 로드들 (270) 의 저항/위치, 양극 및 웨이퍼 및 임의의 다른 전극들로의 전류의 전달, 전해액 컴포넌트들의 혼합, 전해액 전달의 타이밍, 유입부 압력, 도금 셀 압력, 도금 셀 온도, 웨이퍼 온도, 에지 플로우 엘리먼트의 위치, 및 프로세스 툴에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 하나 이상의 제어기들을 포함할 것이다.
시스템 제어기는 통상적으로 장치가 본 발명에 따른 방법을 수행하기 위해서 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함할 것이다. 프로세서는 CPU (central processing unit) 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부들, 스텝퍼 모터 제어기 보드들, 및 다른 유사 컴포넌트들을 포함할 수도 있다. 본 발명에 따라 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함한 머신-판독가능 매체는 시스템 제어기에 커플링될 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들은 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있거나 인스트럭션들은 네트워크를 통해 제공될 수도 있다. 특정한 실시예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 실시하기에 필수한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 전기 도금 프로세스의 페이즈 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 침지 프로세스 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 침지 레시피 페이즈에 포함될 수도 있다. 일부 실시예들에서, 전기 도금 레시피 페이즈들은 전기 도금 프로세스 페이즈를 위한 모든 인스트럭션들이 프로세스 페이즈와 동시에 실행되도록 순차적으로 배치될 수도 있다.
다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 전해액 조성물 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 전위/전류 전력 공급 제어 프로그램을 포함한다.
일부 경우들에서, 제어기들은 다음의 기능들: 웨이퍼 침지 (병진 운동, 틸팅, 회전), 탱크들 사이의 유체 이송, 등 중 하나 이상을 제어한다. 웨이퍼 침지는 예를 들어, 웨이퍼 리프트 어셈블리, 웨이퍼 틸팅 어셈블리 및 웨이퍼 회전 어셈블리를 목표된 대로 이동하게 지시함으로써 제어될 수도 있다. 제어기는 예를 들어, 특정한 밸브들이 개방되거나 폐쇄되게 그리고 특정한 펌프들이 턴 온되거나 턴 오프되게 지시함으로써 탱크들 사이의 유체 이송을 제어할 수도 있다. 제어기들은 (예를 들어, 전류, 전류 밀도, 전위, 압력, 등이 특정한 문턱값에 도달할 때) 센서 출력, 동작의 타이밍 (예를 들어, 프로세스에서 특정한 시간에 밸브들 개방) 에 기초하여 또는 사용자로부터 수신된 인스트럭션들에 기초하여 이들 양태들을 제어할 수도 있다.
본 명세서에서 상술한 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만, 공통 제조 설비 내에서 함께 사용 또는 실시될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴들을 사용하여 실현되는 다음의 단계들 중 일부 또는 모두를 포함하며, 이 단계들은: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스, 즉, 기판에 포토레지스트를 도포하는 단계; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계; (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 레지스트 패턴을 하부 막 또는 워크피스에 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거하는 단계를 포함할 수 있다.
채널형 이온 저항성 엘리먼트의 특징들
전기적 기능
특정한 실시예들에서, 채널형 이온 저항성 엘리먼트 (206) 는 기판 (음극) 의 부근의 거의 일정하고 균일한 전류 소스와 비슷하고, 이와 같이 일부 맥락들에서 HRVA (high resistance virtual anode) 로서 지칭될 수도 있다. 상기에 언급된 바와 같이, 이 엘리먼트는 또한 채널형 이온 저항성 플레이트 (CIRP) 로서 지칭될 수도 있다. 보통, CIRP (206) 는 웨이퍼에 대해 보다 가까이 배치된다. 대조적으로, 기판에 대해 동일한 근거리의 양극은 웨이퍼에 거의 일정한 전류를 공급하기에 상당히 덜 적합할 것이지만, 양극 금속 표면에서 일정한 전위 플레인을 단지 지지할 것이고, 이에 따라 양극 플레인으로부터 (예를 들어, 웨이퍼 상의 주변 콘택트 지점들에 대한) 말단으로의 순 저항이 보다 작은 곳에서 전류가 가장 크게 한다. 그래서 채널형 이온 저항성 엘리먼트 (206) 가 HRVA로서 지칭되지만, 이것은 전기 화학적으로 2 개가 상호 교환 가능하다는 것을 암시하지 않는다. 최상의 동작 조건들 하에서, CIRP (206) 는 보다 밀접하게 가까워질 것이고 그리고 아마도 가상의 균일한 전류 소스로서 더 양호하게 기술될 것이고, 거의 일정한 전류는 CIRP (206) 의 상부 플레인 맞은편에서 소싱된다. CIRP가 "가상의 전류 소스"로서 분명히 보이고, 즉, CIRP가 전류가 나오는 플레인이고, 그러므로 양극 전류가 나오는 소스 또는 위치로서 보이기 때문에 "가상 양극"으로 간주될 수 있는 동안, 동일한 물리적 위치에 위치된 금속성 양극을 가진 것과 비교할 때 일반적으로 보다 우수한 웨이퍼 균일성, 추가의 이점들에 대해 그리고 면에 걸친 거의 균일한 전류를 야기하는 (전해액에 대한) CIRP (206) 의 상대적으로 고 이온 저항이 있다. 이온 전류 플로우에 대한 플레이트의 저항은 플레이트 (206) 의 다양한 채널들 내에 담긴 전해액의 증가한 특정한 저항 (음극액의 동일하거나 거의 유사한 저항을 종종 갖지만 항상 그러한 것은 아님), 증가된 플레이트 두께, 감소된 다공성 (예를 들어, 동일한 직경의 보다 적은 홀들, 또는 보다 작은 직경들을 가진 동일한 수의 홀들을 가짐으로써 전류 통로에 대한 보다 적은 부분 단면적) 에 따라 증가한다.
구조
CIRP (206) 는 모든 구현예들이 아닌 많은 구현예들에서, CIRP의 바디 내의 상호 연결 채널들을 형성하지 않고 그리고 서로 공간적으로 그리고 이온적으로 고립되는 마이크로 사이즈의 (통상적으로 0.04" 미만) 쓰루 홀들을 포함한다. 이러한 쓰루 홀들은 종종 비연통 쓰루 홀들로서 지칭된다. 쓰루 홀들은 통상적으로 1 차원으로 연장하고, 종종 반드시 그러한 것은 아니지만 웨이퍼의 도금된 표면에 대해 수직으로 연장한다 (일부 실시예들에서 비연통 홀들은 일반적으로 CIRP 전면에 평행한 웨이퍼에 대해 비스듬히 있음). 종종 쓰루 홀들은 서로 평행하다. 종종 홀들은 정사각형 어레이로 배치된다. 다른 때에는 레이아웃이 오프셋 나선형 패턴이다. 이들 쓰루 홀들은, 쓰루 홀들이 내부의 표면에 평행한 유체 플로우 및 이온 전류 플로우 양자를 재구성하고, 그리고 웨이퍼 표면을 향하는 유체 플로우 및 전류 플로우 양자의 경로를 똑바르게 하기 때문에, 채널들이 3 차원으로 연장하고 그리고 상호 연결 구멍 구조체들을 형성하는, 3-D 다공성 네트워크들로부터 뚜렷이 구별된다. 그러나, 특정한 실시예들에서, 상호 연결된 네트워크의 구멍들을 가진 이러한 다공성 플레이트는 1-D 채널형 엘리먼트 (CIRP) 대신에 사용될 수도 있다. 플레이트의 상단 표면으로부터 웨이퍼로의 거리가 작을 때 (예를 들어, 웨이퍼 반경의 약 1/10 사이즈의 갭, 예를 들어 약 5 ㎜ 미만의 갭), 전류 플로우 및 유체 플로우 양자의 분기는 국부적으로 제한되고, 주어지고 그리고 CIRP 채널들과 정렬된다.
일 예시적인 CIRP (206) 는 이온 저항성 그리고 전기적으로 저항성인 단단한, 비다공성 유전체 재료로 이루어진 디스크이다. 재료는 또한 사용 도금 용액 내에서 화학적으로 안정하다. 특정한 경우들에서, CIRP (206) 는 약 6,000 내지 12,000 개의 비연통 쓰루 홀들을 가진, 세라믹 재료 (예를 들어, 알루미늄 옥사이드, 주석 옥사이드, 티타늄 옥사이드, 또는 금속 옥사이드들의 혼합물들) 또는 플라스틱 재료 (예를 들어, 폴리에틸렌, 폴리프로필렌, PVDF (polyvinylidene difluoride), 폴리테트라플루오로에틸렌, 폴리설폰, PVC (polyvinyl chloride), 폴리카보네이트, 등) 로 이루어진다. 많은 실시예들에서, 디스크 (206) 는 웨이퍼와 실질적으로 같은 공간을 차지하고 (예를 들어, CIRP 디스크 (206) 는 300 ㎜ 웨이퍼를 사용하여 사용될 때 약 300 ㎜의 직경을 가짐) 그리고 웨이퍼에 보다 가까이, 예를 들어, 웨이퍼-페이싱-다운 (wafer-facing-down) 전기 도금 장치 내에서 웨이퍼 바로 아래에 놓인다. 바람직하게, 웨이퍼의 도금된 표면은 약 10 ㎜ 이내, 보다 바람직하게 가장 가까운 CIRP 표면의 약 5 ㎜ 이내에 놓인다. 이를 위해, CIRP (206) 의 상단 표면은 편평하거나 실질적으로 편평할 수도 있다. 종종, CIRP (206) 의 상단 표면 및 하단 표면 양자는 편평하거나 실질적으로 편평하다.
CIRP (206) 의 또 다른 특징은 쓰루 홀들의 직경 또는 주요 치수 및 CIRP (206) 와 기판 사이의 거리에 대한 관계이다. 쓰루 홀 각각의 직경 (또는 다수의 쓰루 홀들의 직경, 또는 쓰루 홀들의 평균 직경) 은 도금된 웨이퍼 표면으로부터 CIRP (206) 의 가장 가까운 표면으로의 대략적인 거리보다 크지 않다. 그러므로, 이러한 실시예들에서, 쓰루 홀들의 직경 또는 주요 치수는 CIRP (206) 가 도금된 웨이퍼 표면의 약 5 ㎜ 이내에 배치될 때, 약 5 ㎜를 초과하지 않아야 한다.
상기와 같이, 플레이트 (206) 의 전체 이온 및 플로우 저항은 플레이트의 두께 및 전체 다공성 (플레이트를 통한 플로우에 대해 이용 가능한 영역의 부분) 및 홀들의 사이즈/직경 양자에 따라 결정된다. 보다 저 다공성들의 플레이트들은 보다 고 충돌 플로우 속도들 및 이온 저항들을 가질 것이다. 동일한 다공성의 플레이트들과 비교하여, 보다 작은 직경 1-D 홀들 (그러므로 보다 많은 수의 1-D 홀들) 을 가진 플레이트는 동일한 갭을 통해 확산될 수 있는 지점 소스들로서 보다 많이 작용하는, 보다 많은 개별적인 전류 소스들이 있기 때문에 웨이퍼 상의 전류의 보다 많은 마이크로-균일한 분배를 가질 것이고, 그리고 또한 보다 고 총 압력 강하 (고 점성 플로우 저항) 를 가질 것이다.
그러나, 특정한 경우들에서, 이온 저항성 플레이트 (206) 는 상기에 언급된 바와 같이 다공성이다. 플레이트 (206) 내의 구멍들은 독립적인 1-D 채널들을 형성하지 않을 수도 있지만, 대신에 상호 연결되거나 상호 연결되지 않을 수도 있는 쓰루 홀들의 메시 (mesh) 를 형성할 수도 있다. 본 명세서에서 사용된 바와 같이, 용어들 채널형 이온 저항성 플레이트 및 채널형 이온 저항성 엘리먼트 (CIRP) 는 달리 언급되지 않는다면 이 실시예를 포함하도록 의도된다는 것이 이해되어야 한다.
복수의 실시예들에서, CIRP (206) 는 에지 플로우 엘리먼트를 포함하도록 (또는 수용하도록) 수정될 수도 있다. 에지 플로우 엘리먼트는 CIRP (206) 의 통합된 부품일 수도 있거나 (예를 들어, CIRP 및 에지 플로우 엘리먼트는 함께 모놀리식 구조체를 형성함), 에지 플로우 엘리먼트는 CIRP (206) 상 또는 CIRP (206) 근방에 설치된 교체 가능한 부품일 수도 있다. 에지 플로우 엘리먼트는 보다 높은 정도의 교차 플로우, 따라서 기판의 에지 근방의 (예를 들어, 기판과 기판 홀더 사이의 인터페이스 근방의) 기판 표면 상에 전단을 촉진한다. 에지 플로우 엘리먼트 없이, 상대적으로 저 교차 플로우의 영역은 예를 들어 기판 및 기판 홀더의 기하학적 구조, 및 전해액 플로우의 방향에 기인하여, 기판과 기판 홀더의 인터페이스 근방에서 발달될 (develop) 수도 있다. 에지 플로우 엘리먼트는 이 영역에서 교차 플로우를 증가시키도록 작용할 수도 있고, 이에 따라 기판에 걸친 보다 균일한 도금 결과들을 촉진한다. 에지 플로우 엘리먼트와 관련된 추가의 상세들은 이하에 제시된다.
쓰루 홀들을 통한 수직 플로우
웨이퍼에 가까운, 이온 저항성이지만 이온 투과성인 엘리먼트 (CIRP) (206) 의 존재는 실질적으로 터미널 효과 (terminal effect) 를 감소시키고 그리고 웨이퍼 씨드 층 내의 전류의 저항이 셀의 음극액 내의 전류의 저항에 비해 클 때와 같이, 터미널 효과들이 동작되고/관련되는 특정한 애플리케이션들에서 방사상 도금 균일성을 개선한다. CIRP (206) 또한 동시에 플로우 확산 매니폴드 플레이트로서 작용함으로써 웨이퍼 표면으로 상측으로 지향된 전해액의 실질적으로 공간적으로-균일한 충돌 플로우를 갖는 능력을 제공한다. 중요하게도, 동일한 엘리먼트 (206) 가 웨이퍼로부터 멀리 배치된다면, 이온 전류의 균일성 및 플로우 개선들이 상당히 덜 두드러지거나 존재하지 않게 된다.
또한, 비연통 쓰루 홀들이 CIRP 내의 이온 전류의 측면 이동 또는 유체 이동을 허용하지 않기 때문에, 중심-대-에지 전류 및 플로우 이동들은 CIRP (206) 내에서 차단되고, 방사상 도금 균일성의 추가의 개선을 야기한다. 도 9에 도시된 실시예에서, CIRP (206) 는 마이크로채널들로서 작용하는 대략 9000 개의 균일하게 이격된 1 차원 홀들을 갖고 그리고 플레이트의 면에 걸쳐 (예를 들어, 300 ㎜ 웨이퍼를 도금하는 경우에 약 300 ㎜의 직경을 가진 실질적으로 원형 영역에 걸쳐) 정사각형 어레이로 배치되고 (즉, 홀들은 열들 및 행들로 배치됨) 그리고 약 4.5 %의 실질적인 평균 다공성 및 약 0.67 ㎜ (0.026 인치) 직경의 개별적인 마이크로채널 홀 사이즈를 가진 천공된 플레이트이다. 또한 CIRP 매니폴드 (208) 를 통해 그리고 CIRP (206) 내의 홀들을 통해 상측으로 또는 교차 플로우 주입 매니폴드 (222) 및 교차 플로우 샤워헤드 (242) 를 통해 교차 플로우 매니폴드 (226) 에 진입하도록 플로우를 우선적으로 지향시키기 위해서 사용될 수도 있는 플로우 분배 조정 로드들 (270) 이 도 9에 도시된다. 교차 플로우 한정 링 (210) 은 멤브레인 프레임 (274) 에 의해 지지되는 CIRP의 상단부 상에 피팅된다 (fitted).
일부 실시예들에서, CIRP 플레이트 (206) 는 때때로 터보플레이트로서 지칭되는, 셀 내 전해액 플로우 저항성, 플로우 제어 및 이에 따른 플로우 성형 엘리먼 로서 주로 또는 유일하게 사용될 수 있다는 것을 주의하라. 이 명칭은 플레이트 (206) 가 터미널 효과들을 밸런싱하고 그리고/또는 셀 내의 플로우와 커플링된 도금 첨가제들의 전기장 또는 운동 저항들을 조절함으로써, 방사상 증착 균일성을 테일러링하는지 (tailor) 안하는지에 상관 없이 사용될 수도 있다. 그러므로, 예를 들어, 씨드 금속 두께가 일반적으로 두껍고 (예를 들어 > 1000 Å 두께) 그리고 금속이 매우 고 레이트들로 증착되는, TSV 및 WLP 전기 도금에서, 전해액 플로우의 균일한 분포가 매우 중요하지만, 웨이퍼 씨드 내의 옴 전압 강하로부터 발생하는 방사상 불균일성 제어는 보상하기에 반드시 필요하지 않을 수도 있다 (적어도 부분적으로 중심-대-에지 불균일성들이 보다 두꺼운 씨드 층들이 사용되는 곳에서 덜 심각하기 때문에). 그러므로 CIRP 플레이트 (206) 는 이온 저항성 이온 투과성 엘리먼트 및 플로우 성형 엘리먼트 양자로서 지칭될 수 있고, 그리고 이온 전류의 플로우를 변경하고, 재료의 대류 플로우를 변경하거나 양자를 행함으로써 증착-레이트 교정 기능을 제공할 수 있다.
웨이퍼와 채널형 플레이트 사이의 거리
특정한 실시예들에서, 웨이퍼 홀더 (254) 및 연관된 포지셔닝 메커니즘은 채널형 이온 저항성 엘리먼트 (206) 의 평행한 상부 표면에 아주 가까이에서 회전하는 웨이퍼를 홀딩한다. 도금 동안, 기판은 기판이 이온 저항성 엘리먼트와 평행하거나 실질적으로 평행하도록 (예를 들어, 약 10° 이내) 대체로 위치된다. 기판이 기판 상에 특정한 피처들을 가질 수도 있지만, 단지 기판의 일반적으로 평면형인 형상은 기판과 이온 저항성 엘리먼트가 실질적으로 평행한지를 결정함에 있어서 고려된다.
통상적인 경우들에서, 이격 거리는 약 0.5 내지 10 ㎜, 또는 약 2 내지 8 ㎜이다. 일부 경우들에서, 이격 거리는 약 2 ㎜ 이하, 예를 들어 약 1 ㎜ 이하이다. 이 작은 플레이트 대 웨이퍼 거리는 패턴의 개별적인 홀들의 근접 "이미징"과 연관된 웨이퍼 상에, 특히 웨이퍼 회전 중심 근방에 도금 패턴을 생성할 수 있다. 이러한 상황들에서, (두께 또는 도금된 텍스처에서) 도금 링들의 패턴은 웨이퍼 중심 근방에서 발생할 수도 있다. 이 현상을 회피하도록, 일부 실시예들에서, CIRP (206) 내의 (특히 웨이퍼 중심 및 웨이퍼 중심 근방의) 개별적인 홀들은 특히 작은 사이즈, 예를 들어 플레이트 대 웨이퍼 갭의 약 1/5 미만인 사이즈를 갖도록 구성될 수 있다. 웨이퍼 회전과 커플링될 때, 작은 구멍 사이즈는 플레이트 (206) 로부터 제트로서 나오는 충돌 유체의 플로우 속도의 시간 평균을 허용하고 그리고 작은 스케일 불균일성들 (예를 들어, 약 ㎛의 불균일성들) 을 감소시키거나 회피한다. 상기 예방 조치에도 불구하고, 그리고 사용된 도금 욕의 특성들 (예를 들어 증착된 특정한 금속, 전도도들, 및 채용된 욕 첨가제들) 에 따라, 일부 경우들에서 증착은 시간 평균 노출 및 (예를 들어, 웨이퍼 중심 주위의 "불스 아이 (bulls eye)"의 형상에서) 가변 두께의 근접-이미징-패턴으로서 그리고 사용된 개별적인 홀 패턴에 대응하여 미소-불균일한 패턴으로 발생하는 경향이 있을 수도 있다 (예를 들어, 중심 링들을 형성함). 이것은 한정된 홀 패턴이, 불균일하고 증착에 영향을 미치는 충돌 플로우 패턴을 생성한다면 발생할 수 있다. 이 경우에, 웨이퍼 중심에 걸쳐 측면 플로우를 도입하는 것, 및/또는 중심 및/또는 중심 근방에서 홀들의 규칙적인 패턴을 옳게 수정하는 것 양자는 다른 방식으로 발견되는 미소-불균일성들의 임의의 징후를 대체로 제거한다고 알려져 있다.
채널형 플레이트의 다공성
다양한 실시예들에서, CIRP (206) 는 정상적으로 동작하는 체적 플로우 레이트들에서 점성 플로우 저항 역압 및 고 수직 충돌 플로우 레이트들을 제공하도록 충분히 저 다공성 및 구멍 사이즈를 갖는다. 일부 경우들에서, CIRP (206) 의 약 1 내지 10 %는 유체로 하여금 웨이퍼 표면에 도달하게 하는 개방 영역이다. 특정한 실시예들에서, 플레이트 (206) 의 약 2 내지 5 %가 개방 영역이다. 특정한 예에서, 플레이트 (206) 의 개방 영역은 약 3.2 %이고 그리고 실질적인 총 개방 단면적은 약 23 ㎠이다.
채널형 플레이트의 홀 사이즈
CIRP (206) 의 다공성은 많은 상이한 방식들로 구현될 수 있다. 다양한 실시예들에서, CIRP (206) 의 다공성은 작은 직경의 많은 수직 홀들로 구현된다. 일부 경우들에서 플레이트 (206) 는 개별적인 "드릴링된 (drilled)" 홀들로 구성되지 않지만, 연속적으로 다공성인 재료의 소결된 플레이트에 의해 생성된다. 이러한 소결된 플레이트들의 예들은 전체가 참조로서 본 명세서에 인용되는, 미국 특허 제 6,964,792 호 [대리인 문서 NOVLP023] 에 기술된다. 일부 실시예들에서, 드릴링된 비연통 홀들은 약 0.01 내지 0.05 인치의 직경을 갖는다. 일부 경우들에서, 홀들은 약 0.02 내지 0.03 인치의 직경을 갖는다. 상기에 언급된 바와 같이, 다양한 실시예들에서 홀들은 CIRP (206) 와 웨이퍼 사이의 갭 거리의 최대 약 0.2 배인 직경을 갖는다. 홀들은 일반적으로 원형 단면을 갖지만, 반드시 그러한 것은 아니다. 또한, 구성을 용이하게 하도록, 플레이트 (206) 내의 모든 홀들은 동일한 직경을 가질 수도 있다. 그러나 이것이 사실일 필요는 없고, 그리고 홀들의 개별적인 사이즈 및 국부적 밀도 양자는 특정한 필요 조건들이 지시될 수도 있기 때문에 플레이트 표면에 걸쳐 가변할 수도 있다.
예로서, 단단한 플레이트 (206) 는 적합한 세라믹 또는 플라스틱 재료 (일반적으로 유전체 절연성 그리고 기계적으로 강성인 재료) 로 이루어지고, 내부에 제공된 다수의 작은 홀들, 예를 들어 적어도 약 1000 개 또는 적어도 약 3000 개 또는 적어도 약 5000 개 또는 적어도 약 6000 개 (0.026 인치 직경의 9465 개의 홀들이 유용하다고 알려져 있음) 의 홀들을 갖는다. 언급된 바와 같이, 일부 설계들은 약 9000 개의 홀들을 갖는다. 플레이트 (206) 의 다공성은 고 충돌 속도를 생성하기에 필요한 총 플로우 레이트가 매우 크지 않도록 통상적으로 약 5 퍼센트 미만이다. 보다 작은 홀들을 사용하는 것은 보다 큰 홀들과 비교할 때 플레이트에 걸쳐 큰 압력 강하를 생성하는 것을 돕고, 플레이트를 통한 보다 균일한 상측 속도를 생성하는 것을 돕는다.
일반적으로, CIRP (206) 에 걸친 홀들의 분포는 균일한 밀도를 갖고 임의적이지 않다 (non-random). 그러나, 일부 경우들에서, 홀들의 밀도는 특히 방사상 방향으로 가변할 수도 있다. 특정한 실시예에서, 이하에 보다 완전히 기술된 바와 같이, 회전하는 기판의 중심을 향해 플로우를 지향시키는 플레이트의 구역 내의 보다 큰 밀도 및/또는 직경의 홀들이 있다. 또한, 일부 실시예들에서, 회전하는 웨이퍼의 중심 또는 중심에서 전해액을 지향시키는 홀들은 웨이퍼 표면에 대해 직각이 아닌 각으로 플로우를 유도할 수도 있다. 또한, 이 구역 내의 홀 패턴들은 제한된 수의 홀들 및 웨이퍼 회전 사이의 가능한 상호작용을 다루도록 불균일한 도금 "링들"의 임의의 또는 부분적으로 임의의 분포를 가질 수도 잇다. 일부 실시예들에서, 플로우 방향전환기 또는 한정 링 (210) 의 개방 세그먼트에 가까운 홀 밀도는 부착된 플로우 방향전환기 또는 한정 링 (210) 의 개방 세그먼트로부터 먼 CIRP (206) 의 구역들보다 낮다.
에지 플로우 엘리먼트
많은 구현예들에서, 전기 도금 결과들은 에지 플로우 엘리먼트 및/또는 플로우 인서트의 사용을 통해 개선될 수도 있다. 일반적으로 말하면, 에지 플로우 엘리먼트는 기판의 주변 근방, 기판과 기판 홀더 사이의 인터페이스 가까이의 플로우 분포에 영향을 준다. 일부 실시예들에서, 에지 플로우 엘리먼트는 CIRP와 통합될 수도 있다. 일부 다른 실시예들에서, 에지 플로우 엘리먼트는 기판 홀더와 통합될 수도 있다. 또 다른 실시예들에서, 에지 플로우 엘리먼트는 CIRP 또는 기판 홀더 상에 설치될 수 있는 별개의 피스일 수도 있다. 에지 플로우 엘리먼트는 특정한 애플리케이션에 대해 목표된 바와 같이, 기판의 에지 근방의 플로우 분포를 튜닝하도록 사용될 수도 있다. 유리하게, 플로우 엘리먼트는 기판의 주변 근방에 높은 정도의 교차 플로우를 촉진하고, 이에 따라 보다 균일한 (기판의 중심으로부터 에지로) 고 품질 전기 도금 결과들을 촉진한다. 에지 플로우 엘리먼트는 통상적으로 기판의 주변/기판 홀더의 내측 에지 내에 적어도 부분적으로, 방사상으로 위치된다. 일부 경우들에서, 에지 플로우 엘리먼트는 적어도 부분적으로 다른 위치들에, 예를 들어 이하에 더 기술된 바와 같이 기판 홀더 아래 그리고/또는 기판 홀더의 방사상으로 외부에 위치될 수도 있다. 본 명세서의 복수의 도면들에서, 에지 플로우 엘리먼트는 "플로우 엘리먼트"로서 지칭된다.
에지 플로우 엘리먼트는 다양한 재료들로 이루어질 수도 있다. 일부 경우들에서, 에지 플로우 엘리먼트는 CIRP 및/또는 기판 홀더와 동일한 재료로 이루어질 수도 있다. 일반적으로 말하면, 에지 플로우 엘리먼트의 재료가 전기적으로 절연성인 것이 바람직하다.
기판의 주변 근방에서 교차 플로우를 개선하기 위한 또 다른 방법은 고 레이트의 기판 회전을 사용하는 것이다. 그러나, 빠른 기판 회전은 자체 단점들을 나타내고, 다양한 실시예들에서 회피될 수도 있다. 예를 들어, 기판이 매우 빠르게 회전되는 경우에, 기판 표면에 걸친 적절한 교차 플로우의 형성을 방지할 수 있다. 그러므로, 특정한 실시예들에서, 기판은 약 50 내지 300 RPM, 예를 들어 약 100 내지 200 RPM의 레이트로 회전될 수도 있다. 유사하게, 기판의 주변 근방의 교차 플로우는 CIRP와 기판 사이의 상대적으로 보다 작은 갭을 사용함으로써 촉진될 수 있다. 그러나, 보다 작은 CIRP-기판 갭들은 보다 민감하고 프로세스 변수들에 대해 보다 타이트한 허용 오차 범위들을 가진 전기 도금 프로세스들을 발생시킨다.
도 13a는 에지 플로우 엘리먼트 없이 전기 도금된 패터닝된 기판들에 대해 기판 상의 방사상 위치에 대한 범프 높이를 도시한 실험 결과들을 제시한다. 도 13b는 도 13a에 관하여 기술된 패터닝된 기판들에 대해 기판 상의 방사상 위치에 대한 다이 내 불균일성을 도시한 실험 결과들을 제시한다. 특히, 범프 높이는 기판의 에지를 향하여 감소된다. 작용 메커니즘 또는 이론에 매이지 않고, 이 낮은 범프 높이는 기판의 주변 근방의 상대적으로 저 전해액 플로우의 결과라고 여겨진다. 기판-기판 홀더 인터페이스 근방의 불량한 대류 조건들은 보다 저 국부적 금속 농도를 야기하고, 이는 감소된 도금 레이트를 야기한다. 또한, 포토레지스트는 종종 기판의 에지 근방에서 보다 두껍고, 그리고 이 증가된 포토레지스트 두께는 적절한 대류를 달성하는 것이 보다 어려운, 보다 깊은 피처들을 야기하고, 이에 따라 기판의 에지에서 보다 저 도금 레이트를 야기한다. 도 13b에 도시된 바와 같이, 기판의 에지 근방의 이 감소된 도금 레이트/감소된 범프 높이는 다이 내 불균일성의 증가와 대응한다. 다이 내 불균일성은 ((다이 내 최대 범프 높이)-(다이 내 최소 범프 높이))/(2*다이 내 평균 범프 높이) 로서 계산되었다.
도 14a는 장치의 유출부 측에서의 기판 (1400) 의 주변 근방의 전기 도금 장치의 구조체를 도시한다. 전해액은 CIRP (1404) 위 그리고 기판 (1400) 아래로, 그리고 기판 홀더 (1406) 아래로 화살표들에 의해 도시된 바와 같이 흐름으로써 교차 플로우 매니폴드 (1402) 를 나간다. 이 예에서, CIRP (1404) 는 기판 (1400) 아래에 놓이는 실질적으로 편평한 부분을 갖는다. 기판 (1400) 과 기판 홀더 (1406) 사이의 인터페이스 근방의, 이 구역의 에지에서, CIRP (1404) 는 하측으로 비스듬히 놓이고, 다시 차츰 평평해진다. 도 14b는 도 14a에 도시된 구역에서 기판 (1400) 과 CIRP (1404) 사이의 플로우 분포에 관련된 모델링 결과들을 나타낸 그래프를 도시한다.
모델링 결과들은 기판의 표면으로부터 위치 0.25 ㎜에서의 예측된 전단 속도를 도시한다. 특히, 전단 플로우는 기판의 에지 근방에서 급격히 감소한다.
도 15는 기판 상의 방사상 위치에 대한 범프 높이에 관련된 실험 결과들, 및 기판 상의 (전해액 유출부 측 상의) 방사상 위치에 대한 전단 플로우를 도시한 모델링 결과들을 도시한다. 이 예에서, 기판은 도금 동안 회전되지 않았다. 실험적 범프 높이 결과들은 예측된 전단 속도와 동일한 경향을 따르고, 보다 저 전단 속도는 아마 저 에지 범프 높이에서 역할을 한다는 것을 나타낸다.
도 16a는 기판 상의 방사상 위치에 대한 다이 내 불균일성을 도시한 실험 결과들을 도시한다. 도 16b는 기판 상의 방사상 위치에 대한 포토레지스트의 두께를 도시한 실험 결과들을 도시한다. 도 16a 및 도 16b는 함께 포토레지스트 두께와 다이 내 불균일성 사이에 강한 상관 관계가 있고, 보다 고 레지스트 두께 및 불균일성은 기판의 에지 근방에서 발견된다는 것을 암시한다.
도 17a는 내부에 설치된 에지 플로우 엘리먼트 (1710) 를 가진 전기 도금 셀의 단면도를 예시한다. 에지 플로우 엘리먼트 (1710) 는 기판 (1700) 과 기판 홀더 (1706) 사이의 인터페이스 가까이에, 기판 (1700) 의 에지 아래에 위치된다. 이 예에서, CIRP (1704) 는 기판 (1700) 과 거의 같은 공간을 차지하는 상승된 플래토 (plateau) 구역을 포함하도록 성형된다. 특정한 실시예들에서, 에지 플로우 엘리먼트 (1710) 는 전적으로 또는 부분적으로, 방사상으로 CIRP (1704) 의 상승된 부분의 외부에 위치될 수도 있다. 에지 플로우 엘리먼트 (1710) 는 또한 전적으로 또는 부분적으로 CIRP (1704) 의 상승된 부분 상에 위치될 수도 있다. 전해액은 화살표들로 도시된 바와 같이 교차 플로우 매니폴드 (1702) 를 통해 흐른다. 플로우 방향전환기 (1708) 는 전해액이 흐르는 경로를 성형하는 것을 돕는다. 플로우 방향전환기 (1708) 는 기판의 표면에 걸친 교차 플로우를 촉진하도록 유출부 측과 비교할 때 유입부 측 (교차 플로우가 시작되는 곳) 에서 상이하게 성형된다.
도 17a에 도시된 바와 같이, 전해액은 전기 도금 셀의 유입부 측 상의 교차 플로우 매니폴드 (1702) 에 진입한다. 전해액은 에지 플로우 엘리먼트 (1710) 주위로, 교차 플로우 매니폴드 (1702) 를 통해, 재차 에지 플로우 엘리먼트 (1710) 주위로, 그리고 유출부를 통해 외부로 흐른다. 상기에 언급된 바와 같이, 전해액은 또한 CIRP (1704) 내의 홀들을 통해 상측으로 이동함으로써 교차 플로우 매니폴드 (1702) 에 진입한다. 에지 플로우 엘리먼트 (1710) 의 일 목적은 기판 (1700) 과 기판 홀더 (1706) 사이의 인터페이스에서의 대류를 증가시키는 것이다. 이 인터페이스는 도 17b에서 보다 상세히 도시된다. 에지 플로우 엘리먼트 (1710) 를 사용하지 않고, 점선 원으로 도시된 구역 내의 대류는 바람직하지 않게 낮다. 에지 플로우 엘리먼트 (1710) 는 기판 (1700) 의 에지 근방의 전해액의 플로우 경로에 영향을 주고, 점선 원으로 도시된 구역 내에 보다 큰 대류를 촉진한다. 이것은 기판 에지 근방의 저 대류 및 저 도금 레이트들을 극복하는 것을 돕는다. 이것은 도 16a 및 도 16b에 관하여 설명된 바와 같이, 상이한 포토레지스트/피처 높이에 기인하여 발생하는 차들을 방지하는 (combat) 것을 도울 수도 있다.
특정한 실시예들에서, 에지 플로우 엘리먼트 (1710) 는 교차 플로우 매니폴드 (1702) 내의 교차 플로우가 기판 (1700) 과 기판 홀더 (1706) 에 의해 형성된 코너 내로 보다 순조롭게 지향되도록 성형될 수도 있다. 다양한 형상들이 이 목적을 달성하도록 사용될 수도 있다.
도 18a 내지 도 18c는 전기 도금 셀 내에 에지 플로우 엘리먼트 (1810) 를 설치하기 위한 3 개의 이용 가능한 구성들을 도시한다. 다양한 다른 구성들이 또한 사용될 수도 있다. 정확한 구성에 상관 없이, 에지 플로우 엘리먼트 (1810) 는 많은 경우들에서 링 또는 호와 같이 성형될 수도 있지만, 도 18a 내지 도 18c는 단지 에지 플로우 엘리먼트 (1810) 의 일 측면의 단면도를 도시한다. 제 1 구성 (타입 1, 도 18a) 에서, 에지 플로우 엘리먼트 (1810) 는 CIRP (1804) 에 부착된다. 이 예에서 에지 플로우 엘리먼트 (1810) 는 전해액이 에지 플로우 엘리먼트 (1810) 와 CIRP (1804) 사이로 흐르기 위한 임의의 플로우 바이패스를 포함하지 않는다. 이와 같이, 모든 전해액은 에지 플로우 엘리먼트 (1810) 위로 흐른다. 제 2 구성 (타입 2, 도 18b) 에서, 에지 플로우 엘리먼트 (1810) 는 CIRP (1804) 에 부착되고 그리고 에지 플로우 엘리먼트와 CIRP 사이에 플로우 바이패스를 포함한다. 플로우 바이패스는 에지 플로우 엘리먼트 (1810) 내의 통로들에 의해 형성된다. 이들 통로들은 전해액의 일부 양이 에지 플로우 엘리먼트 (1810) (에지 플로우 엘리먼트 (1810) 의 상부 코너와 CIRP (1804) 사이) 를 통해 흐르게 한다. 제 3 구성 (타입 3, 도 18c) 에서, 에지 플로우 엘리먼트 (1810) 는 기판 홀더 (1806) 에 부착된다. 이 예에서, 전해액은 에지 플로우 엘리먼트 (1810) 와 CIRP (1804) 사이를 흐를 수도 있다. 또한, 에지 플로우 엘리먼트 (1810) 내의 통로들은 기판 (1800) 과 기판 홀더 (1806) 사이의 인터페이스에 매우 근접하여, 에지 플로우 엘리먼트 (1810) 를 통한 전해액의 플로우를 허용한다. 도 18d는 도 18a 내지 도 18c에 도시된 에지 플로우 엘리먼트들의 특징들의 일부를 요약한 표를 제시한다.
도 19a 내지 도 19e는 에지 플로우 엘리먼트 (1910) 의 조정가능성을 달성하는 상이한 방법들에 대한 예들을 제시한다. 일부 실시예들에서, 에지 플로우 엘리먼트 (1910) 는 도 19a에 도시된 바와 같이 고정된 위치에, 예를 들어, CIRP (1904) 상에 설치될 수도 있고, 그리고 고정된 기하학적 구조를 가질 수도 있다. 그러나, 많은 다른 경우들에서, 에지 플로우 엘리먼트가 설치/사용되는 방식에 부가적인 융통성이 있을 수도 있다. 예를 들어, 일부 경우들에서 에지 플로우 엘리먼트의 위치/형상은, (예를 들어, 다른 도금 프로세스들과 비교하여 목표된 대로 특정한 도금 프로세스를 튜닝하기 위한) 전기 도금 프로세스들 사이 또는 (예를 들어, 단일의 도금 프로세스에서 시간에 걸쳐 도금 파라미터들을 튜닝하기 위한) 전기 도금 프로세스 내에서 (수동으로 또는 자동으로) 조정될 수도 있다.
일 예에서, 심들은 에지 플로우 엘리먼트의 위치를 (그리고 형상을 일부 정도로) 조정하도록 사용될 수도 있다. 예를 들어, 일련의 심들이 제공될 수도 있고, 심들은 상이한 애플리케이션들 및 목표된 플로우 패턴들/특성들을 위해 다양한 높이들을 갖는다. 심들은 에지 플로우 엘리먼트의 높이를 증가시키도록 CIRP와 에지 플로우 엘리먼트 사이에 설치될 수도 있고, 이에 따라 에지 플로우 엘리먼트와 기판/기판 홀더 사이의 거리를 감소시킨다. 일부 경우들에서, 심들은 방위각으로 비대칭인 방식으로 사용될 수도 있고, 이에 따라 상이한 방위각 위치들에서 상이한 에지 플로우 엘리먼트 높이를 달성한다. 동일한 결과가 플로우 성형 엘리먼트를 위치시키도록 나사들 (도 19b 및 도 19c에서 엘리먼트 (1912) 로 도시된 바와 같음) 또는 다른 기계적 피처들을 사용하여 달성될 수 있다. 도 19b 및 도 19c는 나사들 (1912) 이 에지 플로우 엘리먼트 (1910) 의 위치를 제어하도록 사용될 수도 있는 2 개의 실시예들을 예시한다. 심들을 사용함에 따라, (에지 플로우 엘리먼트 (1910) 를 따라 상이한 위치들에 위치된) 나사들 (1912) 은 (예를 들어, 나사들 (1912) 을 상이한 높이들에 위치시킴으로써) 에지 플로우 엘리먼트 (1910) 의 방위각으로 비대칭인 포지셔닝을 발생시키는 방식으로 위치될 수도 있다. 도 19b 및 도 19c 각각에서, 에지 플로우 엘리먼트 (1910) 는 2 개의 상이한 위치들로 도시된다. 도 19b에서, 에지 플로우 엘리먼트는 피봇 지점을 중심으로 회전함으로써 2 개의 (또는 그 이상의) 위치들 사이에서 변화한다. 도 19c에서, 에지 플로우 엘리먼트는 에지 플로우 엘리먼트를 선형 방식으로 이동시킴으로써 2 개의 (또는 그 이상의) 위치들 사이에서 변화한다. 부가적인 나사들 또는 다른 포지셔닝 메커니즘들이 부가적인 지지를 위해 제공될 수도 있다.
일부 구현예들에서, 에지 플로우 엘리먼트 (1910) 의 위치 및/또는 형상은 예를 들어 전기 액추에이터 또는 공압식 액추에이터를 사용하여, 도금 프로세스 동안 동적으로 조정될 수도 있다. 도 19d 및 도 19e는 에지 플로우 엘리먼트가 심지어 전기 도금 프로세스 동안에도, 회전 액추에이터 (1913) (도 19d) 또는 선형 액추에이터 (1915) (도 19e) 를 사용하여, 동적으로 이동될 수 있는 실시예들을 제시한다. 이러한 조정들은 시간에 걸쳐 전해액 플로우의 정밀한 제어를 허용하고, 이에 따라 높은 정도의 튜닝성을 허용하고 고 품질 도금 결과들을 촉진한다.
도 18d를 다시 참조하면, 도 18a 및 도 18b에 도시된 제 1 구성 및 제 2 구성 각각은, 에지 플로우 엘리먼트 (1810) 가 CIRP (1804) (통상적으로 도금 동안 회전하지 않음) 에 부착되기 때문에 에지 플로우 엘리먼트 (1810) 가 방위각으로 비대칭이게 한다. 비대칭은 전기 도금 셀의 유입부 측 근방에 위치되는 에지 플로우 엘리먼트 (1810) 의 부분들과, 다른 부분에, 예를 들어 전기 도금 셀의 유출부 측 근방에 위치되는 에지 플로우 엘리먼트의 부분들 사이의 형상의 차이들에 관련될 수도 있다. 이러한 방위각 비대칭들은 상기 방식에 기인하여 전기 도금 동안 기판 표면에 걸친 전해액 교차 플로우들을 발생시키는 불균일성들을 방지하도록 사용될 수도 있다. 이러한 비대칭은 에지 플로우 엘리먼트 (1810) 의 형상의 복수의 특성들, 예를 들어 에지들의 둥근 정도/날카로운 정도, 높이, 폭, 플로우 바이패스 통로들의 존재, 수직 위치, 수평/방사상 위치, 등의 차들에 관련될 수도 있다. 기판 홀더 (1806) 상에 설치되는, 도 18c에 도시된 제 3 구성도 또한 방위각으로 비대칭일 수도 있다. 그러나, 많은 실시예들에서, 기판 (1800) 및 기판 홀더 (1806) 가 전기 도금 동안 회전하기 때문에, 에지 플로우 엘리먼트 (1810) 의 모든 비대칭은, 에지 플로우 엘리먼트 (1810) 가 (적어도 도 18c의 실시예에서와 같이, 에지 플로우 엘리먼트가 기판 홀더 (1806) 에 부착되는 경우들에서) 전기 도금 동안 기판 (1800) 과 함께 회전한다는 사실에 기인하여 평균될 (average-out) 것이다. 이와 같이, 에지 플로우 엘리먼트가 기판 홀더에 부착되고, 그리고 기판 홀더와 함께 회전할 때 방위각으로 비대칭인 에지 플로우 엘리먼트를 갖는 것이 일반적으로 유리하지 않다. 이러한 이유로, 도 18d에 제 3 구성에 대한 방위각 비대칭에 관하여 "아니오*"로 기록된다. 기술된 모든 구성들은 본 실시예들의 범위 내에 있다고 간주된다.
도 20a 내지 도 20c는 에지 플로우 엘리먼트 (2010) 가 방위각으로 비대칭일 수도 있는 복수의 방식들을 예시한다. 도 20a 내지 도 20c는 전기 도금 셀 내, 예를 들어 CIRP (2004) 상에 위치된 에지 플로우 엘리먼트 (2010) 의 평면도들을 도시한다. 다른 부착 방법들이 또한 상기에 논의된 바와 같이 사용될 수도 있다. 각각의 예에서, 에지 플로우 엘리먼트 (2010) 의 단면 형상이 도시된다. 도 20a에서, 에지 플로우 엘리먼트 (2010) 는 방위각으로 대칭이고 그리고 기판의 전체 주변 주위로 연장한다. 여기서, 에지 플로우 엘리먼트 (2010) 는 삼각형 단면을 갖고, 에지 플로우 엘리먼트 (2010) 의 에지 내부를 향해 가장 높은 부분이 위치된다. 도 20b에서, 에지 플로우 엘리먼트는 방위각으로 비대칭이고 그리고 에지 플로우 엘리먼트 (2010) 의 전체 주변 주위로 연장한다. 여기서, 방위각 비대칭은, 에지 플로우 엘리먼트가 전해액 유입부 근방에서 제 1 단면 형상 (예를 들어, 삼각형), 그리고 (유입부 반대편에 위치된) 전해액 유출부 근방에서 제 2 단면 형상 (예를 들어, 둥근 필라) 을 갖기 때문에 발생한다.
유사한 실시예들에서, 단면 형상들의 임의의 조합이 사용될 수도 있다. 일반적으로 말하면, 단면 형상들은 이로 제한되지 않지만, 삼각형, 정사각형, 직사각형, 원형, 타원형, 둥근 형상, 커브된 형상, 포인팅된 형상, 사다리꼴, 물결 모양 (corrugated), 모래 시계형 (hour-glass shaped), 등을 포함한 임의의 형상들일 수도 있다. 통로들을 통한 플로우는 에지 플로우 엘리먼트 (2010) 자체를 통해 제공되거나 제공되지 않을 수도 있다. 또 다른 유사한 실시예에서, 단면 형상들은 유사할 수도 있지만, 주변 주위에서 사이즈들이 가변하고, 그러므로 방위각 비대칭을 도입한다. 마찬가지로, 단면 형상들은 동일하거나 유사할 수도 있지만, 기판/기판 홀더 및/또는 CIRP (2004) 에 대해 상이한 수직 및/또는 수평 위치들에 위치될 수도 있다. 상이한 단면 형상들에 대한 전이는 갑작스럽거나 점진적일 수도 있다. 도 20c에서, 에지 플로우 엘리먼트 (2010) 는 특정한 방위각 위치들에만 존재한다. 여기서, 에지 플로우 엘리먼트 (2010) 는 도금 셀의 다운스트림 (유출부) 측면 상에만 존재한다. 유사한 실시예에서, 에지 플로우 엘리먼트는 도금 셀의 업스트림 (유입부) 측면 상에만 존재할 수도 있다. 방위각으로 비대칭인 에지 플로우 엘리먼트들은 교차로 흐르는 전해액의 결과로서 발생할 수도 있는 모든 비대칭들을 극복하도록 전기 도금 결과들을 튜닝하기에 특히 유리할 수도 있다. 이것은 균일한, 고 품질 도금 결과들을 촉진하는 것을 돕는다. 분명한 바와 같이, 방위각 비대칭은 에지 플로우 엘리먼트 형상의 방위각 변동들, 치수들 (예를 들어, 높이 및/또는 폭), 기판 에지에 대한 위치, 바이패스 구역의 존재 또는 구성, 등으로부터 발생할 수도 있다.
도 20c에 대해, 특정한 실시예들에서 호 형상인 에지 플로우 엘리먼트 (2010) 는 기판의 주변 가까이에서 적어도 약 60°, 적어도 약 90°, 적어도 약 120°, 적어도 약 150°, 적어도 약 180°, 적어도 약 210°, 적어도 약 240°, 적어도 약 270°, 또는 적어도 약 300°로 연장할 수도 있다. 이들 또는 다른 실시예들에서, 호 형상인 에지 플로우 엘리먼트는 약 90° 이하, 약 120° 이하, 약 150° 이하, 약 180° 이하, 약 210° 이하, 약 240° 이하, 약 270° 이하, 약 300° 이하, 또는 약 330° 이하로 연장할 수도 있다. 호의 중심은 유입부 영역, (유입부 영역 반대편의) 유출부 영역 가까이에, 또는 유입부 영역/유출부 영역으로부터 오프셋된 일부 다른 위치에 위치될 수도 있다. 방위각 비대칭들이 사용되는 특정한 다른 실시예들에서, 이 문단에 기술된 호 형상들은 이러한 비대칭을 나타내는 구역의 사이즈에 대응할 수도 있다. 예를 들어, 링 형상인 에지 플로우 엘리먼트는 예를 들어 도 22 (이하에 더 기술됨) 에 대해 설명된 바와 같이, 에지 플로우 엘리먼트를 따라 상이한 위치들에 설치된 상이한 심 높이들을 갖는 결과로서 방위각 비대칭을 가질 수도 있다. 일부 이러한 실시예들에서, 상대적으로 보다 두꺼운 또는 보다 얇은 심들 (따라서 설치 후에, 상대적으로 보다 길고 또는 보다 짧은 에지 플로우 엘리먼트를 각각 발생시킴) 을 가진 구역은, 상기에 기술된 최소 치수 및/또는 최대 치수 중 임의의 치수를 가진 호에 걸칠 (span) 수도 있다. 일 예에서, 상대적으로 보다 큰 심들을 가진 구역은 적어도 약 60°, 그리고 약 150°이하로 걸친다. 나열된 호 치수들의 임의의 조합이 사용될 수도 있고, 그리고 존재하는 방위각 비대칭은 본 명세서에 기술된 임의의 타입의 비대칭일 수도 있다.
도 21은 내부에 설치된 에지 플로우 엘리먼트 (2110) 를 가진 전기 도금 셀의 단면도를 도시한다. 이 예에서, 에지 플로우 엘리먼트 (2110) 는 CIRP (2104) 의 상승된 플래토 부분의 방사상으로 외부에 위치된다. 에지 플로우 엘리먼트 (2110) 의 형상은 유입부 근방의 전해액으로 하여금 교차 플로우 매니폴드 (2102) 에 도달하도록 상측으로 비스듬히 이동하게 하고 그리고 유사하게, 유출부 근방의 전해액으로 하여금 교차 플로우 매니폴드 (2102) 를 나가도록 하측으로 비스듬히 이동하게 한다. 도 19a 내지 도 19e에 도시된 바와 같이, 에지 플로우 엘리먼트의 최상부 부분은 CIRP의 상승된 부분의 플레인 위로 연장할 수도 있다. 다른 경우들에서, 에지 플로우 엘리먼트의 최상부 부분은 CIRP (2104) 의 상승된 부분과 동일한 높이일 수도 있다. 일부 경우들에서, 에지 플로우 엘리먼트의 위치는 본 명세서의 다른 부분에서 기술된 바와 같이 조정 가능하다. 에지 플로우 엘리먼트 (2110) 의 형상 및 위치는 기판 (2100) 과 기판 홀더 (2106) 사이에 형성된 코너 근방에 보다 높은 정도의 교차 플로우를 촉진할 수도 있다.
도 22a는 CIRP (2204) 및 에지 플로우 엘리먼트 (2210) 의 단면도를 예시한다. 이 예에서, 에지 플로우 엘리먼트 (2210) 는 CIRP (2204) 내의 홈 (2216) 내에 피팅하는 이동식 피스이다. 도 22b는 도 22a에 도시된 에지 플로우 엘리먼트 (2210) 및 CIRP (2204) 의 부가적인 도면을 제공한다. 이 실시예에서, 에지 플로우 엘리먼트 (2210) 는 최대 12 개의 나사들을 사용하여 CIRP (2204) 상에 고정되고, 이는 에지 플로우 엘리먼트 (2210) 의 높이/위치를 튜닝하기 위해 12 개의 개별적인 위치들을 제공한다. 유사한 실시예들에서, 임의의 수의 나사들/조정/부착 지점들이 사용될 수도 있다. CIRP (2204) 는 전해액이 교차 플로우 매니폴드로부터 나가기 위한 유출부를 제공하여, 교차로 흐르는 전해액을 촉진할 수도 있는, 제 2 홈 (2217) 을 포함할 수도 있다. 에지 플로우 엘리먼트 (2210) 는 일련의 나사들 (도 22a 및 도 22b에 도시되지 않음) 을 사용하여 CIRP (2204) 내의 홈 (2216) 내에 고정된다.
도 22c는 전해액이 교차 플로우 매니폴드를 나갈 때 교차 플로우의 x-방향 속도와 관련된 모델링 결과들을 제공한다. 또한 도 22c에 도시된 바와 같이, 일련의 심들 (2218) (이 예에서, CIRP (2204) 내의 홈 (2216) 내에 에지 플로우 엘리먼트 (2210) 를 고정시키는 나사들 (2212) 주위에 피팅되는 심 와셔들 (washers)) 이 에지 플로우 엘리먼트 (2210) 주위의 개별적인 위치들에서 에지 플로우 엘리먼트 (2210) 의 높이를 조정하도록 사용될 수도 있다. 심의 높이는 H로 라벨링된다 (labeled). 이들 높이들은 에지 플로우 엘리먼트 (2210) 의 상단부와 기판 (미도시) 사이의 방위각으로 비대칭인 거리를 달성하도록 독립적으로 조정될 수도 있다. 이 예에서, 에지 플로우 엘리먼트 (2210) 의 내측 에지가 검은 원으로 도시된 바와 같이, CIRP (2204) 의 상승된 부분 위인 높이/위치로 연장하도록 에지 플로우 엘리먼트 (2210) 가 위치된다.
일부 실시예들에서, 에지 플로우 엘리먼트의 최상부 부분과 CIRP의 최상부 부분 사이의 수직 거리는 약 0 내지 5 ㎜, 예를 들어 약 0 내지 1 ㎜일 수도 있다. 이들 또는 다른 경우들에서, 이 거리는 에지 플로우 엘리먼트 상의 하나 이상의 위치들에서, 적어도 약 0.1 ㎜, 또는 적어도 약 0.25 ㎜일 수도 있다. 에지 플로우 엘리먼트의 최상부 부분과 기판 사이의 수직 거리는 약 0.5 내지 5 ㎜, 일부 경우들에서 약 1 내지 2 ㎜일 수도 있다. 다양한 실시예들에서, 에지 플로우 엘리먼트의 최상부 부분과 CIRP의 최상부 부분 사이의 거리는 CIRP의 상승된 부분과 기판 표면 사이의 거리의 약 10 내지 90 %, 일부 경우들에서 약 25 내지 50 %이다. 이 문단에서 지칭된 "CIRP의 최상부 부분"은 에지 플로우 엘리먼트 자체를 배제한다 (예를 들어, 에지 플로우 엘리먼트가 CIRP와 통합되는 경우들에서). 통상적으로, CIRP의 최상부 부분은 교차 플로우 매니폴드 내의 기판 반대편에 위치된, CIRP의 상부 표면이다. 다양한 실시예들에서, 도 21에 도시된 바와 같이, CIRP는 상승된 플래토 부분을 포함한다. 이러한 실시예들에서 "CIRP의 최상부 부분"은 CIRP의 상승된 플래토 부분이다. CIRP가 상부에 일련의 돌기들을 포함하는 실시예들에서, 돌기들의 상단부는 "CIRP의 최상부 부분"에 대응한다. 기판 바로 아래에 있는 CIRP의 구역들만은 CIRP의 최상부 부분이 어떤 것인지를 결정할 때 고려된다.
도 22c의 실시예를 다시 참조하면, 심들 (2218) 없이 (또는 적절하게 얇은 심들 (2218) 을 사용하여), 에지 플로우 엘리먼트 (2210) 의 상단부가 CIRP (2204) 의 상승된 부분과 대략 동일 평면 상에 있을 수도 있다. 일 특정한 실시예에서, 에지 플로우 엘리먼트 (2210) 가 도 22c에 도시된 바와 같고, 그리고 심들 (2218) 은, 전기 도금 셀의 유입부 측 근방에서, 에지 플로우 엘리먼트 (2210) 의 상단부가 CIRP (2204) 의 상승된 부분과 대략 동일 평면 상에 있거나, 상승된 부분 아래에 있고 (예를 들어, 몇몇의 심들, 및/또는 보다 얇은 심들이 유입부 근방에서 제공되고 심들이 유입부 근방에서 제공되지 않음), 전기 도금 셀의 유출부 측 근방에서, 에지 플로우 엘리먼트 (2210) 의 상단부가 CIRP (2204) 의 상승된 부분 위, 상승된 부분의 방사상으로 외부를 통해 있도록 (예를 들어, 보다 많은 심들 및/또는 보다 두꺼운 심들이 유입부와 비교할 때 유출부 근방에서 제공됨) 방위각으로 비대칭인 방식으로 제공된다.
특히, 기판 (2200) 과 기판 홀더 (2206) 사이에 형성된 코너 내의 플로우가 다소 낮지만, 에지 플로우 엘리먼트 (2210) 가 제공되지 않는 경우와 비교할 때 개선된다.
도 22d는 도 22c에 도시된 설정 (setup) 을 사용하여 몇몇의 상이한 심 두께들에 대해 기판 상의 방사상 위치에 대한 기판 근방의 교차 플로우 (즉, 수평 방향의 플로우) 의 x-방향 속도를 도시한 모델링 결과들을 도시한다. 심의 높이는 기판의 에지 근방에서 교차 플로우의 속도에 강한 영향을 준다. 일반적으로 말하면, 심이 보다 두꺼울 수록, 기판의 에지 근방에서 교차 플로우의 속도가 보다 높아진다. 기판의 주변 근방의 이 교차 플로우의 증가는 기판 에지 근방에서 통상적으로 달성되는 저 도금 레이트를 보상할 수도 있다 (예를 들어, 상기에 기술된 바와 같이, 장치의 기하학적 구조 및/또는 포토레지스트 두께의 결과로서). 이러한 차들은 관련된 위치들에서 심들의 높이를 단순히 변화시킴으로써 에지 플로우 프로파일의 조절/튜닝성을 허용한다.
특정한 실시예들에서, 에지 플로우 엘리먼트는 약 0.1 내지 50 ㎜의 폭 (외측 반경과 내측 반경 사이의 차로서 측정됨) 을 갖는다. 일부 이러한 경우들에서, 이 폭은 적어도 약 0.01 ㎜ 또는 적어도 약 0.25 ㎜이다. 통상적으로, 이 폭의 적어도 일부분은 기판 홀더의 내측 에지의 방사상으로 내부에 위치된다. 에지 플로우 엘리먼트의 높이는 전기 도금 장치의 나머지 부품들의 기하학적 구조, 예를 들어 교차 플로우 매니폴드의 높이에 많이 의존한다. 또한, 에지 플로우 엘리먼트의 높이는 이 엘리먼트가 전기 도금 장치에 설치는 되는 방법, 및 장비의 다른 피스들 내에 만들어진 자리들 (accommodations) (예를 들어, CIRP 내로 머시닝된 (machined) 홈들) 에 의존한다. 특정한 구현예들에서, 에지 플로우 엘리먼트는 약 0.1 내지 5 ㎜, 또는 약 1 내지 2 ㎜인 높이를 가질 수도 있다. 심들이 사용되는 경우에, 심들은 다양한 두께들로 제공될 수 있다. 이들 두께들은 또한 도금 장치의 기하학적 구조 및 CIRP 내에 만들어진 자리들 또는 내부에 에지 플로우 엘리먼트를 고정시키기 위한 장치의 다른 부분에 의존한다. 예를 들어, 도 22a 및 도 22b에 도시된 바와 같이, 에지 플로우 엘리먼트가 CIRP 내의 홈 내에 피팅된다면, 상대적으로 보다 두꺼운 심들은 CIRP 내의 홈이 상대적으로 보다 두꺼운 경우에 필요할 수도 있다. 일부 실시예들에서, 심들은 약 0.25 내지 4 ㎜, 또는약 0.5 내지 1.5 ㎜의 두께들을 가질 수도 있다.
위치에 관하여, 에지 플로우 엘리먼트는 통상적으로 에지 플로우 엘리먼트의 적어도 일부분이 기판 지지부의 내측 에지의 방사상으로 내부에 있도록 위치된다. 많은 경우들에서 이것은 에지 플로우 엘리먼트의 적어도 일부분이 기판 자체의 에지의 방사상으로 내부에 있도록 에지 플로우 엘리먼트가 위치된다는 것을 의미한다. 특정한 실시예들에서 에지 플로우 엘리먼트가 기판 지지부의 내측 에지로부터 내측으로 연장하는 수평 거리는 적어도 약 1 ㎜, 또는 적어도 약 5 ㎜, 또는 적어도 약 10 ㎜, 또는 적어도 약 20 ㎜일 수도 있다. 일부 실시예들에서, 이 거리는 약 30 ㎜ 이하, 예를 들어 약 20 ㎜ 이하, 약 10 ㎜ 이하, 또는 약 2 ㎜ 이하이다. 이들 또는 다른 실시예들에서, 에지 플로우 엘리먼트가 기판 지지부의 내측 에지로부터 방사상으로 외측으로 연장하는 수평 거리는 적어도 약 1 ㎜, 또는 적어도 약 10 ㎜일 수도 있다. 일반적으로, 에지 플로우 엘리먼트가 전기 도금 장치 내에 피팅될 수 있는 한, 에지 플로우 엘리먼트가 기판 지지부의 내측 에지로부터 방사상으로 외측으로 연장하는 수평 거리에 대한 상한은 없다.
도 23a는 램프-형상을 가진 에지 플로우 엘리먼트가 사용되는 경우에 전해액 플로우에 대한 모델링 결과들을 도시한다. 도 23a에서, 어두운 영역은 전해액이 흐르는 영역에 관한 것이다. 상이한 음영들 (shades) 은 전해액이 흐르는 레이트를 나타낸다. 어두운 영역 위의 흰 공간은 (예를 들어 도 22c에 라벨링된 바와 같이) 기판 및 기판 홀더에 대응한다. 어두운 영역 아래의 흰 공간은 CIRP 및 에지 플로우 엘리먼트에 대응한다. 이 예를 들면, 에지 플로우 엘리먼트는 CIRP와 함께, 도 23a에 도시된 형상을 가진 플로우 경로를 발생시키는, 임의의 형상일 수도 있다. 일부 경우들에서, 에지 플로우 엘리먼트는 단순히 CIRP의 에지일 수도 있다. 도 23a에서, CIRP/에지 플로우 엘리먼트는 함께 기판과 기판 홀더 사이의 인터페이스 근방에 램프 형상을 발생시킨다. 램프는 CIRP의 상승된 부분 위로 연장하는, 도면에 도시된, 램프 높이를 갖는다. 램프는 기판 홀더와 기판의 에지 사이의 인터페이스의 방사상으로 내부에 위치되는 최대 높이를 갖는다. 일부 실시예들에서, 램프 높이는 약 0.25 내지 5 ㎜, 예를 들어 약 0.5 내지 1.5 ㎜일 수도 있다. 기판 홀더의 내측 에지와 램프의 최대 높이 사이의 수평 거리 ("컵으로부터 삽입된 램프"로서 도 23a에 라벨링됨) 는 약 1 내지 10 ㎜, 예를 들어 약 2 내지 5 ㎜일 수도 있다. 기판 홀더의 내측 에지와 램프의 시작 부분 사이의 수평 거리 ("내측 램프 폭"으로서 도 23a에 라벨링됨) 는 약 1 내지 30 ㎜, 예를 들어 약 5 내지 10 ㎜일 수도 있다. 램프의 시작 부분과 램프의 끝 부분 사이의 수평 거리 ("총 램프 폭"으로서 도 23a에 라벨링됨) 는 약 5 내지 50 ㎜, 예를 들어 약 10 내지 20 ㎜일 수도 있다. 램프가 램프의 내측 에지에 대해 상승하는 평균 각은 약 10 내지 80 도일 수도 있다. 램프가 램프의 외측 에지 상에 대해 하락하는 평균 각은 약 10 내지 80 도, 예를 들어 약 40 내지 50 도일 수도 있다. 램프의 상단부는 예각을 이룰 수도 있거나 도시된 바와 같이 평활할 (smooth) 수도 있다.
도 23b는 상이한 램프 높이들에 대해 기판 상의 방사상 위치에 대한 플로우 속도를 예시한 모델링 결과들을 도시한다. 보다 높은 램프 높이들은 보다 고속 플로우를 발생시킨다. 보다 높은 램프 높이들은 또한 보다 상당한 압력 강하들과 상관된다.
도 24a는 또 다른 타입의 에지 플로우 엘리먼트와 관련된 모델링 결과들을 도시한다. 이 예에서, 에지 플로우 엘리먼트 (도 23a의 에지 플로우 엘리먼트와 유사하게, CIRP에 부착된 별개의 피스일 수도 있거나 CIRP와 통합될 수도 있음) 는 전해액으로 하여금 에지 플로우 엘리먼트 내의 통로들을 통해 흐르게 하는 플로우 바이패스를 포함한다. 플로우 바이패스 통로의 길이는 "길이"로 라벨링되고 그리고 플로우 바이패스 통로의 높이는 "바이패스 높이"로 라벨링된다. "램프 높이"는 플로우 바이패스 통로의 상단부와 램프의 상단부 사이의 수직 거리를 지칭한다. 특정한 실시예들에서, 플로우 바이패스 통로는 적어도 약 1 ㎜, 또는 적어도 약 5 ㎜의 최소 길이, 그리고/또는 약 2 ㎜, 또는 약 20 ㎜의 최대 길이를 가질 수도 있다. 플로우 바이패스 통로의 높이는 적어도 약 0.1 ㎜, 또는 적어도 약 4 ㎜일 수도 있다. 이들 또는 다른 경우들에서, 플로우 바이패스 통로의 높이는 약 1 ㎜ 이하, 또는 약 8 ㎜ 이하일 수도 있다. 일부 실시예들에서, 플로우 바이패스 통로의 높이는 CIRP (예를 들어, 존재한다면 CIRP의 상승된 부분) 와 기판 사이의 거리 (이 거리는 또한 교차 플로우 매니폴드의 높이임) 의 약 10 내지 50 %일 수도 있다. 유사하게, 램프의 높이는 CIRP와 기판 사이의 거리의 약 10 내지 90 %일 수도 있다. 이것은 적어도 약 0.2 ㎜, 또는 일부 경우들에서 적어도 약 4.5 ㎜의 램프 높이에 대응할 수도 있다. 이들 또는 다른 경우들에서, 램프 높이는 약 6 ㎜ 이하, 예를 들어 약 1 ㎜ 이하일 수도 있다.
도 24b는 도 24a에 라벨링된 파라미터들에 대한 상이한 값들을 사용하여 실행된 모델링 결과들을 도시한다. 특히, 결과들은 이들 기하학적 파라미터들이 기판의 에지 근방의 플로우를 튜닝하도록 가변될 수도 있고, 이에 따라 임의의 미리결정된 애플리케이션에 대해 목표된 플로우 패턴을 달성한다는 것을 나타낸다. 이 그래프에 도시된 상이한 경우들 사이를 구별할 필요는 없다. 대신에, 결과들은 많은 상이한 플로우 패턴들이 에지 플로우 엘리먼트의 기하학적 구조를 가변함으로써 달성될 수도 있다는 것을 나타내기 위한 것과 관련된다.
도 25는 기판 (2500) 과 기판 홀더 (2506) 사이에 형성된 코너 내에 위치되는 에지 플로우 엘리먼트 (2510) 와 관련된 플로우 모델링 결과들을 제시한다. 이 예에서, 에지 플로우 엘리먼트 (2510) 는 도시된 바와 같이, 전해액으로 하여금 흐르게 하도록 플로우 바이패스 통로들을 포함한다. 특히, 전해액은 CIRP (2504) 와 에지 플로우 엘리먼트 (2510) 사이, 또한 에지 플로우 엘리먼트 (2510) 와 기판 (2500)/기판 홀더 (2506) 사이를 흐를 수 있다. 일 예에서, 에지 플로우 엘리먼트는 도 18c에 관하여 기술된 바와 같이, 기판 홀더에 바로 부착될 수도 있다. 또 다른 예에서, 에지 플로우 엘리먼트는 도 18b에 관하여 기술된 바와 같이, CIRP에 바로 부착될 수도 있다.
도 26a 내지 도 26d는 다양한 실시예들에 따른 에지 플로우 인서트들의 몇몇의 예들을 도시한다. 에지 플로우 엘리먼트의 일부분만이 각각의 경우에서 도시된다. 이들 에지 플로우 엘리먼트들은 예를 들어 도 22a에 관하여 기술된 바와 같이 홈 내에서, CIRP에 에지 플로우 엘리먼트들을 부착함으로써 전기 도금 셀 내에 설치될 수도 있다. 도 26a 내지 도 26d에 도시된 에지 플로우 엘리먼트들은 상이한 높이들, 상이한 플로우 바이패스 통로 높이들, 상이한 각들, 상이한 정도의 방위각 대칭/비대칭, 등을 갖도록 제조된다. 도 26a 및 도 26b의 에지 플로우 엘리먼트들에서 쉽게 보이는 비대칭의 일 타입은, 특정한 방위각 위치들에, 플로우 바이패스 통로들이 없고 그리고 전해액이 전기 도금 셀을 나가도록 이들 위치들에서 에지 플로우 엘리먼트의 최상부 부분 위에서 대체로 이동해야 한다는 것이다. 에지 플로우 엘리먼트 상의 다른 위치들에, 플로우 바이패스 통로들이 존재하고, 전해액으로 하여금 에지 플로우 엘리먼트의 최상부 부분 위 그리고 아래로 흐르게 한다. 특정한 실시예들에서, 에지 플로우 엘리먼트는 도 26a 및 도 26b에 도시된 바와 같이, 플로우 바이패스 통로들을 가진 부분(들) 및 플로우 바이패스 통로들을 갖지 않은 부분(들), 상이한 방위각 위치들에 위치될 상이한 부분들을 포함한다. 에지 플로우 엘리먼트는 플로우 바이패스 통로들을 가진 부분(들)이 전기 도금 셀의 유입부 영역/유출부 영역 중 하나 또는 양자와 정렬되도록 전기 도금 장치 내에 설치될 수도 있다. 일부 실시예들에서, 에지 플로우 엘리먼트는 플로우 바이패스 통로들이 결여된 부분(들)이 전기 도금 셀의 유입부 영역/유출부 영역 중 하나 또는 양자와 정렬되도록 전기 도금 장치 내에 설치될 수도 있다.
에지 플로우 엘리먼트가 방위각으로 비대칭일 수도 있는 또 다른 방식은, 에지 플로우 엘리먼트 상의 상이한 위치들에서 상이한 치수들의 플로우 바이패스 통로들을 제공함에 의한 것이다. 예를 들어, 유입부 및/또는 유출부 근방의 플로우 바이패스 통로들은 유입부 및/또는 유출부로부터 보다 먼 플로우 바이패스 통로들보다 넓거나 보다 좁거나, 보다 길거나 보다 짧을 수도 있다. 유사하게, 유입부 근방의 플로우 바이패스 통로들은 유출부 근방의 플로우 바이패스 통로들보다 넓거나 보다 좁거나, 보다 길거나 보다 짧을 수도 있다. 이들 또는 다른 경우들에서, 인접한 플로우 바이패스 통로들 사이의 공간은 불균일할 수도 있다. 일부 실시예들에서, 플로우 바이패스 통로들은 유입부 및/또는 유출부로부터 보다 먼 구역들과 비교할 때, 유입부 및/또는 유출부 구역들 근방에서 함께 보다 가까울 수도 있다 (또는 보다 멀리 이격됨). 유사하게, 플로우 바이패스 통로들은 유출부 영역과 비교할 때 유입부 영역 근방에서 함께 보다 가까울 수도 있다 (또는 보다 멀리 이격됨). 플로우 바이패스 통로들의 형상은 또한 예를 들어 교차 플로우를 촉진하도록 방위각으로 비대칭일 수도 있다. 특정한 구현예들에서 이것을 성취하기 위한 일 방식은, 교차 플로우의 방향과 어느 정도 정렬되는 플로우 바이패스 통로들을 사용하는 것일 수도 있다. 일부 실시예들에서, 에지 플로우 엘리먼트의 높이는 방위각으로 비대칭이다. 일부 실시예들에서 상대적으로 보다 고 부분들은 전기 도금 장치의 유입부 및/또는 유출부 측과 정렬될 수도 있다. 이 동일한 결과는 다양한 높이들의 심들을 사용하여 CIRP 상에 설치된, 방위각으로 대칭인 높이를 가진 에지 플로우 엘리먼트를 사용하여 성취될 수 있다.
전해액이 많은 위치들에서 전기 도금 셀을 나갈 수도 있다는 것이 이해되지만, 전기 도금 셀의 "유출부 영역"이 유입부 (교차로 흐르는 전해액이 시작되는 곳, CIRP 내의 교차 플로우 매니폴드 쓰루 홀들에 진입하는 전해액을 고려하지 않음) 반대편의 영역임이 이해된다. 즉, 유입부는 교차 플로우가 실질적으로 시작되는 업스트림 영역에 대응하고, 그리고 유출부는 업스트림 영역 반대편인 다운스트림 영역에 대응한다.
도 27a 내지 도 27c는 도 28 내지 도 30에 관하여 기술된 복수의 실험들에 대해 사용된 실험 설정을 제시한다. 이 일련의 테스트들에서, 에지 플로우 엘리먼트 (2710) 는 상이한 위치들에서 다양한 높이들로 CIRP (2704) 내에 설치되었다. 4 개의 상이한 설정들이 사용되었고, 도 27a에서 A, B, C, 및 D로서 라벨링되었다. 다양한 높이들의 심들이 상이한 높이들에 에지 플로우 엘리먼트 (2710) 를 위치시키도록 사용되었다. 도 27a에 도시된 바와 같이, 에지 플로우 엘리먼트 (2710) 는 업스트림 부분 (2710a) (약 9 시 위치와 3 시 위치 사이) 과 다운스트림 부분 (2710b) (약 4 시 위치와 8 시 위치 사이) 으로 개념적으로 분할되었다. 에지 플로우 엘리먼트 (2710) 의 업스트림 부분 (2710a) 은 교차 플로우 매니폴드에 대한 유입부와 정렬되었다 (예를 들어, 유입부의 중심은 약 12 시 위치에 위치되었음). 테스트된 상이한 설정들이 도 27b의 표에 기술된다. 도 27a에서, CIRP (2710) 는 도면의 하단 부분에 도시된 것보다 일반적으로 훨씬 보다 길고/보다 넓다는 것이 이해되어야 한다.
도 27b의 표는 실험 설정과 관련된 3 개의 갭 높이들을 기술한다. 제 1 갭 높이 (웨이퍼-CIRP 갭) 는 기판 표면과 CIRP의 상승된 부분 사이의 거리에 대응한다. 이것은 교차 플로우 매니폴드의 높이이다. 제 2 갭 높이 (업스트림 갭) 는 에지 플로우 엘리먼트의 업스트림 부분에 대한 에지 플로우 엘리먼트의 최상단 부분과 기판 사이의 거리에 대응한다. 유사하게, 제 3 갭 높이 (다운스트림 갭) 는 에지 플로우 엘리먼트의 다운스트림 부분에 대한 에지 플로우 엘리먼트의 최상단 부분과 기판 사이의 거리에 대응한다. 설정 A에서, 업스트림 갭 및 다운스트림 갭각각은 기판-CIRP 갭과 동일한 사이즈이다. 여기서, 에지 플로우 엘리먼트의 상단부는 CIRP의 상승된 부분과 동일한 높이이다. 설정 B에서, 업스트림 갭과 다운스트림 갭은 동일하고, 그리고 양자는 기판-CIRP 갭보다 작다. 이 예에서, 에지 플로우 엘리먼트는 방위각으로 대칭인 방식으로 CIRP의 상승된 부분보다 높은 위치로 연장한다. 설정 C에서, 업스트림 갭은 기판-CIRP 갭과 동일한 사이즈이지만, 다운스트림 갭은 보다 작다. 이 예에서, 에지 플로우 엘리먼트는 에지 플로우 엘리먼트 상의 업스트림 위치들에서 CIRP의 상승된 부분과 동일한 높이에 있고, 그리고 에지 플로우 엘리먼트의 다운스트림 위치들에서 CIRP의 상승된 부분보다 높다. 설정 D는 설정 C와 유사하고, 심지어 보다 작은 다운스트림 갭을 갖는다. 에지 플로우 엘리먼트와 기판 사이의 보다 작은 갭들은 에지 플로우 엘리먼트와 CIRP 사이에 보다 큰 심들을 사용한 결과이다. 도 27c은 상이한 위치들에서의 전해액의 교차-플로우 속도와 관련된 모델링 결과들을 도시한다. 이 도면은 도 27a 및 도 27b에 관하여 기본 실험 설정의 기하학적 구조를 도시한다.
도 28은 도 27a 내지 도 27c에 관하여 기술된 설정 A 및 설정 B와 관련된 실험 결과들을 제시한다. 이 실험에 대해, 기판은 전기 도금 동안 회전되지 않았다. 도 28의 그래프는 기판 상의 방사상 위치에 대한 도금된 범프 높이를 예시한다. 결과들은 설정 A와 비교할 때 기판의 에지 근방에서 실질적으로 보다 균일한 범프 높이를 발생시켰다는 것을 나타낸다. 이것은 CIRP의 상승된 부분의 플레인 위로 에지 플로우 엘리먼트를 상승시키는 것이 도금 균일성에 대한 상당한 이득들을 가질 수 있다는 것을 암시한다.
도 29는 도 27a 내지 도 27c에 관하여 기술된 설정들 A 내지 D와 관련된 실험 데이터를 제시한다. 그래프는 기판 상의 방사상 위치에 대한 다이 내 불균일성을 예시한다. 보다 낮은 정도의 불균일성이 목표된다. 다양한 실시예들에서, 5 %보다 작은 다이 내 불균일성이 목표일 수도 있다. D 설정이 가장 잘 수행되었다 (가장 저 불균일성). B 설정 및 C 설정이 또한 A 설정보다 양호하게 수행되었다. 이와 같이, 특히 (반드시 그러한 것은 아니지만 유일하게) 에지 플로우 엘리먼트 상의 다운스트림 위치들에서, 상승된 CIRP의 플레인 위로 에지 플로우 엘리먼트를 상승시키는 것에 대한 특정한 이득들이 있다고 여겨진다.
도 30은 도 27a 내지 도 27c에 관하여 기술된 설정들 A 내지 D에 대해 기판 상의 방사상 위치에 대한 도금된 범프 높이를 도시한 실험 결과들을 제시한다. 설정 D는 가장 저 다이 내 불균일성을 가진, 가장 균일한 에지 프로파일을 발생시켰다. 도 30에 도시된 "WiD" 값들은 도금 후에 기판들 상에서 관찰되는 다이 내 두께 불균일성들에 관한 것이다.
본 명세서에 기술된 구성들 및/또는 방법들은 본질적으로 예시적이고, 그리고 이들 특정한 실시예들 또는 예들은 수많은 변동들이 가능하기 때문에, 제한적인 의미로 고려되지 않는다는 것이 이해된다. 본 명세서에 기술된 특정한 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들이 예시된 시퀀스로, 다른 시퀀스들로, 동시에 수행될 수도 있거나 일부 경우들에서 생략될 수도 있다. 마찬가지로, 상기 기술된 프로세스들의 순서는 변화될 수도 있다.
본 개시의 주제는 다양한 프로세스들, 시스템들 및 구성들, 그리고 본 명세서에 개시된 다른 특징들, 기능들, 동작들, 및/또는 특성들, 뿐만 아니라 이들의 등가물들의 모든 신규하고 불분명한 조합들 및 서브-조합들을 포함한다.
부가적인 예들
교차 플로우 매니폴드 (226) 를 통한 개선된 교차 플로우가 바람직하다는 것을 암시하는 몇몇의 관측들이 이 섹션에 제시된다. 이 섹션에 걸쳐, 2 개의 기본 도금 셀 설계들이 테스트된다. 설계들 양자는 CIRP (206) 의 상단부 상에 교차 플로우 매니폴드 (226) 를 규정하며, 때때로 플로우 방향전환기로서 지칭되는, 한정 링 (210) 을 포함한다. 설계는 에지 플로우 엘리먼트를 포함하지 않지만, 이러한 엘리먼트는 목표된 대로 어느 설정에 추가될 수도 있다. 때때로 제어 설계 및/또는 TC1 설계로서 지칭되는, 제 1 설계는 이 교차 플로우 매니폴드 (226) 에 대한 측면 유입부를 포함하지 않는다. 대신에, 제어 설계에서, 교차 플로우 매니폴드 (226) 내로의 모든 플로우는 CIRP (206) 아래에서 시작되고 그리고 웨이퍼 상에 충돌하고 기판의 면에 걸쳐 흐르기 전에 CIRP (206) 내의 홀들을 통해 상측으로 이동한다. 때때로 제 2 설계 및/또는 TC2 설계로서 지칭되는, 제 2 설계는 CIRP (206) 내의 채널들 또는 구멍들을 통과하지 않고 유체를 교차 플로우 매니폴드 (226) 내로 바로 주입하기 위한 교차 플로우 주입 매니폴드 (222) 및 모든 연관된 하드웨어를 포함한다 (그러나, 일부 경우들에서, 교차 플로우 주입 매니폴드로 전달된 플로우는 CIRP (206) 의 주변 근방에서 전용 채널들을 통과하고, 이러한 채널들은 유체를 CIRP 매니폴드 (208) 로부터 교차 플로우 매니폴드 (226) 로 지향시키도록 사용된 채널들과 뚜렷이 구별되고/분리된다는 것을 주의하라).
도 10a 및 도 10b 내지 도 12a 및 도 12b는 교차 플로우 매니폴드에 대한 측면 유입부를 가진 제 2 도금 셀 (도 10b, 도 11b, 및 도 12b) 에 대한 측면 유입부를 갖지 않은 제어 도금 셀 (도 10a, 도 11a, 및 도 12a) 을 사용하여 달성된 플로우 패턴들을 비교한다.
도 10a는 제어 설계 도금 장치의 부분의 상면도이다. 구체적으로, 도면은 플로우 방향전환기 (210) 를 가진 CIRP (206) 를 도시한다. 도 10b는 제 2 도금 장치의 부분의 상면도를 도시하고, 구체적으로 CIRP (206), 플로우 방향전환기 (210) 및 교차 플로우 주입 매니폴드 (222)/교차 플로우 매니폴드 유입부 (250)/교차 플로우 샤워헤드 (242) 를 도시한다. 도 10a 및 도 10b의 플로우의 방향은 대체로 좌측에서 우측이고, 플로우 방향전환기 (210) 상의 유출부 (234) 를 향한다. 도 10a 및 도 10b에 도시된 설계들은 도 11a 및 도 11b와 도 12a 및 도 12b에 모델링된 설계들에 대응한다.
도 11a는 제어 설계에 대한 교차 플로우 매니폴드 (226) 를 통한 플로우를 도시한다. 이 경우에, 교차 플로우 매니폴드 (226) 내의 모든 플로우는 CIRP (206) 아래에서 시작된다. 특정한 지점에서의 플로우의 크기는 화살표들의 사이즈로 나타나 있다. 도 11a의 제어 설계에서, 플로우의 크기는, 추가의 유체가 CIRP (206) 를 통과하고, 웨이퍼 상에 충돌하고, 그리고 교차 플로우와 조인할 (join) 때 실질적으로 교차 플로우 매니폴드 (226) 에 걸쳐 증가한다. 그러나, 도 11b의 현 설계에서, 이 플로우의 증가는 훨씬 보다 덜 상당하다. 특정한 양의 유체가 교차 플로우 주입 매니폴드 (222) 및 연관된 하드웨어를 통해 교차 플로우 매니폴드 (226) 내로 바로 전달되기 때문에 증가는 크지 않다.
도 12a는 도 10a에 도시된 제어 설계 장치 내에서 도금된 기판의 면에 걸친 수평 속도를 도시한다. 특히, 플로우 속도는 (플로우 방향전환기 유출부 반대편의 위치에서) 0이고 그리고 유출부 (234) 에 도달할 때까지 증가한다. 유감스럽게도, 웨이퍼의 중심에서의 평균 플로우는 제어 실시예들에서 상대적으로 낮다. 그 결과, CIRP (206) 의 채널들로부터 방출된 음극액의 제트들은 중심 구역에서 유체 역학적으로 두드러진다. 이 문제는 웨이퍼의 회전이 방위각으로 평균된 교차 플로우 이력 (experience) 을 생성하기 때문에 워크피스의 에지 구역들을 향하여 두드러지지 않는다.
도 12b는 도 10b에 도시된 현 설계에서 도금된 기판의 면에 걸친 수평 속도를 도시한다. 이 경우에, 수평 속도는 교차 플로우 주입 매니폴드 (222) 로부터, 측면 유입부 (250) 를 통해 그리고 교차 플로우 매니폴드 (226) 내로 주입된 유체에 기인하여 0이 아닌 값으로 유입부 (250) 에서 시작된다. 또한, 웨이퍼의 중심에서의 플로우 레이트는 제어 설계와 비교할 때 현 설계에서 증가되고, 이에 따라 충돌 제트들이 다른 방식으로 두드러질 수도 있는 경우에 웨이퍼의 중심 근방에서 저 교차 플로우의 구역을 감소시키거나 제거한다. 그러므로, 측면 유입부는 유입부-대-유출부 방향을 따라 교차 플로우 레이트들의 균일성을 실질적으로 개선하고, 그리고 보다 균일한 도금 두께를 발생시킬 것이다.
다른 실시예들
전술한 것은 특정한 실시예들의 전체 기술이지만, 다양한 수정들, 대안적인 구성들 및 등가물들이 사용될 수도 있다. 그러므로, 상기 기술 및 예시들은 첨부된 청구항들에 의해 규정되는 본 발명의 범위를 제한하는 것으로서 취해져서는 안 된다.

Claims (21)

  1. (a) 실질적으로 평면형인 기판 상에 금속을 전기 도금하는 동안 전해액 및 양극을 담도록 구성된 전기 도금 챔버;
    (b) 상기 기판의 도금면이 전기 도금 동안 상기 양극으로부터 분리되도록 상기 실질적으로 평면형인 기판을 홀딩하도록 구성된 기판 홀더로서, 상기 기판이 상기 기판 홀더에 위치될 때, 코너가 상기 기판과 상기 기판 홀더 사이의 인터페이스에 형성되고, 상기 코너는 상기 기판의 상기 도금면에 의해 상단 상에 그리고 상기 기판 홀더에 의해 측면 상에 규정되는, 상기 기판 홀더;
    (c) 10 ㎜ 이하의 갭만큼 상기 기판의 상기 도금면으로부터 분리되는 기판-대면 표면을 포함하는 이온 저항성 엘리먼트로서, 상기 이온 저항성 엘리먼트는 적어도 전기 도금 동안 상기 기판의 상기 도금면과 같은 공간을 차지하고, 상기 이온 저항성 엘리먼트는 전기 도금 동안 상기 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 상기 이온 저항성 엘리먼트;
    (d) 상기 전해액을 상기 갭에 도입하기 위한 상기 갭으로의 유입부;
    (e) 상기 갭 내에서 흐르는 상기 전해액을 수용하기 위한 상기 갭으로의 유출부; 및
    (f) 상기 기판과 상기 기판 홀더 사이의 상기 인터페이스에서 상기 코너 내로 상기 전해액을 지향시키도록 구성된 에지 플로우 엘리먼트로서, 상기 에지 플로우 엘리먼트는 호 형상이거나 링 형상이고 그리고 상기 기판과 상기 기판 홀더 사이의 상기 인터페이스에서 상기 코너의 적어도 부분적으로 방사상으로 내부에 그리고 상기 기판의 주변에 가깝게 위치되는, 상기 에지 플로우 엘리먼트를 포함하고,
    상기 유입부 및 상기 유출부는 전기 도금 동안 상기 기판의 상기 도금면 상의 방위각으로 반대편의 주변 위치들에 가깝게 위치되고, 그리고
    상기 유입부 및 상기 유출부는 전기 도금 동안 상기 기판의 상기 도금면 상에 전단력을 생성하거나 유지하도록 상기 갭 내에 교차로 흐르는 상기 전해액을 생성하도록 구성되는, 전기 도금 장치.
  2. 제 1 항에 있어서,
    상기 에지 플로우 엘리먼트는 상기 이온 저항성 엘리먼트 및/또는 상기 기판 홀더에 부착되도록 구성되는, 전기 도금 장치.
  3. 제 1 항에 있어서,
    상기 에지 플로우 엘리먼트는 상기 이온 저항성 엘리먼트와 통합되고 그리고 상기 이온 저항성 엘리먼트의 주변에 가까운 상승된 부분을 포함하고, 상기 상승된 부분은 상기 이온 저항성 엘리먼트의 상기 기판-대면 표면의 나머지 부분의 높이에 대해 상승되고, 상기 기판-대면 표면의 상기 나머지 부분은 상기 상승된 부분의 방사상으로 내부에 위치되는, 전기 도금 장치.
  4. 제 2 항에 있어서,
    상기 이온 저항성 엘리먼트는 상기 에지 플로우 엘리먼트가 설치되는 홈을 포함하는, 전기 도금 장치.
  5. 제 4 항에 있어서,
    상기 이온 저항성 엘리먼트와 상기 에지 플로우 엘리먼트 사이에 위치된 하나 이상의 심들 (shims) 을 더 포함하는, 전기 도금 장치.
  6. 제 5 항에 있어서,
    상기 하나 이상의 심들은 방위각으로 비대칭인 방식으로 위치되는 상기 에지 플로우 엘리먼트를 발생시키는, 전기 도금 장치.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 에지 플로우 엘리먼트는 (a) 위치, (b) 형상, 및/또는 (c) 플로우 바이패스 통로들의 존재 또는 형상 중 하나 이상에 대해 방위각으로 비대칭인, 전기 도금 장치.
  8. 제 7 항에 있어서,
    상기 에지 플로우 엘리먼트는 적어도 제 1 부분 및 제 2 부분을 포함하고, 상기 부분들은 상기 에지 플로우 엘리먼트의 방위각 비대칭에 기초하여 규정되고, 상기 제 1 부분은 상기 갭으로의 상기 유입부 또는 상기 갭으로의 상기 유출부 근방에 중심이 위치되는, 전기 도금 장치.
  9. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 에지 플로우 엘리먼트는 상기 전해액으로 하여금 상기 에지 플로우 엘리먼트를 통해 흐르게 하는 플로우 바이패스 통로들을 포함하는, 전기 도금 장치.
  10. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 에지 플로우 엘리먼트는 링 형상인, 전기 도금 장치.
  11. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 에지 플로우 엘리먼트는 호 형상인, 전기 도금 장치.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 이온 저항성 엘리먼트에 대해 상기 에지 플로우 엘리먼트의 위치는 조정 가능한, 전기 도금 장치.
  13. 제 12 항에 있어서,
    상기 이온 저항성 엘리먼트의 위치에 대해 상기 에지 플로우 엘리먼트의 상기 위치를 조정하기 위한 심들 및/또는 나사들을 더 포함하는, 전기 도금 장치.
  14. 제 12 항에 있어서,
    상기 이온 저항성 엘리먼트의 위치에 대해 상기 에지 플로우 엘리먼트의 상기 위치를 조정하기 위한 액추에이터를 더 포함하고, 상기 액추에이터는 상기 에지 플로우 엘리먼트의 상기 위치로 하여금 전기 도금 동안 조정되도록 허용하는, 전기 도금 장치.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 기판을 전기 도금하기 위한 방법에 있어서,
    (a) 기판 홀더 내에 실질적으로 평면형인 기판을 수용하는 단계로서, 상기 기판의 도금면은 노출되고, 그리고 상기 기판 홀더는, 상기 기판의 상기 도금면이 전기 도금 동안 양극으로부터 분리되도록 상기 기판을 홀딩하기 위해 구성되는, 상기 실질적으로 평면형인 기판을 수용하는 단계;
    (b) 상기 기판을 전해액 내에 침지시키는 단계로서, 10 ㎜ 이하의 갭은 상기 기판의 상기 도금면과 이온 저항성 엘리먼트의 상부 표면 사이에 형성되고, 상기 이온 저항성 엘리먼트는 적어도 상기 기판의 상기 도금면과 같은 공간을 차지하고, 그리고 상기 이온 저항성 엘리먼트는 전기 도금 동안 상기 이온 저항성 엘리먼트를 통해 이온 수송을 제공하도록 구성되는, 상기 기판을 전해액 내에 침지시키는 단계;
    (c) 상기 기판 홀더 내의 상기 기판과 콘택트하여 상기 전해액을 (i) 측면 유입부로부터, 에지 플로우 엘리먼트 위 그리고/또는 아래의 상기 갭 내로, 그리고 측면 유출부 밖으로, 그리고 (ii) 상기 이온 저항성 엘리먼트 아래로부터, 상기 이온 저항성 엘리먼트를 통해, 상기 갭 내로, 그리고 상기 측면 유출부 밖으로 흘리는 단계로서, 상기 유입부 및 상기 유출부는 상기 기판의 상기 도금면 상의 방위각으로 반대편의 주변 위치들에 가깝게 위치되고, 그리고 상기 유입부 및 상기 유출부는 전기 도금 동안 상기 갭 내에 교차로 흐르는 상기 전해액을 생성하도록 설계되거나 구성되는, 상기 전해액을 흘리는 단계;
    (d) 상기 기판 홀더를 회전시키는 단계; 및
    (e) 상기 단계 (c) 에서처럼 상기 전해액을 흘리는 동안 상기 기판의 상기 도금면 상에 재료를 전기 도금하는 단계로서, 상기 에지 플로우 엘리먼트는 상기 기판과 상기 기판 홀더 사이에 형성되는 코너 내로 상기 전해액을 지향시키도록 구성되고, 상기 코너는 상기 기판의 상기 도금면에 의해 상단 상에 그리고 상기 기판 홀더의 상기 내측 에지에 의해 측면 상에 규정되는, 상기 도금면 상에 재료를 전기 도금하는 단계를 포함하는, 기판을 전기 도금하기 위한 방법.
  19. 제 18 항에 있어서,
    상기 에지 플로우 엘리먼트는 방위각으로 비대칭인, 기판을 전기 도금하기 위한 방법.
  20. 제 18 항 또는 제 19 항에 있어서,
    상기 에지 플로우 엘리먼트는 상기 전해액으로 하여금 상기 에지 플로우 엘리먼트를 통해 흐르게 하는 플로우 바이패스 통로들을 포함하는, 기판을 전기 도금하기 위한 방법.
  21. 제 18 항 또는 제 19 항에 있어서,
    전기 도금 동안 상기 에지 플로우 엘리먼트의 위치를 조정하는 단계를 더 포함하는, 기판을 전기 도금하기 위한 방법.
KR1020160108112A 2015-08-28 2016-08-25 전기도금 장치를 위한 에지 플로우 엘리먼트 KR102629901B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562211633P 2015-08-28 2015-08-28
US62/211,633 2015-08-28
US14/924,124 2015-10-27
US14/924,124 US10094034B2 (en) 2015-08-28 2015-10-27 Edge flow element for electroplating apparatus

Publications (2)

Publication Number Publication Date
KR20170026215A KR20170026215A (ko) 2017-03-08
KR102629901B1 true KR102629901B1 (ko) 2024-01-30

Family

ID=58103424

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160108112A KR102629901B1 (ko) 2015-08-28 2016-08-25 전기도금 장치를 위한 에지 플로우 엘리먼트

Country Status (4)

Country Link
US (1) US10094034B2 (ko)
KR (1) KR102629901B1 (ko)
CN (1) CN106480481B (ko)
TW (1) TWI716435B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
JP6335777B2 (ja) * 2014-12-26 2018-05-30 株式会社荏原製作所 基板ホルダ、基板ホルダで基板を保持する方法、及びめっき装置
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US20180258546A1 (en) * 2017-03-09 2018-09-13 Lam Research Corporation Electroplating apparatus and methods utilizing independent control of impinging electrolyte
US10571366B2 (en) 2017-07-25 2020-02-25 Ford Global Technologies, Llc Systems and methods for diagnostics of a variable displacement engine
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) * 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US10094035B1 (en) 2017-10-16 2018-10-09 Lam Research Corporation Convection optimization for mixed feature electroplating
KR20210081441A (ko) * 2018-11-19 2021-07-01 램 리써치 코포레이션 고대류 (high convection) 도금 셀들에서 거품을 방지하기 위한 교차 플로우 (cross flow) 도관
KR20220053669A (ko) * 2019-09-03 2022-04-29 램 리써치 코포레이션 전기 도금 셀을 위한 저각도 멤브레인 프레임
CN114502778A (zh) * 2019-10-04 2022-05-13 朗姆研究公司 用于防止唇形密封件镀出的晶片屏蔽
CN110656346B (zh) * 2019-11-07 2021-02-02 南京工业大学 一种利用电化学微通道反应装置连续制备2-芳基-3-卤代-苯并噻吩类化合物的方法
CN111805814A (zh) * 2020-06-19 2020-10-23 南通通富微电子有限公司 一种塑封磨具结构的确定方法及塑封磨具
US11634832B2 (en) * 2021-05-05 2023-04-25 Taiwan Semiconductor Manufacturing Company Limited Plating system and method of plating wafer

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120258408A1 (en) * 2008-11-07 2012-10-11 Mayer Steven T Electroplating apparatus for tailored uniformity profile

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
DE3108358C2 (de) 1981-03-05 1985-08-29 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum partiellen Galvanisieren von zu elektrisch leitenden Bändern, Streifen oder dgl. zusammengefaßten Teilen im Durchlaufverfahren
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4738272A (en) 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4633893A (en) 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4856544A (en) 1984-05-21 1989-08-15 Cfm Technologies, Inc. Vessel and system for treating wafers with fluids
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
ATE74294T1 (de) 1985-06-24 1992-04-15 Cfm Technologies Inc Behandlung von halbleiterscheiben mit einer fluessigkeitsstroemung.
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5472592A (en) 1994-07-19 1995-12-05 American Plating Systems Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
WO2000061498A2 (en) 1999-04-13 2000-10-19 Semitool, Inc. System for electrochemically processing a workpiece
US20020066464A1 (en) 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
AT407114B (de) 1997-06-10 2000-12-27 Immuno Ag Alpha 1-antitrypsin-präparation sowie verfahren zu deren herstellung
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
WO1999016936A1 (en) 1997-09-30 1999-04-08 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
EP1055020A2 (en) 1998-02-12 2000-11-29 ACM Research, Inc. Plating apparatus and method
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
JP2000087299A (ja) 1998-09-08 2000-03-28 Ebara Corp 基板メッキ装置
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US7204924B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
US6454918B1 (en) 1999-03-23 2002-09-24 Electroplating Engineers Of Japan Limited Cup type plating apparatus
JP3331332B2 (ja) 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 カップ式めっき装置
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
TW499329B (en) 1999-09-17 2002-08-21 Product System Inc Chemically inert megasonic transducer system
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
EP2017374A3 (en) 2000-03-17 2011-04-27 Ebara Corporation Plating apparatus and method
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20020062839A1 (en) 2000-06-26 2002-05-30 Steven Verhaverbeke Method and apparatus for frontside and backside wet processing of a wafer
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US7456113B2 (en) 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
JP2002289568A (ja) 2001-03-23 2002-10-04 Dainippon Screen Mfg Co Ltd 基板洗浄装置およびそれに用いる超音波振動エレメント
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6605525B2 (en) 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
WO2003007412A1 (en) 2001-07-13 2003-01-23 Brown University Research Foundation Polymer electrolyte membrane for electrochemical and other applications
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
TWI224531B (en) 2001-09-11 2004-12-01 Ebara Corp Substrate processing apparatus and method
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10229001B4 (de) 2002-06-28 2007-02-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
US20040118694A1 (en) 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
EP1391540A3 (en) 2002-08-08 2006-10-04 Texas Instruments Incorporated Methods and apparatus for improved current density and feature fill control in ECD reactors
US20040084318A1 (en) 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP2004250785A (ja) 2003-01-31 2004-09-09 Ebara Corp 電解処理装置及び基板処理装置
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
JP4674207B2 (ja) 2003-06-24 2011-04-20 ラム・リサーチ・アクチエンゲゼルシヤフト ディスク様基板の湿式処理装置と方法
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4681221B2 (ja) 2003-12-02 2011-05-11 ミライアル株式会社 薄板支持容器
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
JP4583811B2 (ja) 2004-05-31 2010-11-17 吉田 英夫 めっき処理方法
CN101120433B (zh) 2004-06-04 2010-12-08 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
WO2006127320A2 (en) 2005-05-25 2006-11-30 Applied Materials, Inc. Electroplating apparatus based on an array of anodes
US7255970B2 (en) 2005-07-12 2007-08-14 Az Electronic Materials Usa Corp. Photoresist composition for imaging thick films
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
WO2007128659A1 (en) 2006-05-05 2007-11-15 Sez Ag Device and method for wet treating plate-like substrates
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN101220500A (zh) 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 晶圆凸点制造挂具
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US20120261254A1 (en) 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) * 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
CN103866374B (zh) 2012-12-12 2017-06-06 诺发系统公司 电镀过程中用于高效传质的电解液流体动力的增强装置
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US20170342590A1 (en) 2016-05-24 2017-11-30 Lam Research Corporation Modulation of applied current during sealed rotational electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120258408A1 (en) * 2008-11-07 2012-10-11 Mayer Steven T Electroplating apparatus for tailored uniformity profile

Also Published As

Publication number Publication date
KR20170026215A (ko) 2017-03-08
TWI716435B (zh) 2021-01-21
CN106480481A (zh) 2017-03-08
TW201718954A (zh) 2017-06-01
CN106480481B (zh) 2019-07-09
US20170058417A1 (en) 2017-03-02
US10094034B2 (en) 2018-10-09

Similar Documents

Publication Publication Date Title
KR102629901B1 (ko) 전기도금 장치를 위한 에지 플로우 엘리먼트
US10190230B2 (en) Cross flow manifold for electroplating apparatus
KR102423978B1 (ko) 전기도금 동안 교차 플로우 매니폴드의 동적 변조
US10662545B2 (en) Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) Dynamic modulation of cross flow manifold during electroplating
JP6494910B2 (ja) 電気メッキ中の効率的な物質輸送のための電解質流体力学の強化
US20180258546A1 (en) Electroplating apparatus and methods utilizing independent control of impinging electrolyte
US20170342590A1 (en) Modulation of applied current during sealed rotational electroplating
KR102142159B1 (ko) 전기도금 장치용 교차류 매니폴드
US20230175162A1 (en) Cross flow conduit for foaming prevention in high convection plating cells

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant