KR20170126101A - 중합 화학 증착을 이용한 평탄화 층의 증착 방법 - Google Patents

중합 화학 증착을 이용한 평탄화 층의 증착 방법 Download PDF

Info

Publication number
KR20170126101A
KR20170126101A KR1020170057278A KR20170057278A KR20170126101A KR 20170126101 A KR20170126101 A KR 20170126101A KR 1020170057278 A KR1020170057278 A KR 1020170057278A KR 20170057278 A KR20170057278 A KR 20170057278A KR 20170126101 A KR20170126101 A KR 20170126101A
Authority
KR
South Korea
Prior art keywords
substrate
precursor
molecules
features
layer
Prior art date
Application number
KR1020170057278A
Other languages
English (en)
Other versions
KR101996719B1 (ko
Inventor
재퀴스 파구엣
브루스 에이. 알테무스
가즈야 이치키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170126101A publication Critical patent/KR20170126101A/ko
Application granted granted Critical
Publication of KR101996719B1 publication Critical patent/KR101996719B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • B05D3/066After-treatment involving also the use of a gas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/06Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation
    • B05D3/061Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to radiation using U.V.
    • B05D3/065After-treatment
    • B05D3/067Curing or cross-linking the coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electrochemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

순차적 중합 화학 증착을 이용하여 기판 상의 피쳐에 걸쳐 평탄화 층을 증착시키는 방법을 제공한다. 일 구체예에 따르면, 상기 방법은 복수의 피쳐 사이에 갭을 갖는 복수의 피쳐를 함유하는 기판을 제공하는 단계, 전구체 분자를 기상 노출에 의해 기판에 전달하는 단계, 기판 상에 전구체 분자를 흡착시켜 흡착된 전구체 분자의 층으로 갭을 적어도 실질적으로 충전하는 단계, 및 전구체 분자를 반응시켜 갭을 적어도 실질적으로 충전하는 중합체 층을 형성하는 단계를 포함한다.

Description

중합 화학 증착을 이용한 평탄화 층의 증착 방법{METHOD FOR DEPOSITING A PLANARIZATION LAYER USING POLYMERIZATION CHEMICAL VAPOR DEPOSITION}
관련 출원의 상호 참조
본 출원은 2016년 5월 8일에 출원한 미국 가특허 출원 일련 번호 제 62/333,262호에 관한 것이며 이에 대한 우선권을 주장하고, 이의 전체 내용은 본원에 참고 인용된다.
본 발명의 분야
본 발명은 중합 화학 증착을 이용하여 평탄화 층을 증착시키는 방법에 관한 것이다. 상기 방법은 복수의 피쳐(feature) 사이에 갭을 갖는 복수의 피쳐를 함유하는 기판의 토포그래피 평탄화에 사용될 수 있다.
마이크로전자기계 시스템(MEMS)과 IC 구성물을 위한 제작 흐름은 점점 더 작은 피쳐 크기를 포함한다. 이는 그러한 임계 치수(CD)를 얻기 위한 표면 평탄화 요건에 제한을 가한다. 따라서, 충전/평탄화 공정은 장치 제작을 위한 중요한 공정 단계들 중 일부로 여겨진다. 최근 들어, 평탄화 층은 단리된/밀집된 피쳐를 균일하게 충전하기 위해 스핀 코팅 및 에칭 백(etching back)을 포함하는 다단계 공정에 의해 얻어진다. 결과적으로, 단리되고 밀집된 피쳐 사이에 균일한 필름을 증착시키는 단일 단계 평탄화 기법은 공정 효율을 향상시킬 수 있다.
중합 화학 증착을 이용한 평탄화 층을 증착시키는 방법을 제공한다. 이 방법은 복수의 피쳐 사이에 갭을 갖는 복수의 피쳐를 함유하는 기판의 토포그래피 평탄화에 사용될 수 있다.
일 구체예에 따르면, 상기 방법은 복수의 피쳐 사이에 갭을 갖는 복수의 피쳐를 함유하는 기판을 제공하는 단계, 전구체 분자를 기상 노출에 의해 기판에 전달하는 단계, 기판 상에 전구체 분자를 흡착시켜 흡착된 전구체 분자의 층으로 갭을 적어도 실질적으로 충전하는 단계, 및 전구체 분자를 반응시켜 갭을 적어도 실질적으로 충전하는 중합체 층을 형성하는 단계를 포함한다.
첨부된 도면에서,
도 1은 본 발명의 구체예에 따른 중합 공정에 대한 공정 순서도이다;
도 2a ∼ 2e는 본 발명의 일 구체예에 따른 사슬-성장 중합 공정을 단면도를 통해 개략적으로 도시한다;
도 3은 본 발명의 구체예에 따른 자유 라디칼 중합을 개략적으로 도시한다;
도 4a ∼ 4c는 본 발명의 일 구체예에 따르면 단계-성장 중합 공정에 대한 방법을 단면도를 통해 개략적으로 도시한다; 그리고
도 5a ∼ 5c는 본 발명의 구체예에 따른 중합 화학 증착을 이용하여 평탄화 층을 증착시키는 실험적 결과가 도시된다.
중합 화학 증착을 이용하여 기판 상 피쳐 위에 평탄화 층을 증착시키는 방법이 복수의 구체예로 기술된다.
당업자라면 다양한 구체예들이 구체적 상세한 설명 중 하나 이상 없이, 또는 다른 대체물 및/또는 추가의 방법, 물질 또는 성분으로 실시될 수 있음을 인지할 것이다. 다른 예에서, 잘 공지된 구조, 물질 또는 작업은 본 발명의 다양한 구체예의 모호한 측면을 피하기 위해 상세하게 도시되거나 설명되지 않는다. 유사하게, 설명의 목적으로 특정 번호, 물질 및 구성이 본 발명의 완전한 이해를 제공하기 위해 제시된다. 그럼에도 불구하고, 본 발명은 특정하게 구체적인 설명 없이 실시될 수 있다. 나아가, 도면에서 도시된 다양한 구체예는 대표적인 예시이며 반드시 일정한 비율로 도시된 것이 아님을 이해한다.
본 명세서의 전반에 걸쳐 "일 구체예" 또는 "구체예"는 구체예와 관련하여 기술된 특정한 피쳐, 구조, 물질 또는 특성이 본 발명의 하나 이상의 구체예에 포함되지만, 모든 구체예에 존재한다는 것을 의미하는 것은 아니다. 따라서, 본 명세서의 전반에 걸쳐 다양한 곳에서 용어 "일 구체예에서" 또는 "구체예에서"의 등장은 반드시 동일한 본 발명의 구체예를 의미하는 것은 아니다. 나아가, 특정한 피쳐, 구조, 물질 또는 특성은 하나 이상의 구체예에서 임의의 적당한 방식으로 조합될 수 있다. 다양한 추가적 층 및/또는 구조가 포함될 수 있고/있거나 기술된 피쳐는 다른 구체예에서 생략될 수 있다.
본원에 사용된 "기판"은 일반적으로 본 발명에 따라 처리되는 물체를 의미한다. 기판은 장치의 임의의 물질 부분 또는 구조, 특히 반도체 또는 다른 전자 장치를 포함할 수 있고, 예를 들면 기본 기판 구조, 예컨대 박막과 같은 기본 기판 구조 위에 또는 상에 놓인 반도체 기판 또는 층일 수 있다. 따라서, 기판은 패턴화되거나 비패턴화된 임의의 특정 기본 구조, 이면 층 또는 상부 층으로 제한하고자 하는 것이 아니고, 오히려 임의의 그러한 층 또는 기본 구조, 및 층 및/또는 기본 구조의 임의의 조합을 포함하는 것으로 간주된다. 하기 설명은 특정 유형의 기판을 언급할 수 있지만, 이는 단지 예시의 목적일 뿐이며 제한하고자 하는 것은 아니다.
본원에 사용된 "피쳐"는 기판 상 수많은 상이한 유형의 피쳐를 나타낼 수 있다. 일례는 반도체 웨이퍼 상에 융기된 복수의 피쳐를 포함한다. 또다른 예는 반도체 기판 상에 복수의 융기된 피쳐를 포함하며, 복수의 융기된 피쳐는 기판 상에 제1 및 제2 영역을 형성하고 제1 영역의 복수의 피쳐는 제2 영역의 복수의 피쳐보다 더 넓은 갭을 갖는다. 이는 통상 기판 상의 등밀도 영역으로서 지칭된다. 하지만, 기판 상 다른 유형의 융기된 피쳐가 고려되고 사용될 수 있다.
본 발명의 일 구체예에 따르면, 전구체 분자(예, 단량체)를 기상에서 기판에 노출시키는 공정 순서가 기술된다. 기상 노출은 기판 상 전구체 분자의 흡착을 유도한다. 흡착은 기상 조성, 기체 압력, 기판 온도, 및 Pm/Psat 비율을 통해 제어할 수 있고, 이때 Pm은 전구체 분자(단량체)의 분압을 지칭하고 Psat는 기판 표면 온도에서 전구체 분자의 포화 압력을 지칭한다. 흡착된 전구체 분자는 중합 공정을 통해 기판 상 중합체를 형성한다. 중합체는 저 분자량 분자가 탈착 또는 탈착되어 더 가벼운 분자로 분해되기 시작할 수 있는 온도까지 열적 안정성을 제공하는 고 분자량을 갖는다.
이하 본 발명의 일부 구체예를 도시하는 도면에 대해 언급한다. 도 1은 본 발명의 구체예에 따른 중합 공정에 대한 공정 순서도이다. 공정 순서도(100)는, 102에서, 복수의 피쳐 사이에 갭을 갖는 복수의 피쳐를 함유하는 기판을 제공하는 단계를 포함한다. 일 구체예에 따르면, 기판 상에 제1 복수의 피쳐는 제1 영역을 형성하고 제2 복수의 피쳐는 제2 영역을 형성하고, 이때 제1 영역에서 제1 복수의 피쳐는 제2 영역의 제2 복수의 피쳐보다 더 넓은 갭을 갖는다.
공정 순서도(100)는 추가로, 104에서, 전구체 분자를 기상 노출에 의해 기판에 전달하는 단계, 및 106에서, 기판 상에 전구체 분자를 흡착시켜 흡착된 전구체의 층으로 갭을 적어도 실질적으로 충전하는 단계를 포함한다. 기판 상 전구체 분자의 흡착 정도는 기상 조성, 기체 압력, 기판 온도, 및 Pm/Psat 비율을 통해 제어될 수 있다. 일단 갭을 흡착된 전구체 분자로 완전히 또는 거의 완전히 충전하는 것이 기판 상에 실현되면, 기상 노출은 중단될 수 있다.
본 발명의 일부 구체예에 따르면, 전구체 분자는 저 분자량(< 900 달톤) 유기 화합물의 단량체 또는 이량체를 포함할 수 있다. 예는 중합이 촉발되었을 때 중합체 쇄의 연쇄 성장을 시킬 수 있는 유기 화합물을 포함한다. 일례에서, 전구체 분자는 비닐 작용기(-C=C-) 또는 탄소-탄소 삼중 결합(-C≡C-)을 포함할 수 있다. 명칭 비닐은 탄소-탄소 이중 결합, 즉 R-C=C-R'을 함유하는 임의의 화합물에 사용되며, 이때 R 및 R'은 임의의 원자 군이다. 예는 하나 이상의 탄소-탄소 이중 결합을 함유하는 불포화된 탄화수소인 알켄을 포함한다. 단 1개의 이중 결합을 갖고 다른 작용기는 갖지 않는 가장 간단한 비환식 알켄은 화학식 CnH2n을 갖는 일련의 균일한 탄화수소를 형성한다. 가장 간단한 알켄은 실온에서 무색 기체인 에틸렌(C2H4)이다.
본 발명의 일부 구체예에 따르면, 전구체 분자는 아크릴레이트, 예컨대 네오펜틸 메타크릴레이트(nPMA) 전구체 분자를 포함할 수 있다.
도 1에서, 공정 순서도(100)는 추가로, 108에서, 전구체 분자를 반응시켜 갭을 적어도 실질적으로 충전하는 중합체 층을 형성하는 단계를 포함한다. 일 구체예에 따르면, 단계들(106 및 108)은 동시에, 순차적으로 또는 서로 중첩하여 수행될 수 있다.
중합 공정은 단계적 성장일 수 있다. 일례는 물 또는 메탄올과 같은 부산물로서 소분자를 잃어 분자가 함께 결합하는 축합 중합이다. 탈수 합성에서, 한쪽 단부 상에 히드록실 기(-OH)를 갖는 단량체는 한쪽 단부 상에 자유 이온화(-H)된 단량체와 반응하여 물을 잃음으로써 중합체를 형성한다. 예를 들면, 나일론은 디-아민(R-(NH2)2)을 디-카르복실신(R'-(COOH)2)과 반응시킴으로써 형성된 축합 중합체이다. 폴리에스테르는 디올(R-(OH)2)을 디-산(R'-(COOH)2)과 반응시킴으로써 형성된 축합 중합체이다.
일 구체예에 따르면, 전구체 분자는 둘 이상의 상이한 반응성 분자를 포함할 수 있다. 일례에서, 하나의 전구체 분자는 히드록실 기(-OH)를 함유하고 또다른 전구체 분자는 아민 기(-NH2)를 함유한다. 또다른 예에서, 하나의 전구체 분자는 히드록실 기(-OH)를 함유하고 또다른 전구체 분자는 카르복실산 기(-COOH) 또는 아실 클로라이드(-COCl)를 함유한다.
일 구체예에 따르면, 반응 단계는 흡착된 전구체 분자의 층을 플라스마에서 발생한 자유 라디칼 및 양이온에 노출시키는 것을 포함할 수 있다.
전구체 분자의 기상 노출 동안 또는 기상 노출 후, 기판은 열처리되어 중합 프로파일에 대한 동적 제어를 제공할 수 있다. 일례에서, 이는 흡착된 전구체 분자의 층의 정상부 표면에서 흡착된 전구체 분자의 층의 바닥부 표면까지 연장하여 흡착된 전구체 분자의 층의 두께에 걸쳐 수직적 온도 구배를 생성함으로써 실현될 수 있다. 온도는 흡착된 전구체 분자의 층의 정상부 표면에서 흡착된 전구체 분자의 바닥부 표면까지 증가 또는 감소시킬 수 있다. 온도는 바닥부 표면에서보다 정상부 표면에서 기판에 더/덜 열을 가함으로써 증가/감소시킬 수 있다.
중합 공정은 화학 반응에서 단량체 또는 이량체 분자를 함께 반응시켜 중합체 쇄 또는 3차원 망상체를 형성하는 방법이다. 중합 공정은 단량체 또는 이량체의 작용기 간의 단계-성장 반응, 또는 이중 또는 삼중 탄소-탄소 결합을 혼입시킴으로써 분자를 함께 연결시키는 것을 수반하는 사슬-성장 중합을 특징으로 할 수 있다.
사슬-성장 중합은 불포화된 전구체 분자(예, 단량체)를 성장하는 중합체 쇄의 활성 부위 상에 한번에 첨가하는 중합 공정이다. 불포화된 단량체의 예는 알켄 및 지환족 화합물을 포함한다. 중합체의 성장은 하나의 (또는 가능한 더 많은) 말단에서 일어나고 각 단량체 단위의 첨가는 활성 부위를 재생한다. 이러한 유형의 중합은 고 분자량 중합체가 낮은 전환율로 형성되도록 한다. 이러한 최종 중량은 연쇄 전달 및 연쇄 종결 단계를 둘다 포함하는 개별 연쇄 종결 비율에 비해 성장 비율에 의해 결정된다.
도 2a ∼ 2e는 본 발명의 일 구체예에 따라 사슬-성장 중합 공정을 단면도를 통해 개략적으로 도시한다. 도 2a는 복수의 피쳐(220, 210) 사이에 갭(222, 212)을 갖는 복수의 피쳐(220, 210)를 함유하는 기판(200)을 도시한다. 일 구체예에 따르면, 기판(200) 상에 복수의 피쳐(210)는 제1 영역을 형성하고 복수의 피쳐(220)는 제2 영역을 형성하고, 이때 제1 영역에서 복수의 피쳐(210)는 제2 영역의 복수의 피쳐(220)보다 더 넓은 갭을 갖는다.
도 2b는 전구체 분자(240)를 기상 노출에 의해 기판(200)에 전달하고, 전구체 분자(240)를 기판(200) 상에 흡착시켜 흡착된 전구체의 층(230)으로 갭(212, 222)을 적어도 실질적으로 충전하는 것을 도시한다. 도 2b에 도시된 예에서, 층(230)은 갭을 과충전한다. 기판(200) 상의 전구체 분자(240)의 흡착 정도는 기상 조성, 기체 압력, 기판 온도, 및 Pm/Psat 비율을 통해 제어될 수 있다. 일단 갭(212, 222)을, 흡착된 전구체 분자(240)로 완전히 또는 거의 완전히 충전하는 것이 기판(200) 상에서 실현되면, 기상 노출은 중단될 수 있다.
도 2c는 예를 들어 자유 라디칼(250)을 사용하여 흡착된 전구체의 층(230) 상에서 개시되는 중합을 도시한다. 일례에서, 자유 라디칼(250)은 개시제 분자를 분해하는 고온 필라멘트 가까이에 개시제 분자를 유동시킴으로써 형성될 수 있다. 고온 필라멘트의 온도는, 예를 들어 약 300℃ 이하일 수 있다. 일단 개시되면, 중합은 중합체 층(260)의 사슬-성장을 통해 흡착된 전구체의 층(230)을 통하여 진행된다. 개시제 분자의 예는 산소-산소 단일 결합을 함유하는 화합물인 퍼옥시드를 포함한다. 가장 간단한 안정한 퍼옥시드는 과산화수소(H-O-O-H)이다. 다른 퍼옥시드의 예는 R-O-O-R', R-O-O-H, 및 R-CO-O-O-H를 포함하고, 이때 R 및 R'은 탄화수소 모이어티를 표시한다.
도 2d는 흡착된 전구체의 층(230)의 추가의 중합을 도시하고, 도 2e는 완전히 중합된 중합체 층(260)을 도시한다. 도 22에 도시된 예에서, 중합체 층(260)은 갭을 과충전하여 평탄화 층을 형성한다.
도 3은 본 발명의 구체예에 따른 자유 라디칼 중합을 개략적으로 도시한다. 자유 라디칼 중합 공정은 개시, 성장 및 종결에 의해 기술될 수 있다. 개시는 낮은 온도에서 개시제 분자(예, R-O-O-R)의 열분해로부터의 자유 라디칼(예, R-O·)의 형성을 나타낸다. 자유 라디칼은 흡착된 전구체 분자(예, C2H4)와 반응하여 중합체 자유 라디칼(예, R-O-C-C·)을 생성하는 화학적 촉발제이다. 성장은 중합체 자유 라디칼을 또다른 전구체 분자와 추가 반응시키는 것을 나타내고, 이때 중합체 자유 라디칼의 길이는 각 반응에 따라 증가된다. 종결은 2개의 중합체 자유 라디칼의 반응 및 켄칭을 나타낸다.
도 4a ∼ 4c는 본 발명의 일 구체예에 따른 단계-성장 중합 공정에 대한 방법을 단면도를 통해 개략적으로 도시한다. 단계-성장 중합은 단량체가 반응하여 우선 이량체를 형성한 후 삼량체, 나아가 소중합체 그리고 결과적으로 장쇄 중합체를 형성할 수 있는 기전 유형이다. 중합 기전의 성질로 인해, 고 분자량을 실현하기 위해 높은 정도의 반응이 필요하다.
도 4a는 복수의 피쳐(410, 420) 사이에 갭(412, 422)을 갖는 복수의 피쳐(410, 420)를 함유하는 기판(400)을 도시한다. 일 구체예에 따르면, 기판(400) 상에 복수의 피쳐(410)는 제1 영역을 형성하고 복수의 피쳐(420)는 제2 영역을 형성하고, 이때 제1 영역에서 복수의 피쳐(410)는 제2 영역의 복수의 피쳐(420)보다 더 넓은 갭을 갖는다.
도 4b는 전구체 분자(440) 및 개시제 분자(460)를 기상 노출에 의해 기판(400)에 전달하는 것을 도시한다. 본 발명의 구체예에 따르면, 전구체 분자(440) 및 개시제 분자(460)의 기상 노출은 동시에, 순차적으로 또는 서로 중첩되어 수행될 수 있다. 일 구체예에 따르면, 전구체 분자(440) 및 개시제 분자(460)의 기상 노출은 동시에 수행되어 상향식 중합 및 피쳐에 대한 정합적 중합체 필름의 형성을 유도할 수 있다. 장기간의 기상 노출은 중합체 필름으로 피쳐를 완전하게 충전하는 데 이용될 수 있다. 일례에서, 개시제 분자(460)는 우선 기판(400) 상에 그래프트될 수 있고, 이후, 전구체 분자(440)의 기상 노출은 기판(400) 상에 중합을 유도한다.
개시제 분자(460)는 분해되어 중합체의 단계-성장을 개시하는 자유 라디칼을 형성할 수 있다. 개시제 분자(460)로부터의 자유 라디칼의 형성은, 예를 들면 온도 및/또는 전자기 방사선에 의해 촉발될 수 있다. 도 4c에 도시된 바와 같이, 중합체 성장은 완전히 중합된 층(470)이 형성될 때까지 진행된다.
일 구체예에 따르면, 중합 공정은 기판을 가교결합제 분자에 노출시킴으로써 향상될 수 있다. 이는 전구체 분자, 개시제 분자 및/또는 자유 라디칼에 대한 기상 노출과 동시에 또는 순차적으로 수행될 수 있다. 가교결합제 분자는 전구체 분자와의 반응이 최종 중합체의 가교결합 정도를 증가시킬 수 있는 하나의 분자 당 하나 이상의 반응성 부위를 갖는다. 가교결합 정도는 중합체의 물질 특성, 예컨대 분자량 및 열분해 개시 온도를 조정하는 데 사용될 수 있다.
일 구체예에 따르면, 중합 공정은 불활성 기체 또는 반응성 기체(예, O2, H2, 또는 이의 조합)와 불활성 기체의 혼합물의 존재 하에서 기판을 열처리함으로써 수행될 수 있다. 또다른 구체예에 따르면, 중합 공정은 기판을 전자기(EM) 방사선에 노출시킴으로써 촉발될 수 있고, 이때 EM 방사선은 자외선(UV) 스펙트럼, 가시광선 스펙트럼, 적외선(IR) 스펙트럼, 또는 마이크로파 스펙트럼, 또는 이의 조합 내 파장에서의 방출을 포함할 수 있다.
EM 방사선은 자외선(UV) 방사선을 포함할 수 있다. UV 방사선은 200 nm 이상의 UV 파장을 포함하거나 본질적으로 이루어질 수 있다. 대안적으로, UV 방사선은 220 nm 이상의 UV 파장을 포함하거나 본질적으로 이루어질 수 있다. 대안적으로, UV 방사선은 240 nm 이상의 UV 파장을 포함하거나 본질적으로 이루어질 수 있다. 대안적으로, UV 방사선은 300 nm 이상의 UV 파장을 포함하거나 본질적으로 이루어질 수 있다. 더 긴 UV 파장은 기판에 손상 위험을 낮추고, 금속화된 패턴의 오염을 감소시킬 수 있다.
UV 방사선에의 노출은 실질적으로 단색의 방사선, 또는 다색의 방사선을 포함할 수 있다. UV 방사선은 연속하여 적용될 수 있거나, 또는 펄스될 수 있다. 예를 들면, UV 방사선에의 노출은 높고 낮은 UV 강도 사이에서 순환될 수 있고, 이때 상기 높은 UV 강도에의 노출에 대한 노출 시간은 100 msec 미만, 또는 10 msec 미만, 또는 1 msec 미만이다.
UV 방사선에의 노출은 추가로 적외선(IR) 방사선에의 노출을 포함할 수 있다. IR 방사선은 실질적으로 단색의 방사선, 또는 다색의 방사선을 포함할 수 있다. IR 방사선은 연속하여 적용될 수 있거나, 또는 펄스될 수 있다. 예를 들면, IR 방사선에의 노출은 높고 낮은 IR 강도 사이에서 순환될 수 있고, 이때 상기 높은 IR 강도에의 노출에 대한 노출 시간은 100 msec 미만, 또는 10 msec 미만, 또는 1 msec 미만이다.
EM 방사선에의 노출 동안, 기판은 옮겨지거나 회전될 수 있다. 추가적으로, 또는 대안적으로, EM 방사선은 기판에 걸쳐 스캐닝될 수 있다. EM 방사선의 다중 빔은 기판에 걸쳐 생성되고 스캐닝되어 처리량을 향상시킬 수 있다. 원하는 수준의 중합을 실현하기 위해 EM 방사선 빔의 다중 통과를 수행할 수 있다. 예로서, 기판은 UV 방사선, 예컨대 UV 플래쉬 노출에 노출된 후, EM 방사선 빔, 예컨대 UV 또는 IR을 통해 선택적으로 가열될 수 있다.
도 5a ∼ 5c는 본 발명의 구체예에 따른 중합 화학 증착을 이용하여 평탄화 층을 증착시키는 실험적 결과를 도시한다. 도 5a에서 단면 주사 전자 분광기(SEM) 이미지에 도시된 바와 같이, 463 nm 두께의 중합체 필름(510)은, 네오펜틸 메타크릴레이트(nPMA) 전구체 분자를 사용하여 편평한 기판(500) 상에 형성되었다. 이러한 예에서, nPMA 단량체 및 개시제는 공정 챔버에 순차적으로 도입되었다. 우선, nPMA 단량체 필름을 과포화된 조건(Pm/Psat > 1) 하에서 기판 상에 흡착시킨 후, 원하는 두께의 흡착된 nPMA 단량체 필름을 수득할 때까지 공정 챔버 내에 개시제를 도입하였다. 이후 중합 성장 비율이 흡착된 nPMA 단량체 필름의 탈착 비율보다 높은 것으로 인해, nPMA 단량체 필름을 하향식으로 중합시켰다. 도 5a에 도시된 바와 같이, 생성된 중합체 필름은 낮은 조도를 갖고 평탄하였다.
도 5b는 기판(530) 상에 약 5의 종횡비(AR)를 갖는 피쳐(520)를 갖는 패턴화된 기판의 단면 SEM 이미지를 도시한다.
도 5c는 본 발명의 구체예에 따라 nPMA 및 개시제를 사용하여 피쳐(520) 상에 증착된 120 nm 두께의 중합체 필름(530)의 증착 후 도 5b의 패턴화된 기판을 도시한다. 단리되고 밀집된 피쳐 위의 중합체 두께는 패턴화된 웨이퍼에 걸쳐 유사하게 관찰되었다. 이는 패턴화된 웨이퍼에 걸쳐 흡착된 nPMA 단량체 필름의 표면 장력에 의한 자기 평탄화로 인한 것으로 생각된다. 이는 필름(유사 액체) 두께가 표면 토포그래피보다 큰 경우에 발생한다.
중합 화학 증착을 이용한 평탄화 층의 증착 방법을 다양한 구체예로 개시하였다. 본 발명의 특정 구체예들이 상기 상세하게 기술되었지만, 당업자라면 본 발명의 신규한 교시 및 이점으로부터 실질적으로 벗어나는 일 없이 구체예에 수많은 변형이 가능하다는 것을 쉽게 알 것이다. 따라서, 모든 그러한 변형은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (20)

  1. 복수의 피쳐(feature) 사이에 갭을 갖는 복수의 피쳐를 함유하는 기판을 제공하는 단계;
    전구체 분자를 기상 노출에 의해 기판에 전달하는 단계;
    기판 상에 전구체 분자를 흡착시켜 흡착된 전구체 분자의 층으로 갭을 적어도 실질적으로 충전하는 단계; 및
    전구체 분자를 반응시켜 갭을 적어도 실질적으로 충전하는 중합체 층을 형성하는 단계
    를 포함하는 기판 처리 방법.
  2. 제1항에 있어서, 중합체 층은 갭을 과충전하는 것인 기판 처리 방법.
  3. 제1항에 있어서, 흡착 및 반응 단계는 적어도 부분적으로 일시적 중첩을 갖는 것인 기판 처리 방법.
  4. 제1항에 있어서, 흡착 및 반응 단계는 부분적인 일시적 중첩을 갖지 않는 것인 기판 처리 방법.
  5. 제1항에 있어서, 복수의 피쳐는 기판 상에 제1 및 제2 영역을 형성하고, 제1 영역에서 복수의 피쳐는 제2 영역의 복수의 피쳐보다 더 넓은 갭을 갖는 것인 기판 처리 방법.
  6. 제5항에 있어서, 중합체 층은 갭을 과충전하고, 상기 방법은 중합체 층을 평탄화하는 단계를 추가로 포함하는 것인 기판 처리 방법.
  7. 제1항에 있어서, 전구체 분자는 아크릴레이트를 포함하는 것인 기판 처리 방법.
  8. 제1항에 있어서, 전구체 분자는 중합할 수 있는 유기 화합물의 단량체, 이량체 또는 소중합체를 포함하는 것인 기판 처리 방법.
  9. 제1항에 있어서, 전구체 분자는 비닐 작용기(-C=C-) 또는 탄소-탄소 삼중 결합(-C≡C-)을 포함하는 것인 기판 처리 방법.
  10. 제1항에 있어서, 전구체 분자는 둘 이상의 상이한 반응성 분자를 포함하는 것인 기판 처리 방법.
  11. 제10항에 있어서, 하나의 전구체 분자는 히드록실 기(-OH)를 함유하고 또다른 전구체 분자는 아민 기(-NH2)를 함유하는 것인 기판 처리 방법.
  12. 제10항에 있어서, 하나의 전구체 분자는 히드록실 기(-OH)를 함유하고 또다른 전구체 분자는 카르복실산 기(-COOH) 또는 아실 클로라이드(-COCl)를 함유하는 것인 기판 처리 방법.
  13. 제1항에 있어서, 반응 단계는 기체 환경에서 기판을 열 처리함으로써 수행되는 것인 기판 처리 방법.
  14. 제1항에 있어서, 반응 단계는 전자기(EM) 방사선에 기판을 노출시킴으로써 수행되는 것인 기판 처리 방법.
  15. 제1항에 있어서, 반응 단계는 개시제 분자로부터 형성된 자유 라디칼을 포함하는 것인 기판 처리 방법.
  16. 제15항에 있어서, 개시제 분자는 퍼옥시드를 포함하는 것인 기판 처리 방법.
  17. 제16항에 있어서, 퍼옥시드는 과산화수소(H-O-O-H), R-O-O-H, R-O-O-R', 및 R-CO-O-O-H로 이루어진 군에서 선택되고, 이때 R 및 R'은 탄화수소 모이어티인 기판 처리 방법.
  18. 제1항에 있어서, 반응 단계는 흡착된 전구체 분자의 층을, 플라즈마에서 발생되는 자유 라디칼 및 양이온에 노출시키는 것을 포함하는 기판 처리 방법.
  19. 제1항에 있어서, 흡착된 전구체 분자의 층의 정상부 표면에서부터 흡착된 전구체 분자의 층의 바닥부 표면까지의 흡착된 전구체 분자의 층의 두께에 걸쳐 수직의 온도 구배를 발생시키는 단계를 추가로 포함하는 기판 처리 방법.
  20. 제1항에 있어서, 가교결합제 분자를 흡착된 전구체 분자의 층으로 전달하는 단계를 추가로 포함하고, 이때 가교결합제 분자는 둘 이상의 반응성 부위를 함유하는 것인 기판 처리 방법.
KR1020170057278A 2016-05-08 2017-05-08 중합 화학 증착을 이용한 평탄화 층의 증착 방법 KR101996719B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662333262P 2016-05-08 2016-05-08
US62/333,262 2016-05-08

Publications (2)

Publication Number Publication Date
KR20170126101A true KR20170126101A (ko) 2017-11-16
KR101996719B1 KR101996719B1 (ko) 2019-07-04

Family

ID=60244034

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170057278A KR101996719B1 (ko) 2016-05-08 2017-05-08 중합 화학 증착을 이용한 평탄화 층의 증착 방법

Country Status (3)

Country Link
US (1) US10115586B2 (ko)
JP (1) JP6439005B2 (ko)
KR (1) KR101996719B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210039038A (ko) * 2019-10-01 2021-04-09 한국과학기술원 기상 증착공정을 이용한 갭필링 방법 및 그 장치

Families Citing this family (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP6926939B2 (ja) * 2017-10-23 2021-08-25 東京エレクトロン株式会社 半導体装置の製造方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7110090B2 (ja) * 2018-12-28 2022-08-01 東京エレクトロン株式会社 基板処理方法および基板処理システム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11371143B2 (en) 2019-05-31 2022-06-28 International Business Machines Corporation Implementing the post-porosity plasma protection (P4) process using I-CVD
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11691175B1 (en) 2022-07-18 2023-07-04 Tokyo Electron Limited Methods for area-selective deposition of polymer films using sequentially pulsed initiated chemical vapor deposition (spiCVD)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009270145A (ja) * 2008-05-02 2009-11-19 Fujifilm Corp 成膜装置
JP2013534970A (ja) * 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
JP2014188656A (ja) * 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05343390A (ja) * 1992-06-12 1993-12-24 Fujitsu Ltd 絶縁膜の形成方法
DE19781956B4 (de) 1996-08-24 2006-06-14 Trikon Equipments Ltd., Newport Verfahren zum Aufbringen einer planarisierten dielektrischen Schicht auf einem Halbleitersubstrat
JPH10209148A (ja) * 1997-01-27 1998-08-07 Sony Corp 低誘電率絶縁体膜の形成方法およびこれを用いた半導体装置
JPH11198327A (ja) 1998-01-13 1999-07-27 Teijin Ltd 包装用ポリエステルフィルム
US20040185678A1 (en) * 1999-04-15 2004-09-23 Lee Wei William Integrated circuit dielectric and method
KR100360308B1 (ko) 2000-07-03 2002-11-18 한국화학연구원 아세틸렌기를 포함하는 유기화합물, 그 화합물을 이용한증착중합법, 그 방법에 의하여 제조된 증착중합 박막 및그 박막을 사용한 전기 발광소자
US20020122828A1 (en) 2001-03-02 2002-09-05 Jun Liu Hybrid porous materials for controlled release
JP3694470B2 (ja) * 2001-05-31 2005-09-14 沖電気工業株式会社 半導体装置の製造方法
EP2143481A1 (en) 2003-02-19 2010-01-13 Natrix Separations Inc. Composite materials comprising supported porous gels
US7625840B2 (en) 2003-09-17 2009-12-01 Uchicago Argonne, Llc. Catalytic nanoporous membranes
JP4716277B2 (ja) 2004-11-26 2011-07-06 国立大学法人京都大学 薄膜形成方法、蒸着源基板、および蒸着源基板の製造方法
JP5871297B2 (ja) 2007-11-02 2016-03-01 日東電工株式会社 粘着型光学フィルム、その製造方法および画像表示装置
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP5416447B2 (ja) 2009-03-26 2014-02-12 クラレノリタケデンタル株式会社 新規有機珪素化合物及びそれを含む組成物
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
JP5964819B2 (ja) 2010-06-01 2016-08-03 スリーエム イノベイティブ プロパティズ カンパニー 被覆された多孔質材料
CN103547347A (zh) 2011-02-16 2014-01-29 道康宁公司 涂覆多孔基材的方法
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US20130056874A1 (en) * 2011-09-06 2013-03-07 International Business Machines Corporation Protection of intermetal dielectric layers in multilevel wiring structures
KR20180019756A (ko) * 2015-07-13 2018-02-26 도쿄엘렉트론가부시키가이샤 다공성 재료를 코팅 또는 충전하는 방법
US10388546B2 (en) * 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009270145A (ja) * 2008-05-02 2009-11-19 Fujifilm Corp 成膜装置
JP2013534970A (ja) * 2010-06-11 2013-09-09 東京エレクトロン株式会社 化学気相成長を制御するための装置及び方法
JP2014188656A (ja) * 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Masao Tamada et al. Polymer. 1999, Vol. 40, pp. 3061-3067 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210039038A (ko) * 2019-10-01 2021-04-09 한국과학기술원 기상 증착공정을 이용한 갭필링 방법 및 그 장치

Also Published As

Publication number Publication date
US20170323784A1 (en) 2017-11-09
US10115586B2 (en) 2018-10-30
JP6439005B2 (ja) 2018-12-19
JP2017201060A (ja) 2017-11-09
KR101996719B1 (ko) 2019-07-04

Similar Documents

Publication Publication Date Title
KR101996719B1 (ko) 중합 화학 증착을 이용한 평탄화 층의 증착 방법
TWI662996B (zh) 多孔材料之塗佈或填充方法
JP7299289B2 (ja) 有機膜の気相堆積
Gleason CVD polymers: fabrication of organic surfaces and devices
Tenhaeff et al. Initiated and oxidative chemical vapor deposition of polymeric thin films: iCVD and oCVD
KR100621226B1 (ko) 수송 중합 및 화학기상증착을 위한 신규한 증착 시스템 및 방법
US20180148832A1 (en) Methods for depositing flowable carbon films using hot wire chemical vapor deposition
US11164745B2 (en) Method of enhancing selective deposition by cross-linking of blocking molecules
US20180122648A1 (en) Method of Forming Topcoat for Patterning
WO2011043337A1 (ja) 低誘電率絶縁膜およびその形成方法
JP5805090B2 (ja) 基板上にポリマーフィルムを化学気相蒸着するための方法およびデバイス
KR20070084683A (ko) 분자층 증착법
CN110622284A (zh) 通过化学蚀刻去除选择性沉积缺陷
WO2013158224A1 (en) Superhydrophobic and oleophobic functional coatings comprised of grafted crystalline polymers comprising perfluoroalkyl moieties
Kim et al. Large‐Area, Conformal, and Uniform Synthesis of Hybrid Polymeric Film via Initiated Chemical Vapor Deposition
US6716927B2 (en) Perovskite-type organic/inorganic lamellar polymer
US8623466B2 (en) Method for preparing an oriented-porosity dielectric material on a substrate by means of electromagnetic and photonic treatment
US20180277362A1 (en) Method of Surface Localized Pore Sealing of Porous Dielectric Material
JP4641933B2 (ja) 薄膜形成方法
KR20220036866A (ko) 실리콘 산화물 증착 방법
JP2006114848A (ja) 紫外線照射処理装置、紫外線照射処理方法及び半導体製造装置
KR20120029390A (ko) 저 유전 상수를 갖는 물질 및 이의 제조 방법
Rhodin et al. Overview on surface microstructuring by photodesorption etching of chlorinated silicon
TW202141178A (zh) 將基材奈米結構化之方法
JP2867688B2 (ja) 有機薄膜の製造装置および製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)