KR20090009745A - 약액 기화 탱크 및 약액 처리 시스템 - Google Patents

약액 기화 탱크 및 약액 처리 시스템 Download PDF

Info

Publication number
KR20090009745A
KR20090009745A KR1020080070094A KR20080070094A KR20090009745A KR 20090009745 A KR20090009745 A KR 20090009745A KR 1020080070094 A KR1020080070094 A KR 1020080070094A KR 20080070094 A KR20080070094 A KR 20080070094A KR 20090009745 A KR20090009745 A KR 20090009745A
Authority
KR
South Korea
Prior art keywords
vaporization
liquid
chamber
liquid level
gas
Prior art date
Application number
KR1020080070094A
Other languages
English (en)
Other versions
KR101191028B1 (ko
Inventor
코우이치 미즈나가
히로유키 쿠도
카즈히코 오시마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090009745A publication Critical patent/KR20090009745A/ko
Application granted granted Critical
Publication of KR101191028B1 publication Critical patent/KR101191028B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

본 발명은 약액 기화 탱크 및 약액 처리 시스템에 관한 것으로서 내부 공간이 칸막이 부재에 의해 횡방향에 기밀하게 구분해져 복수의 기화실이 형성되는 탱크 본체와 각 기화실의 액면아래에 각 기화실간에서 약액을 유통시키기 위해서 각 칸막이 부재에 설치된 약액 유통로와 각 기화실의 액면보다 윗쪽에서 각 기화실간을 연통하도록 칸막이 부재에 설치된 각 기화실간에서 기압을 균일하게 하기 위한 가스 유통로를 구비하도록 약액 기화 탱크를 구성하고, 예를 들면 액면레벨을 관리해 각 기화실간에서 약액의 양을 제어하는 약액 기화 탱크에 있어서 복수의 피처리체에 공급하는 처리 가스의 농도의 격차를 억제하는 기술을 제공한다.

Description

약액 기화 탱크 및 약액 처리 시스템{CHEMICAL SOLUTION EVAPORATION TANK AND CHEMICAL SOLUTION PROCESSING SYSTEM }
본 발명은 저장된 약액에 기화용 가스를 공급해 처리 가스를 생성하고 그 처리 가스를 피처리체에 공급해 처리를 행하기 위한 약액 기화 탱크 및 약액 처리 시스템에 관한다.
반도체 제조 공정의 하나인 포토레지스트 공정에 있어서는 기판인 반도체 웨이퍼(이하, 웨이퍼라고 한다)의 표면에 레지스트를 도포하고 이 레지스트를 소정의 패턴으로 노광한 후에 현상하고 레지스트 패턴을 형성하고 있고 이러한 처리는 일반적으로 레지스트의 도포, 현상을 실시하는 도포, 현상 장치에, 노광 장치를 접속한 시스템을 이용해 행해지고 있다.
도포, 현상 장치에는 기판에 처리 약액을 공급해 처리를 실시하는 여러가지액처리 장치가 설치되는 경우가 있고 액처리 장치는, 예를 들면 처리 약액의 기화를 실시하는 약액 기화 탱크와 웨이퍼가 수납되는 처리 공간을 구성하고 기화된 처리 약액을 그 웨이퍼에 공급해 처리를 행하는 처리 모듈에 의해 구성된다. 구체적으로 액처리 장치의 일례로서는 레지스트의 도포전에 레지스트의 웨이퍼에의 밀착 성을 향상시키는 것을 목적으로 해 웨이퍼에 소수화 처리를 실시하는 소수화 처리 시스템이 있고 소수화 처리 시스템은 HMDS (헥사메틸질라잔) 액의 기화를 행하기 위한 HMDS액 기화 탱크와 HMDS가스를 웨이퍼 (W)에 공급해 처리를 실시하는 소수화 처리 모듈을 구비하고 있다. 탱크내의 HMDS액의 액면은 소정의 범위에 들어가도록관리되고 기화 효율이 소정의 범위내가 되도록 제어되어 소수화 처리 모듈에 소정의 농도 범위의 HMDS 가스가 공급되도록 되어 있다. 통상, 수율을 향상시키기 위해서 소수화 처리 모듈은 도포, 현상 장치에 복수 설치되고 있고 상기 약액 기화 탱크도 각각의 소수화 처리 모듈 마다 개별적으로 설치되고 있었다.
그런데 HMDS와 같은 약액은 약액 기화 탱크에 저장되고 나서 시간이 지남에 따라서 그 선도가 저하하고 분해해 농도가 저하하는 것으로 웨이퍼에 대한 작용이 열화 하기 위한 각 처리 모듈의 가동 형상 주유각 탱크내에 있어서의 HMDS의 사용 상황이 다른 경우에 이들 탱크간에 HMDS의 선도가 격차지고 각 웨이퍼의 소수화 처리 상태도 격차져 버려 그 결과적으로 제품 비율이 저하할 우려가 있다. 또 상기와 같이 도포 현상 장치에 복수개의 소수화처리 시스템을 설치하는 경우, 소수화처리모듈과 동일한 수의 HMDS액 기화 탱크를 설치하면 이들 소수화 처리 시스템의 설치 스페이스가 증대해 나아가서는 도포, 현상 장치의 설치 스페이스도 증대한다고 하는 불이익이 있다.
특허 문헌 1에는 복수의 처리 모듈로 이용되는 약액 기화 탱크를 공통화해 탱크내의 각 방을 액이 통류할 수 있도록 구분하고 구분한 각 방에서 각각 버블링에 의한 기화 처리를 실시하는 것에 대하여 나타나고 있다. 그러나 탱크의 각 방 과 처리 모듈을 접속하는 각 배관의 지름 및 길이 등의 차이에 의해, 통상 이들의 배관내의 압력 손실에는 각각 차이가 생긴다. 이러한 배관의 압력 손실의 영향을 받는 것 및 상기의 각 처리 모듈의 가동 상황 등의 차이에 의해, 각 처리 모듈에 처리 가스를 공급하는 것에 따라, 구분된 각 방사이로 약액의 액면레벨이 달라져 온다. 그렇게 되면, 각 방마다 약액과 각 방내의 기체와의 비율이 변화해 오기 때문에 결과적으로 각 방마다 기화효율이 변화하고 각 처리 모듈에 공급하는 HMDS 가스 농도가 서로 격차지고 제어할 수 없게 되어 버린다고 하는 문제가 있다.
[특허 문헌 1]일본국 실공평7-34936 ( 제1도)
본 발명은 이러한 사정에 비추어 이루어 것이고, 그 목적은 저장된 약액에 기화용 가스를 공급하여 처리가스를 생성하고 그 처리 가스를 피처리체에 공급해 처리를 행하기 위한 약액 기화 탱크에 있어서 복수의 피처리체에 공급하는 처리 가스의 농도의 격차를 억제할 수 있는 약액 기화 탱크 및 약액 처리 시스템을 제공하는 것이다.
본 발명의 약액 기화 탱크는 저장된 약액에 기화용 가스를 공급해 처리 가스를 생성하고 그 처리 가스를 피처리체에 공급해 처리를 행하기 위한 약액 기화 탱크에 있어서, 그 내부 공간이 칸막이 부재에 의해 횡방향에 기밀하게 구분되고 복수의 기화실이 형성되는 탱크 본체와, 각 기화실의 액면 아래에 각 기화실간에서 약액을 유통시키기 위해서 각 칸막이 부재에 설치된 약액 유통로와, 각 기화실의 액면보다 윗쪽에서 각 기화실간을 연통하도록 칸막이 부재에 설치된 각 기화실간에서 기압을 균일하게 하기 위한 가스 유통로와 각 기화실 마다 설치되어 기화실내의 약액에 기화용 가스를 공급하고 약액의 액면의 공간에 처리 가스를 생성시키는 기화용 가스 공급부와, 각 기화실 마다 설치되어 상기 기화실에서 생성된 처리 가스를 외부에 취출하는 처리 가스 취출로와 기화실에 약액을 공급하기 위한 약액 공급로와, 상기 약액 공급로로부터 약액을 기화실에 공급하기 위한 대기 개방구를 구비한 것을 특징으로 한다.
약액 공급로는 각 기화실의 사이에 공용되고 있어도 괜찮다. 또 칸막이 부재는 예를 들면, 복수의 기화실에 가세해 기화실의 액면레벨을 검출하기 위한 액면센서를 구비한 액면감시실을 형성하고 액면감시실은 기화실의 액면 아래에 개구하여 기화실과 액면감시실의 사이에 약액을 유통시키기 위한 약액 유통로와 기화실의 액면보다 윗쪽에서 개구하여 기화실과 액면감시실의 사이에 기압을 균일하게 하기 위한 가스 유통로를 구비하고 상기 액면센서에 의해 검출된 액면레벨에 근거해, 기화실에 약액이 공급된다. 약액 공급로는 상기 액면감시실에 설치되고 있어도 좋고, 액면감시실을 주방향으로 둘러싸도록 각 기화실이 설치되고 있어도 좋다.
또 본 발명의 약액 처리 시스템은 처리 가스를 이용해 피처리체에 처리를 행하기 위한 처리 공간을 각각 구비한 복수의 처리 모듈과 상술의 약액 기화 탱크와 상기 처리 가스 취출로를 개재시켜 각 처리 공간에 처리 가스를 공급하는 가스 공급 수단을 구비한 것을 특징으로 한다.
본 발명의 약액 기화 탱크에 의하면 탱크 본체내를 횡방향에 구분하고 복수의 기화실을 형성하는 칸막이 부재에 액면 아래에 개구한 각 기화실간에서 약액을 유통시키는 약액 유통로와 액면에 개구한 각 기화실간의 기압을 균일하게 하기 위한 가스 유통로가 설치되기 때문에 각 기화실간을 약액이 유통할 수가 있어 각 기화실의 약액의 양의 비율이 일정하게 됨과 동시에 약액의 농도가 격차지는 것이 억제 된다. 따라서 각 기화실에서 개별적으로 약액의 기화 처리를 실시해도 약액이 기화된 처리 가스의 농도의 격차가 억제되고 그 처리 가스가 공급되는 각 피처리체 의 처리 상태의 격차가 억제된다. 또 각 기화실에서 개별적으로 기화 처리를 하는 것으로 피처리체의 수에 따른 수의 약액 기화 탱크를 설치할 필요가 없기 때문에, 약액 기화 탱크가 설치되는 스페이스를 억제할 수가 있다.
본 발명의 약액 기화 탱크의 실시의 형태의 일례로서 약액으로서 HMDS액을 기화시키기 위한 HMDS액 기화 탱크 (3)을 포함한 약액 처리 시스템인 소수화 처리 시스템 (1)에 대해서 설명한다. 도 1은 소수화 처리 시스템 (1)의 개략도이고, 소수화 처리 시스템 (1)은 4개의 소수화 처리 모듈 (1A, 1B, 1C, 1D)를 구비하고 있어 이들 소수화 처리 모듈 (1A, 1B, 1C, 1D)는 각각 가스 공급관 (11A, 11B, 11C, 11D)를 개재시켜 약액 기화 탱크 (3)에 설치된 후술의 기화실 (4A, 4B, 4C, 4D)에 접속되어 있다.
소수화 처리 모듈 (1A~1D)에 대해서 설명한다. 이들 소수화 처리 모듈 (1A~1D)는 동일하게 구성되고 있고 소수화 처리 모듈 (1A)를 예로 들어 도 2를 참조하면서 설명한다. 소수화 처리 모듈 (1A)는 재치대 (12)를 구비하고 재치대 (12)상에는 웨이퍼 (W)의 이면을 지지하는 예를 들면 웨이퍼 (W)의 주 방향을 따라 4개(도에서는 2개만 기재)의 돌기부 (12a)가 설치되고 있다. 재치대 (12)에는 웨이퍼 (W)의 외측을 둘러싸도록 배기구 (13)이 형성되고 있고 배기구 (13)에는 배기관 (13a)의 일단이 접속되고 있다. 배기관 (13a)의 타단은 예를 들면 배기량을 제어하는 압력 제어 수단 (14a)를 개재시켜 진공 펌프 등으로 이루어지는 배기 수단 (14)에 접속되고 있다.
재치대 (12)에는 수직 방향으로 3개의 구멍 (15a)가 뚫려 있고 각구멍 (15a)는 웨이퍼 (W)의 주방향을 따라 배열되고 각 구멍 (15a)에는 승강 핀 (15)가 끼워져 통과 되고 있다. 승강 핀 (15)를 지지하는 기초대 (15b)는 미도시의 구동 기구에 의해 승강하고, 승강 핀 (15)가 재치대 (12) 표면에 돌출하여 웨이퍼 (W)를 소수화 처리 모듈 (1A)에 반송하는 미도시의 반송 기구와 재치대 (12)의 사이에 웨이퍼 (W)의 수수를 하게 되어 있다. 도중 16, 17은 각각 커버, O링이다.
배기구 (13)의 주위에는 서로 지름이 다르고 윗쪽에 향하는 링상태의 돌기부 (21, 22)가 형성되고 있고 돌기부 (21, 22)의 상부에는 후술 하는 덮개 (20)이 하강했을 때에 그 덮개 (20)의 이면에 접하는 씰 부재 (23, 24)가 각각 설치되고 있다. 돌기부 (21, 22)간에 형성되는 홈부 (25)에는 그 타단이 흡인 기구 (27)에 접속된 배기관 (26)의 일단이 접속되고 있고 덮개 (20)이 씰 부재 (23, 24)에 접촉했을 때에 홈부 (25)내가 배기되어 덮개 (20)의 이면이 씰 부재 (23, 24)에 밀착하고 처리 공간 (S)가 기밀하게 되는 것으로 소수화 처리 모듈 (1A)의 외부에 HMDS 가스가 새지 않게 되어 있다. 또 웨이퍼 (W)에 대해서 처리를 하고 있지 않을 때에 처리 공간 (S)는 예를 들면 대기 환경에 연통하고 있어, 후술 하는 바와 같이 HMDS액 기화 탱크 (3)내에 HMDS액을 공급할 때의 대기 개방구가 된다.
덮개 (20)은 미도시의 승강기구에 의해 처리 공간 (S)내에 웨이퍼 (W)를 반입할 수 있도록 재치대 (12)에 대해서 승강하도록 구성되고 있어 그 이면 중앙부에는 가스의 토출구 (28)이 설치되고 있다. 또 덮개 (20)에는 상기 가스 공급관 (11A)가 접속되고 있다.
상기 소수화 처리 모듈 (1A) 에 있어서는 덮개 (20)이 재치대 (12)에 대해서 상승한 상태로 웨이퍼 (W)가 재치대 (12)에 재치되면 덮개 (20)이 하강하고 처리 공간 (S)가 기밀하게 된다. 그 후 HMDS 가스가 토출구 (28)으로부터 공급되어 처리 공간 (S)내의 가스를 배기관 (13a, 18a)내에 밀어 내, 도중 화살표에 나타나는 바와 같이 웨이퍼 (W) 표면 전체에 공급되어 웨이퍼 (W)에 소수화 처리를 한다. 소수화 처리 후, 배기관 (13a, 18a)로부터 배기를 함과 동시에 미도시의 N2가스 공급 기구로부터 N2가스가 처리 공간 (S)내에 공급되고, 처리 공간 (S)내의 HMDS가스가 제거되도록 되어 있다. 예를 들면 덮개 (20)의 승강 동작 및 처리 공간 (S)의 배기는 후술의 제어부 (100)에 의해 제어된다.
이어서 본 발명의 주요부를 구성하는 HMDS액 기화 탱크 (3)에 대해서 도 3~도 5를 참조하면서 설명한다. 도 3, 도 4, 도 5는 각각 HMDS액 기화 탱크의 종단 측면도, 분해 사시도, 횡단 평면도이다. HMDS액 기화 탱크 (3)은 예를 들면 편평한 원형의 용기로서 구성되고 있고 HMDS액 기화 탱크 (3) 상벽 및 HMDS액 기화 탱크 (3)내에 형성되는 각 방의 구분을 구성하는 상측 부재 (3A)와 HMDS액 기화 탱크 (3)의 바닥벽 및 측벽을 구성하는 하측 부재 (3B)를 구비하고 있다. 상측 부재 (3A)와 하측 부재 (3B)에 의해 탱크 본체 (3C)가 구성된다.
상측 부재 (3A)는 도 4 및 도 5에 나타나는 바와 같이 서로 구경이 다른 원통형의 칸막이 벽 (31, 32)를 구비하고 있다. 칸막이 벽 (31)은 칸막이 벽 (32)에 둘러싸이고 이들 칸막이 벽 (31, 32)는 서로 평면에서 볼 때 동심원 형상에 형성되고 있다. 또 칸막이 벽 (31)으로부터 칸막이 벽 (32)에 향하여 각각 평면에서 볼 때 십자에 4개의 칸막이 벽 (33 ;33A, 33B, 33C, 33D)가 설치되고 있다. 이들 칸막이 벽 (31~33)에 의해 상측 부재 (31)에는 기화실 (4A, 4B, 4C, 4D)로서 구성되는 평면에서 볼 때 주방향으로 배열된 4개의 방과 이들 기화실 (4A~4D)에 둘러싸는 액면감시실 (6)으로 구성되는 방이 형성되고 있다. 칸막이 벽 (31~33)의 상부에는 탱크 (3) 상벽을 구성하는 원형판 (34)가 설치되고 원형판 (34)의 주변부는 칸막이 벽 (32)이 튀어 나와 있다.
하측 부재 (3B)는 낮은 바닥의 원통형에 구성되고 있고 그 입구내에는 상측 부재 (3A)의 칸막이 벽 (32)가 들어가게 되어 있다. 하측 부재 (3B)의 측벽의 상부는 외측으로 튀어나와 플랜지 (35)를 형성하고 있고 플랜지 (35)와 상측 부재 (3A)의 원형판 (34)의 주변부가 O링 (36)을 개재시켜 밀착하고 약액 기화 탱크 (3)내가 기밀하게 구성되고 있다.
도 3에 나타나는 바와 같이 각 칸막이 벽 (31~33)의 하단은 약액 기화 탱크 (3)의 바닥면으로부터 멀어져 있고 HMDS액 (30)의 유로 (37)이 형성되고 있다. 이 유로 (37)에 의해 HMDS액 기화 탱크 (3)내에 공급된 HMDS액 (30)이 각 기화실 (4A~4D), 액면감시실 (6) 및 각 기화실 (4A~4D)의 외측에 설치된 칸막이 벽 (32) 및 약액 기화 탱크 (3)의 측벽에 둘러싸이는 외주실 (4E)의 사이에 서로 통류하도록 되어 있다.
칸막이 벽 (31)에는 액면감시실 (6)과 각 기화실 (4A, 4B, 4C, 4D)가 각각 연통하도록 통기 구멍 (41a, 41b, 41c, 41d)가 각각 형성되고 있고 또 칸막이 벽 (32)에는 각 기화실 (4A, 4B, 4C, 4D)와 외주실 (4E)가 각각 연통하도록 통기 구 멍(42a, 42b, 42c, 42d)가 각각 형성되고 있다. 이들 환기 구멍 (41a~41d) 및 (42a~42d)는 후술과 같이 약액 기화 탱크 (3)내에 공급되는 HMDS액 (30)의 액면레벨의 상한 레벨 (HL)보다 높은 위치에 형성되고 있고 각 실 (4A~4E) 사이에 환기 하고 각 실내 (4A~4E)의 압력이 균일하게 되어 각 실 (4A~4E)사이에 HMDS액 (30)이 유통하고, 이들 각 실 (4A~4E)의 액면레벨을 균일하게 하는 역할을 가지고 있다. 각 환기 구멍 (41a~41d) 및 (42a~42d)의 구경은 너무 크면 후술하는 바와 같이 하나의 기화실에서 행해지는 기화 처리가 다른 기화실의 기화 처리에 영향을 주어, 예를 들면 처리 가스의 농도의 변동을 부를 우려가 있기 때문에, 예를 들면 5 mm이하가 바람직하고, 2 mm이하가 보다 바람직하다. 각 환기 구멍 (41a~41d) 및 (42a~42d)는 도에서는 각각 1개씩 나타내고 있지만, 상기와 같이 각 방간의 기화 처리에 영향을 주지 않고 액면레벨이 균일하게 되면 좋기 때문에 각각 복수 설치되고 있어도 괜찮다.
이어서 각 기화실 (4A~4D)에 대해서 설명한다. 각 기화실 (4A~4D)는 동일하게 형성되고 있어 여기에서는 기화실 (4A)를 예로 들어 설명한다. 원형판 (34)에는 기화실 (4A)에 연통하는 3개의 구멍 (43, 44, 45)가 형성되고 있다. 또한 각 구멍 (43~44)는 실제로 제조된 HMDS액 기화 탱크 (3)에서는 도 4에 나타나는 바와 같이 주방향으로 배열되고 있지만, 도 3에서는 편의상 횡 방향으로 전개해 나타내고 있다. 위에서 설명한 바와 같이 그 일단이 처리 모듈 (1A)에 접속되고 가스 공급관 (11A)의 타단은 구멍 (43)을 개재시켜 기화실 (4A)내에 진입하고 있고, 기화실 (4A)의 액면의 공간 (40)에 개구하고 있다.
또 구멍 (44)를 개재시켜 가스 공급관 (46)의 일단이 기화실 (4A)에 진입하고 있고 가스 공급관 (46)의 일단에는 가스 노즐 (47)이 설치되고 가스 공급관 (46)으로부터 가스 노즐 (47)에 공급된 N2가스는 HMDS액 (30)의 액면에 토출되도록 되어 있다. 가스 노즐 (47)은 처리 가스를 생성시키는 가스 공급부에 상당한다. 가스 공급관 (46)의 타단은 밸브나 매스 플로우 콘트롤러 등을 포함한 유량 제어부 (48)을 개재시켜 N2가스가 저장된 N2가스 공급원 (49)에 접속되고 있고 유량 제어부 (48)이 후술하는 제어부 (100)으로 부터의 제어 신호를 받아 N2가스의 HMDS액 (30)의 액면에의 급단을 제어한다. 유량 제어부 (48)은 소수화 처리 모듈 (1A)에 HMDS 가스를 공급하기 위한 가스 공급 수단에 상당한다. 도중 51, 52, 53은, 원형판 (34)상에 설치된 통 모양의 이음새이고, 이음새 (51, 52)는 각각 가스 공급관 (11A, 45)를 지지하고 있다. 또 이 실시 형태에 있어서, 구멍 (45)는 이음새 (53)을 개재시켜 씰 부재 (54)에 의해 덮혀져 있다.
다른 기화실 (4B~4D)에 대해서 간단하게 설명하면 가스 공급관 (11B, 11C, 11D)의 타단이, 가스 공급관 (11A)의 타단과 동일하게 각각 기화실 (4B, 4C, 4D)에 각각 진입하고 있어, 각 기화실 (4B, 4C, 4D)의 공간 (40)에 개구하고 있다.
이어서 액면감시실 (6)에 대해서 설명한다. 원형판 (34)에는 액면감시실 (6)에 연통하는 4개의 구멍 (61, 62, 63, 64)가 설치되고 있다. 또한 구멍 (61~64)는 실제로 제조된 탱크에서는 도 4에 나타나는 바와 같이 주방향으로 배열되고 있지만, 도시의 편의상 도 3에서는 횡방향에 일렬에 배열해 나타내고 있다. 구멍 (61)을 개재시켜 HMDS액공급관 (65)의 일단이 액면감시실 (6)내에 진입하여 개구하고 있고 HMDS액공급관 (65)의 타단은 밸브 및 매스플로 콘트롤러 등을 포함한 유량 제어부 (66)을 개재시켜 신선한 HMDS액 (30)이 저장된 HMDS액공급원 (67)에 접속되고 있다. 제어부 (100)으로부터의 제어 신호에 따라 유량 제어부 (66)이 HMDS액 공급원 (67)으로부터 기화 탱크 (3)에의 상기 HMDS액 (30)의 급단을 제어하고 공급된 HMDS액 (30)이 기화 탱크 (3)내의 각 실 (4A~4E)에 저장되게 되어 있다.
액면감시실 (6)에는 막대 형상의 액면센서 (68)이 설치되고 있다. 이 액면센서 (68)은 구멍 (62)에 삽입 통과되어 그 하부가 HMDS액 (30)의 액면 아래에 잠기도록 되어 있다. 액면센서 (68)의 아래 쪽으로부터 위쪽으로 향해 액면의 높이(액면레벨)를 검출하기 위한 복수의 검출부 (69)가 설치되고 있어 액면센서 (68)은 그 검출부 (69)에 의해 검출된 액면레벨에 대응하는 신호를 제어부 (100)에 송신한다.
또 구멍 (63)을 개재시켜 배기관 (71)의 일단이 액면감시실 (6)에 진입하고 있고 액면감시실 (6)의 액면 공간 (60)에 개구하고 있다. 배기관 (71)의 타단은 HMDS액 기화 탱크 (3)의 외부의 대기 환경에 통로하고 있고, 배기관 (71)에는 제어부 (100)으로부터의 제어 신호에 근거해 개폐되는 밸브 (72)가 개설되고 밸브 (72)가 열리면 공간 (60)이 상기 대기 환경에 개방된다.
소수화 처리 모듈 (1A~1D)중 어느쪽에서 웨이퍼 (W)에 처리를 하고 있지 않고, 밀폐되고 있지 않은 처리 공간 (S)가 있는 경우 HMDS액 기화 탱크 (3)내에 HMDS액을 주입할 때에, 탱크 (3)내의 가스처리 공간 (S)를 개재시켜 소수화 처리 모듈 (11)의 외부로 유통할 수 있기 때문에 HMDS액 (30)이 HMDS액 탱크 (3)내에 주입할 수가 있지만, 처리 모듈 (11A~11D)의 모두에 있어서 웨이퍼 (W)에 대해서 소 수화 처리를 하고 있을 때 탱크 (3)내에 HMDS액을 공급하는 경우는 모든 처리 공간 (S)가 밀폐되고 있기 때문에 HMDS액 기화 탱크 (3)내의 가스가 처리 공간 (S)를 개재시켜 소수화 처리 모듈 (11)의 외부로 유통할 수 없고, 그대로라면 해당 HMDS액 기화 탱크 (3)의 내압에 의해 HMDS액을 공급할 수 없기 때문에, 이 밸브 (72)를 열어, HMDS액 기화 탱크 (3)내의 가스가 대기 환경에 유통할 수 있는 상태가 된 후에 HMDS액 기화 탱크 (3)내에 HMDS액 (30)이 공급된다.
또 구멍 (64)를 개재시켜 배액관 (73)의 일단이 액면감시실 (6)에 진입하고 HMDS액 (30)의 액면아래에 개구하고 있고, 배액관 (73)의 타단은 예를 들면 원형판 (34)상에 설치된 밸브 (74)를 개재시켜 배액기구 (75)에 접속되고 있어 예를 들면 수동에 의해 밸브 (74)가 열리면 탱크 (3)내의 HMDS액이 제거된다. 도중 76, 77, 78, 79는 원통형의 이음새이고, 각각 HMDS액 공급관 (65), 액면센서 (68), 배기관 (71), 배액관 (73)을 지지하고 있다.
이어서 제어부 (100)에 대해서 설명한다. 제어부 (100)은 예를 들면 컴퓨터, 로 이루어지고 미도시의 프로그램 격납부를 가지고 있다. 이 프로그램 격납부에는, 후술의 작용으로 설명하는 현상 처리를 하도록 명령이 짜여진 예를 들면 소프트웨어로 이루어지는 프로그램이 격납되어 이 프로그램이 제어부 (100)에 독출되는 것으로 제어부 (100)은 N2가스 노즐 (47)으로부터의 가스의 공급이나 HMDS액기화 탱크 (3)내에의 HMDS액 (30)의 공급 등을 제어한다. 이 프로그램은, 하드 디스크, 콤팩트 디스크, 마그네트 옵티컬 디스크 또는 메모리 카드 등의 기억매체에 수납된 상태에서 프로그램 격납부에 격납된다.
또 제어부 (100)은, 미도시의 입력 화면을 구비하고 있다. 소수화 처리시스템 (1)의 유저는 이 입력 화면으로부터, HMDS액 기화 탱크 (3)내의 HMD(S30)의 액면레벨에 대해서 상한레벨 (HL) 및 하한레벨 (LL)을 설정할 수 있도록 되어 있다. 후술의 작용으로 설명하는 바와 같이 HMDS가스가 각 소수황처리 모듈 (11A~11D)에 공급되고 도 6에 나타나는 바와 같이 액면레벨이 상한 레벨 (HL)이 되고, 각 처리 모듈 (11A~11D)에서의 처리중에 액면레벨이 HL과 LL의 사이로 유지되게 되어 있다. 상한 레벨 (HL)은 환기 구멍 (41a~41d) 및 (42a~42d)의 높이보다 낮은 레벨이고, 하한 레벨 (LL)은 유로 (37)의 상단보다 높은 레벨이다. 상한 레벨 (HL), 하한 레벨 (LL)의 값은 각각 그 동안의 범위의 액면레벨로 생성하는 HMDS 가스 농도가 각각 다름으로써 소수화 처리의 격차가 발생하지 않도록 설정된다.
또 예를 들면 HMDS액 공급원 (67)로부터 HMDS액 (30)이 공급되고 나서 소정의 시간이 경과하면 예를 들면 입력 화면에 알람이 표시된다. 유저는 이 알람에 근거해 밸브 (74)를 열고, HMDS액 기화 탱크 (3)내의 모든 HMDS액 (30)을 제거하고 밸브 (74)를 닫은 후, 입력 화면에 표시되는 스윗치를 누르는 것으로, HMDS액 기화 탱크 (3)에 그 액면레벨이 상기 상한 레벨 (HL)이 되도록 HMDS액 (30)이 공급된다. 이것에 의해 기화 탱크 (3)내의 HMDS액 (30)의 선도가 유지되도록 되어 있다.
소수화 처리 시스템 (1)의 작용에 대해서, 도 7을 참조하면서 소수화 처리 모듈 (1A, 1B)의 웨이퍼 (W)에 대해서 처리를 하는 경우를 예로 들어 설명한다. 예를 들면 소수화 처리 모듈 (1A)의 재치대 (12)에 웨이퍼 (W)가 재치되어 그 처리 공간 (S)가 기밀 공간이 되면 소수화 처리 모듈 (1A)는 제어부 (100)에 신호를 송 신한다. 그 신호를 받은 제어부 (100)은 유량 제어부 (48)에 제어 신호를 송신하고 기화실 (4A)의 가스 노즐 (47)으로부터 기화실 (4A)의 HMDS액 (30)의 액면에 소정의 유량으로 N2가스가 공급된다. 공급된 N2가스에 의해 HMDS액의 기화가 촉진됨과 동시에 탱크 (3)내의 HMDS액 (30) 기화실 (4A)의 내압이 상승하고, HMDS 가스가 가스 공급관 (11A)를 개재시켜 소수화 처리 모듈 (1A)에 공급되어 상기 웨이퍼 (W)에 소수화 처리가 행해진다.
기화실 (4A)에 공급된 N2가스는, 환기 구멍 (41a~41d) 및 (42a~42d)를 개재시켜 다른 기화실 (4B~4D), 외주실 (4E) 및 액면감시실 (6)에 유입하고 이들 기화실 (4B~4D), 외주실 (4E) 및 액면감시실 (6)의 HMDS액 (30)이 유로 (37)을 개재시켜 기화실 (4A)에 유입하고 각 기화실 (4A~4D), 외주실 (4E) 및 액면감시실 (6)으로 액면레벨이 균일하게 유지된 상태로 기화 탱크 (3)내의 HMDS액 (30)이 감소한다(도 7 (a), (b) ).
이어서 소수화 처리 모듈 (1B)의 재치대 (12)에 웨이퍼 (W)가 반입되어 그 처리 공간 (S)가 기밀 공간이 되면 소수화 처리 모듈 (1B)는 제어부 (100)에 신호를 송신한다. 그 신호를 받은 제어부 (100)은 기화실 (4B)에 대응하는 유량 제어부 (48)에 제어 신호를 송신하고, 가스 노즐 (47)으로부터 기화실 (4B)의 액면에 예를 들면, 기화실 (4A)에 공급하는 유량과 동일한 유량으로 N2가스가 공급되어 HMDS 가스가 가스 공급관 (11B)를 개재시켜 소수화 처리 모듈 (1B)에 공급되어, 소수화 처리 모듈 (11B)의 웨이퍼 (W)에 소수화 처리가 행해진다.
기화실 (4B)에 공급된 N2가스는 환기 구멍 (41b~41d) 및 환기실 (42b~42d)를 개재시켜 기화실 (4C, 4D), 외주실 (4E) 및 액면감시실 (6)에 유입해 이들 기화실 (4C, 4D) 및 액면감시실 (6)의 HMDS액 (30)이 유로 (37)을 개재시켜 기화실 (4A)의 그 밖에 기화실 (4B)에도 유입해, 각 기화실 (4A~4D), 외주실 (4E) 및 액면감시실 (6)으로 액면레벨이 균일하게 유지된 상태로 기화 탱크 (3)내의 HMDS액 (30)이 감소를 계속한다(도 7 (c) ).
HMDS액 (30)의 기화가 진행되어, 액면레벨이 미리 설정된 하한 레벨 (LL)이 되면 액면센서 (68)이 제어부 (100)에 신호를 송신하고 제어부 (100)은 유량 제어부 (66)에 제어 신호를 송신하고, HMDS액공급원 (67)으로부터 HMDS액공급관 (65)를 개재시켜 HMDS액 (30)이 기화 탱크 (3)내에 공급되어 그 액면레벨이 상승하고 미리 설정된 상한 레벨 (HL)에 이르면 액면센서 (68)이 제어부 (100)에 신호를 송신하고, HMDS액 (30)의 공급이 정지한다.
그리고 기화실 (4A)에 N2가스의 공급이 개시되고 나서 소정의 시간이 경과하면 기화실 (4A)에의 N2가스의 공급이 정지하고, 처리 모듈 (1A)에서의 소수화 처리가 종료한다. 그리고 기화실 (4B)에 N2가스의 공급이 개시되고 나서 소정의 시간이 경과하면 기화실 (4B)에의 N2가스의 공급이 정지하고 처리 모듈 (1B)에서의 소수화 처리가 종료한다.
HMDS액 기화 탱크 (3)에 의하면, 탱크 (3)내의 공간을 횡방향에 구분하고, 기화실 (4A~4D)를 형성하는 칸막이 벽 (31, 32, 33A~33D)와 HMDS액 (30)의 액면아래에 개구한 각 기화실 (4A~4D)간에 HMDS액 (30)을 유통시키는 유로 (37)과 HMDS액 (30)의 액면에 개구한 각 기화실 (4A~4D)간의 압력을 균일하게 하기 위한 환기 구 멍 (41a~41d)를 구비하는 것으로 각 기화실 (4A~4D)내의 기압이 균일화되어 각 기화실 (4A~4D)간을 HMDS액 (30)이 유통할 수가 있기 때문에, 각 기화실 (4A~4D)로 액면레벨이 격차지는 것이 억제됨과 동시에 각 기화실 (4A~4D)로 HMDS액 (30)의 농도가 격차지는 것이 억제된다. 따라서 각 기화실 (4A~4D)로 개별적으로 HMDS액의 기화 처리를 실시해도 HMDS 가스의 농도의 격차가 억제된다. 그 결과적으로 각 소수화 처리 모듈 (1A~1D)의 각 웨이퍼 (W)에의 소수화 처리 상태에 격차가 생기는 것이 억제된다.
또 상기 HMDS액 기화 탱크 (3)은 개별로 기화실 (4A~4D)를 구비하는 것으로, 소수화 처리 모듈의 수와 동일수의 약액 기화 탱크를 설치하는 경우에 비하여 상기 약액 기화 탱크 설치 스페이스의 삭감을 도모할 수 있다. 또 각 기화실 (4A~4D) 및 액면 감시실 (6)에서 HMDS액 (30)이 유통할 수 있으므로 액면센서 (68), HMDS액 공급관 (65), 배액관 (75) 등이 각 기화실 (4A~4D) 마다 설치할 필요가 없기 때문에, 소수화 처리 모듈의 수와 동수의 HMDS액 기화 탱크를 설치하도록 하는 경우에 비해 제조 코스트의 저하를 도모 수가 있다.
또 소수화 처리 모듈 (1A~1D)의 가동 상황에 차이가 있는 경우에, HMDS액이 공용화되고 있기 문에, 소수화 처리 모듈의 수에 따라 기화 탱크를 설치하는 것 같은 경우에 비해, HMDS액을 효율적으로 소비할 수가 있다. 따라서 HMDS액의 선도가 저하했을 때에 배액을 실시할 즈음에 그 배액량을 억제할 수가 있기 때문에, 코스트의 저하를 도모할 수가 있다.
HMDS액 기화 탱크 (3)에 있어서는 기화실 (4A~4D)로부터 구분된 액면감시실 (6)을 구비하고 그 액면감시실 (6)의 액면레벨을 검출해 각 기화실 (4A~4D)의 액면레벨의 관리를 실시하고 있기 때문에 기화실 (4A~4D)로 기화 처리를 하는 것으로 기화실 (4A~4D)의 액면이 흔들려도 이들의 액면의 흔들림이 액면감시실 (6)의 액면에 전해져 액면감시실 (6)의 액면이 흔들리는 것이 억제된다. 따라서 정밀도 높고 액면레벨을 관리할 수가 있어 HMDS 가스의 농도의 변동을 억제할 수가 있기 때문에 웨이퍼 (W)에의 소수화 처리의 격차를 보다 확실히 억제할 수가 있다. 또 액면감시실 (6)이 기화실 (4A~4D)에 둘러싸이도록 기화 탱크 (3)의 중앙부에 설치되는 것으로, HMDS액 기화 탱크 (3)이 설치하는 바닥이 기울고 있어도, 액면감시실 (6)이 탱크의 주변부에 배치되도록 하는 경우에 비해, 액면감시실 (6)의 액면의 기울기가 억제되는 것으로, 액면레벨의 검출 정밀도가 저하하는 것이 억제되므로 바람직하다.
또 위에서 설명한 바와 같이 HMDS액 기화 탱크 (3)은 각 소수화 처리 모듈 (1A~1D)로 처리를 실시하고 있어도 액면감시실 (6)의 밸브 (72)를 개방하는 것으로, HMDS액 (30)을 탱크내에 공급할 수 있고 각 소수화 처리 모듈 (1A~1D)의 처리를 정지시킬 필요가 없다. 따라서 이들의 처리 모듈 (1A~1D)의 가동에 영향을 주지 않기 때문에, 이들의 모듈에 의한 수율의 저하를 억제할 수가 있다.
상기 실시 형태에 있어서는 예를 들면 밸브 (74)가 제어부 (100)에 의해 일정 주기에 개폐되어 자동으로 폐액이 실시하도록 되어 있어도 괜찮다. 또 사용하는 약액으로서는 HMDS액에 한정되지 않고, 각 기화실 및 액면감시실의 레이아웃도 상기 실시 형태에 한정되지 않는다. 또 각 소수화 처리 모듈에 다른 농도의 HMDS 가 스를 공급하기 위해서 각 기화실 (4A~4D)의 액면에 각각 다른 유량으로 N2가스를 공급하거나 각 기화실 (4A~4D)의 크기가 각각 다르도록 구성되고 있어도 괜찮다.
이어서 HMDS액 기화 탱크 (3)의 변형예인 HMDS액 기화 탱크에 대해서 도 8을 참조하면서 설명한다. 이 HMDS액 기화 탱크 (8)은, HMDS액 기화 탱크 (3)과 대략 동일하게 구성되고 있고 동일한 구성 부분에 대해서는 동부호를 붙여 나타내고 있다. 이 실시예에 있어서도 각 기화실 (4A~4D)는 동일하게 구성되고 있으므로, 대표하여 기화실 (4A)에 대해서 설명하면 N2가스 공급관 (46)의 단부에는 다수의 토출구 (82)를 구비한 평판 형상의 버블링용 노즐 (81)이 설치되고 있고 노즐 (81)은 액면아래에 침전되고 버블링에 의해 HMDS액 (30)의 기화 처리를 실시하도록 되어 있다. 또 구멍 (53)을 개재시켜 희석용 가스 공급관 (83)의 일단이 기화실 (4A)에 진입하고, 액면의 공간 (40)에 개구하고 있다. 희석용 가스 공급관 (83)의 타단은 밸브나 매스 플로우 콘트롤러로부터 이루어지는 유량 제어부 (84)를 개재시켜 N2가스 공급원 (49)에 접속되고 있다. 유량 제어부 (84)는 제어부 (100)으로부터의 제어 신호에 근거해 N2가스 공급원 (49)로부터 공간 (40)에의 N2가스의 급단을 제어하고, 버블링에 의해 공간 (40)에 생긴 HMDS 가스의 농도를 희석하는 역할을 가지고 있어 소수화 처리 모듈 (1A)에 적절한 농도의 HMDS 가스가 공급된다.
이러한 HMDS액 기화 탱크 (8)도 HMDS액 기화 탱크 (3)과 같은 효과를 가진다. HMDS액 기화 탱크 (8)에서는 버블링을 실시하는 것으로 각 기화실 (4A~4D)의 액면이 흔들리기 쉽기 때문에, 상기와 같이 액면감시실 (6)을 기화실 (4A~4D)로부터 구분해, 그 액면감시실 (6)의 액면을 감시하는 것이 정밀도 높고 액면의 관리를 실시하기 때문에 특히 유효하다.
이어서 상술의 소수화 처리 시스템 (1)이 조립된 도포, 현상 장치의 구성의 일례에 대해서 도 9~도 11을 참조하면서 간단하게 설명한다. 도중 B1은 기판인 웨이퍼 (W)가 예를 들면 925매 밀폐 수납된 캐리어 (C1)을 반입출하기 위한 캐리어 블럭이고, 캐리어 (C1)을 복수개 재치 가능한 재치부 (90A)를 구비한 캐리어 수수부 (90)과 이 캐리어 수수부 (90)으로부터 볼 때 전방의 벽면에 설치되는 개폐부 (91)과 개폐부 (91)을 개재시켜 캐리어 (C1)로부터 웨이퍼 (W)를 취출하기 위한 수수 수단 (A1)이 설치되고 있다.
캐리어 블럭 (B1)의 안쪽 측에는 케이스체 (92)에서 주위를 둘러싸는 처리 블럭 (B2)가 접속되고 있고 처리 블럭 (B2)에는 앞측으로부터 차례로 가열·냉각계의 유니트를 다단화한 선반 유니트 (U1, U2, U3)와 후술하는 도포·현상 유니트를 포함한 각 처리 유니트간의 웨이퍼 (W)의 수수를 실시하는 주반송 수단 (A2,A3)이 교대로 배열해 설치되고 있다. 즉, 선반 유니트 (U1, U2, U3) 및 주반송 수단 (A2,A3)은 캐리어 블럭 (B1)측으로부터 봐서 전후 일렬에 배열됨과 동시에, 각각의 접속 부위에는 도시하지 않는 웨이퍼 반송용의 개구부가 형성되고 있고 웨이퍼 (W)는 처리 블럭 (B1)내를 일단측의 선반 유니트 (U1)로부터 타단측의 선반 유니트 (U3)까지 자유롭게 이동할 수 있게 되어 있다. 또 주반송 수단 (A2,A3)은 캐리어 블럭 (B1)로부터 봐서 전후방향에 배치되는 선반 유니트 (U1, U2, U3)측의 일면부와 후술하는 예를 들면 우측의 액처리 유니트 (U4, U5)측의 일면부와 좌측의 일면을 이루는 배후부로 구성되는 구획벽 (93)에 의해 둘러싸이는 공간내에 놓여져 있 다. 또 도중 94, 95는 각 유니트로 이용되는 처리액의 온도 조절 장치나 온습도 조절용의 덕트 등을 구비한 온습도 조절 유니트이다.
액처리 유니트 (U4, U5)는, 예를 들면 도 10에 나타나는 바와 같이 도포액(레지스트액)이나 현상액이라고 하는 약액 공급용의 스페이스를 이루는 수납부 (96)위에, 도포 유니트 (COT), 현상 유니트 (DEV) 및 반사 방지막형성 유니트 (BARC)등을 복수단 예를 들면 5단에 적층한 구성으로 되어 있다. 또 상술의 선반 유니트 (U1, U2, U3)은 도 11에 나타나는 바와 같이 액처리 유니트 (U4, U5)에서 행해지는 처리의 사전 처리 및 후 처리를 행하기 위한 각종 유니트를 복수단 예를 들면 10단에 적층한 구성으로 되어 있어 액처리 전후의 웨이퍼 (W)를 가열(베타)하는 가열 유니트(LHP), 웨이퍼 (W)를 냉각하는 냉각 유니트(CPL), 노광 후의 웨이퍼 (W) 가열하는 가열 유니트(PEB) 등이 포함되어 예를 들면 선반 유니트 (U2)에 상술의 소수화 처리 모듈 (1A~1D)가 설치되어 있고 선반 유니트 (U2) 부근에 상술의 HMDS액기화 탱크 (3)이 설치되어 있다. 도중 TRS는 웨이퍼 (W)의 수수 스테이지이다.
처리 블럭 (B2)에 있어서의 선반 유니트 (U3)의 안쪽 측에는, 예를 들면 제1의 반송실 (97) 및 제2의 반송실 (98)으로 이루어지는 인터페이스 블럭 (B3)를 개재시켜 노광 장치 (B4)가 접속되고 있다. 인터페이스 블럭 (B3)의 내부에는 처리 블럭 (B2)와 노광 장치 (B4)의 사이에 웨이퍼 (W)의 수수를 행하기 위한 2개의 수수 수단 (A4,A5) 및 선반 유니트 (U6)가 설치되어 있다.
이어서 이 장치에 있어서의 웨이퍼의 흐름에 대해서 일례를 나타낸다. 우선 외부로부터 웨이퍼 (W)가 수납된 캐리어 (C1)이 재치대 (90a)에 재치되면 개폐부 (91)과 함께 캐리어 (C1)의 덮개체 떼어져 수수 수단 (A1)에 의해 웨이퍼 (W)가 꺼내진다. 그리고 웨이퍼 (W)는 선반 유니트 (U1)의 일단을 이루는 수수 유니트(도시하지 않음)를 개재시켜 주반송 수단 (A2)로 수수되고, 선반 유니트 (U1~U3)내의 하나의 선반으로, 도포 처리의 사전 처리로서 예를 들면 소수화 처리 모듈 (1A~1D)에서 소수화 처리, 냉각 유니트(CPL)에서 냉각 처리를 하고 그 후 도포 유니트 (COT)에서 레지스트액이 도포된다.
이어서 웨이퍼 (W)는 선반 유니트 (U1~U3)의 하나의 선반을 이루는 가열 유니트(LHP)로 가열(베타 처리)되어 더 냉각된 후 선반 유니트 (U3)의 수수 유니트를 경유해 인터페이스 블럭 (B3)에 반입된다. 이 인터페이스 블럭 (B3)에 있어서 웨이퍼 (W)는 예를 들면 수수 수단 (A4)→ 선반 유니트 (U6)→ 수수 수단 (A5)라는 경로에서 노광 장치 (B4)에 반송되어 노광을 한다. 노광 후, 웨이퍼 (W)는 역의 경로에서 주반송 수단 (A2)까지 반송되어 현상 유니트 (DEV)에서 현상되는 것으로 레지스트 패턴을 구비한 레지스트 마스크가 형성된다. 그 후 웨이퍼 (W)는 재치대 (90a)상의 원래의 캐리어 (C1)에 되돌려진다.
도 1은 본 발명의 실시의 형태와 관련되는 HMDS액 기화 탱크를 갖춘 소수화 처리 시스템의 블럭도이다.
도 2는 상기 소수화 처리 시스템에 포함되는 소수화 처리 모듈의 종단 측면도이다.
도 3은 상기 HMDS액 기화 탱크의 종단 측면도이다.
도 4는 상기 HMDS액 기화 탱크의 분해 사시도이다.
도 5는 상기 HMDS액 기화 탱크의 횡단 평면도이다.
도 6은 상기 액면레벨이 변동하는 모습을 나타낸 그래프이다.
도 7은 HMDS액 기화 탱크의 액면레벨이 변동하는 모습을 나타낸 설명도이다.
도 8은 다른 HMDS 기화 탱크의 구성예를 나타낸 종단 측면도이다.
도 9는 상기 소수화 처리시스템이 적용된 도포, 현상장치의 평면도이다.
도 10은 상기 도포, 현상장치의 사시도이다.
도 11은 상기 도포, 현상장치의 종단 측면도이다.
**주요부위를 나타내는 도면부호의 설명**
1 : 소수화 처리 시스템
1A~1D : 소수화 처리 모듈
100 : 제어부
3 : HMDS액 기화 탱크
30 ; HMDS액
31, 32, 33 ; 칸막이 벽
37 ; 유로
4A~4D ; 기화실
41a~41d ; 환기통
68 ; 액면센서

Claims (7)

  1. 저장된 약액에 기화용 가스를 공급해 처리 가스를 생성하고, 처리 가스를 피처리책에 공급해 처리를 행하기 위한 약액 기화 탱크에 있어서,
    내부 공간이 칸막이 부재에 의해 횡방향에 기밀하게 구분되고 복수의 기화실이 형성되는 탱크 본체와,
    각 기화실의 액면아래에 각 기화실간에서 약액을 유통시키기 위해서 각 칸막이 부재에 설치된 약액 유통로와,
    각 기화실의 액면보다 윗쪽에서 각 기화실간을 연통하도록 칸막이 부재에 설치된 각 기화실간에서 기압을 균일하게 하기 위한 가스 유통로와,
    각 기화실 마다 설치되고 기화실내의 약액에 기화용 가스를 공급하고, 약액의 액면의 공간에 처리 가스를 생성시키는 기화용 가스 공급부와,
    각 기화실 마다 설치되고 상기 기화실에서 생성된 처리 가스를 외부에 취출하는 처리 가스 취출로와,
    기화실에 약액을 공급하기 위한 약액 공급로와,
    상기 약액 공급로로부터 약액을 기화실에 공급하기 위한 대기 개방구를 구비하는 것을 특징으로 하는 약액 기화 탱크.
  2. 청구항 1에 있어서,
    약액 공급로는 각 기화실의 사이에 공용되는 것을 특징으로 하는 약액 기화 탱크.
  3. 청구항 1 또는 청구항 2에 있어서,
    칸막이 부재는 복수의 기화실에 부가하여 기화실의 액면레벨을 검출하기 위한 액면센서를 구비한 액면감시실을 형성하고, 액면감시실은 기화실의 액면아래에 개구하여 기화실과 액면감시실의 사이에 약액을 유통시키기 위한 약액 유통로와 기화실의 액면보다 윗쪽에서 개구하고, 기화실과 액면감시실과의 사이에 기압을 균일하게 하기 위한 가스 유통로를 구비하고 상기 액면센서에 의해 검출된 액면레벨에 근거해 기화실에 약액이 공급되는 것을 특징으로 하는 약액 기화 탱크.
  4. 청구항 3 에 있어서,
    약액 공급로는 상기 액면감시실에 설치되고 있는 것을 특징으로 하는 약액 기화 탱크.
  5. 청구항 3에 있어서,
    액면감시실을 주방향으로 둘러싸도록 각 기화실이 설치되고 있는 것을 특징으로 하는 약액 기화 탱크.
  6. 청구항 4에 있어서,
    액면감시실을 주방향으로 둘러싸도록 각 기화실이 설치되고 있는 것을 특징 으로 하는 약액 기화 탱크.
  7. 처리 가스를 이용해 피처리체에 처리를 행하기 위한 처리 공간을 각각 구비한 복수의 처리 모듈과,
    청구항 1 또는 청구항 2에 기재의 약액 기화 탱크와,
    상기 처리 가스 취출로를 개재시켜 각 처리 공간에 처리 가스를 공급하는 가스 공급 수단을 구비한 것을 특징으로 하는 약액 처리 시스템.
KR20080070094A 2007-07-20 2008-07-18 약액 기화 탱크 및 약액 처리 시스템 KR101191028B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2007-00189975 2007-07-20
JP2007189975A JP4900110B2 (ja) 2007-07-20 2007-07-20 薬液気化タンク及び薬液処理システム

Publications (2)

Publication Number Publication Date
KR20090009745A true KR20090009745A (ko) 2009-01-23
KR101191028B1 KR101191028B1 (ko) 2012-10-16

Family

ID=40263822

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20080070094A KR101191028B1 (ko) 2007-07-20 2008-07-18 약액 기화 탱크 및 약액 처리 시스템

Country Status (3)

Country Link
US (1) US8858710B2 (ko)
JP (1) JP4900110B2 (ko)
KR (1) KR101191028B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109545708A (zh) * 2017-09-22 2019-03-29 Asm Ip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法
KR20220011007A (ko) * 2020-07-20 2022-01-27 삼성전자주식회사 약액 기화 장치 및 이를 포함하는 기판 처리 장치

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2894165B1 (fr) * 2005-12-01 2008-06-06 Sidel Sas Installation d'alimentation en gaz pour machines de depot d'une couche barriere sur recipients
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130014697A1 (en) * 2011-07-12 2013-01-17 Asm Japan K.K. Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102111020B1 (ko) * 2013-05-02 2020-05-15 삼성디스플레이 주식회사 증착 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105401163B (zh) * 2014-09-08 2019-08-20 大幸药品株式会社 电解式二氧化氯气体制造装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR20170038281A (ko) * 2015-09-30 2017-04-07 삼성전자주식회사 디스플레이 장치 및 그 설정 방법
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102139618B1 (ko) * 2016-07-01 2020-07-30 세메스 주식회사 기판 처리 장치 및 탱크
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR20190132350A (ko) * 2017-04-13 2019-11-27 가부시키가이샤 호리바 에스텍 기화 장치 및 기화 시스템
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN109962026B (zh) * 2017-12-26 2022-04-19 无锡华润上华科技有限公司 一种晶圆的预处理方法及光刻方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
JP6901153B2 (ja) 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210063564A (ko) * 2019-11-25 2021-06-02 삼성전자주식회사 기판 처리 장치
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR102330712B1 (ko) 2019-12-23 2021-11-23 세메스 주식회사 버블러, 기판 처리 장치 및 기판 처리 방법
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6010674A (ja) 1983-06-29 1985-01-19 Mitsubishi Electric Corp 半導体素子の製造方法
JP2780051B2 (ja) * 1989-09-08 1998-07-23 グラフテック株式会社 サーマルヘッド
JPH0734936Y2 (ja) 1990-01-16 1995-08-09 大日本スクリーン製造株式会社 処理液蒸気供給装置
JPH04341340A (ja) * 1991-05-17 1992-11-27 Fujikura Ltd 原料供給装置
JP2893148B2 (ja) 1991-10-08 1999-05-17 東京エレクトロン株式会社 処理装置
JP2000252269A (ja) * 1992-09-21 2000-09-14 Mitsubishi Electric Corp 液体気化装置及び液体気化方法
JP3667781B2 (ja) * 1993-07-16 2005-07-06 株式会社日立製作所 エンジンシステムの診断装置
JP2617689B2 (ja) 1994-08-31 1997-06-04 九州日本電気株式会社 ガス供給装置
US6053643A (en) * 1998-02-06 2000-04-25 Konica Corporation Solid processing agent storing container for photosensitive material processing
JPH11347476A (ja) * 1998-06-05 1999-12-21 Sumitomo Electric Ind Ltd 樹脂供給装置及び樹脂供給方法並びに光心線製造方法
EP1160355B1 (en) * 2000-05-31 2004-10-27 Shipley Company LLC Bubbler
JP3828821B2 (ja) * 2002-03-13 2006-10-04 株式会社堀場エステック 液体材料気化供給装置
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
SE0502856L (sv) * 2005-12-22 2007-05-22 Alstom Technology Ltd Textilfilter med fluidiserad dammbädd, och sätt för att underhålla detsamma
US7680399B2 (en) * 2006-02-07 2010-03-16 Brooks Instrument, Llc System and method for producing and delivering vapor
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109545708A (zh) * 2017-09-22 2019-03-29 Asm Ip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法
KR20190034104A (ko) * 2017-09-22 2019-04-01 에이에스엠 아이피 홀딩 비.브이. 기상 반응물을 반응 챔버로 디스펜싱하는 장치 및 이와 관련된 방법
CN109545708B (zh) * 2017-09-22 2024-04-05 Asmip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法
KR20220011007A (ko) * 2020-07-20 2022-01-27 삼성전자주식회사 약액 기화 장치 및 이를 포함하는 기판 처리 장치
US11590460B2 (en) 2020-07-20 2023-02-28 Samsung Electronics Co., Ltd. Chemical solution evaporation device and substrate processing device including the same

Also Published As

Publication number Publication date
US20090020072A1 (en) 2009-01-22
JP4900110B2 (ja) 2012-03-21
KR101191028B1 (ko) 2012-10-16
US8858710B2 (en) 2014-10-14
JP2009022905A (ja) 2009-02-05

Similar Documents

Publication Publication Date Title
KR20090009745A (ko) 약액 기화 탱크 및 약액 처리 시스템
JP6511858B2 (ja) 搬送室
TWI821435B (zh) 側儲存艙、設備前端模組與操作設備前端模組的方法
KR101061696B1 (ko) 도포막 형성장치 및 도포막 형성방법
US5447294A (en) Vertical type heat treatment system
US9666454B2 (en) Wafer storage apparatus having gas charging portions and semiconductor manufacturing apparatus using the same
KR101656333B1 (ko) 소수화 처리 장치, 소수화 처리 방법 및 기억 매체
JP2008177303A (ja) 基板処理装置、基板処理方法及び記憶媒体
TWI778300B (zh) 側面儲存盒、設備前端模組、及用於操作efem的方法
JP2016162819A (ja) 搬送室
KR101873073B1 (ko) 액처리 장치, 액처리 방법 및 액처리용 기억 매체
KR100863782B1 (ko) 기판처리장치 및 기판처리방법
JP2022160598A (ja) Efemシステム及びefemシステムにおけるガス供給方法
CN106531663A (zh) 衬底处理装置以及半导体装置的制造方法
WO2020086490A1 (en) Side storage pods, equipment front end modules, and methods for operating the same
WO2017104485A1 (ja) 貯留装置、気化器、基板処理装置および半導体装置の製造方法
TW201935563A (zh) 基板處理裝置
KR101482281B1 (ko) 기판의 처리 장치
JP7048885B2 (ja) Efem
JP2023022095A (ja) 搬送室
KR102652346B1 (ko) 이에프이엠
US20230377925A1 (en) Purge flow distribution system for a substrate container and method for performing the same
WO2023076156A1 (en) Method and device to regulate purge into a container
JP2022009019A (ja) 搬送システム及び容器開閉装置
JPH02237015A (ja) 基板の表面処理装置

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150917

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 7