KR20050017585A - 고밀도 플라즈마 증착법을 이용한 갭필 방법 - Google Patents

고밀도 플라즈마 증착법을 이용한 갭필 방법

Info

Publication number
KR20050017585A
KR20050017585A KR1020030056637A KR20030056637A KR20050017585A KR 20050017585 A KR20050017585 A KR 20050017585A KR 1020030056637 A KR1020030056637 A KR 1020030056637A KR 20030056637 A KR20030056637 A KR 20030056637A KR 20050017585 A KR20050017585 A KR 20050017585A
Authority
KR
South Korea
Prior art keywords
high density
density plasma
oxide film
plasma oxide
etching
Prior art date
Application number
KR1020030056637A
Other languages
English (en)
Other versions
KR100536604B1 (ko
Inventor
차용원
나규태
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2003-0056637A priority Critical patent/KR100536604B1/ko
Priority to US10/917,659 priority patent/US7056827B2/en
Publication of KR20050017585A publication Critical patent/KR20050017585A/ko
Application granted granted Critical
Publication of KR100536604B1 publication Critical patent/KR100536604B1/ko
Priority to US11/402,166 priority patent/US7598177B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

고밀도 플라즈마 증착법을 이용한 갭필 방법을 제공한다. 이 방법에 따르면 갭을 포함하는 기판의 상부에 산소(O2) 및 시레인(SiH4)을 포함하는 반응가스를 이용하여 제1 고밀도 플라즈마 산화막을 증착한다. 제1 고밀도 플라즈마 산화막을 NF3를 포함하는 식각가스를 이용하여 소정 두께만큼 식각하고, 산소(O2), 시레인(SiH4 ) 및 불화질소(NF3)를 반응가스로 이용하여 제1 고밀도 플라즈마 산화막 상부에 제2 고밀도 플라즈마 산화막을 증착한다.

Description

고밀도 플라즈마 증착법을 이용한 갭필 방법{METHOD OF GAP-FILL USING A HIGH DENSITY PLASMA DEPOSISION}
본 발명은 반도체 소자의 제조방법에 관한 것으로써, 더 구체적으로 고밀도 플라즈마 증착법을 이용하여 기판 상에 형성된 패턴들 사이의 갭에 산화막을 채우기 위한 갭필 방법에 과한 것이다.
고밀도 플라즈마 증착법(HDPCVD;High Density Plasma Chemical Vapor Deposition)은 PECVD(Plasma Enhanced Chemical Vapor Deposition)에 비하여 낮은 압력에서 높은 플라즈마 파워에 의해 플라즈마를 유도하기 때문에 반응챔버 내부에 높은 밀도의 플라즈마를 발생시킬 수 있다. 또한, 증착막의 표면에 이온이 충돌함으로써 막의 증착과 동시에 식각이 이루어지고, 플라즈마의 진행방향에 수직인 면의 증착속도가 빠르기 때문에 우수한 갭 필 특성을 나타낸다.
그러나, 종래의 고밀도 플라즈마 증착법은 스퍼터된 물질이 반대편 측벽에 재증착되어 오버행을 형성하고, 오버행이 갭의 입구를 막아서 절연막 내에 보이드를 발생시키는 원인이된다. 또한, 식각 소오스로 사용되는 아르곤의 높은 원자량으로 인하여 하지막이 식각되는 클리핑(cliping)이 발생되는 문제점을 가지고 있다.
이러한 고밀도 플라즈마 증착법의 문제점을 극복하기 위하여 미합중국 특허번호 6,395,150호 (U.S. Pat. No. 6,395,150 entitled "VERY HIGH ASPECT RATIO GAPFILL USING HDP")는 식각소오스로 작용하는 아르곤을 원자량이 작은 헬륨으로 치환함으로써 스퍼터되는 물질의 재증착을 감소시키는 방법을 개시하고 있다.
도 1 내지 도 4는 미합중국 특허번호 6,395,150호에 개시된 갭필 방법을 설명하기 위한 공정단면도들이다.
도 1을 참조하면, 기판(100) 상에 회로 소자들(circuit elements; 520)이 그 사에 갭(510)을 만들면서 형성된다. 상기 회로 소자(520)는 트랜지스터, 컨덕터 또는 배선일 수 있다. 상기 갭(510)은 2.5:1 이상의 높은 종횡비를 가지고, 고밀도플라즈마 증착을 이용하여 채워진다.
도 2를 참조하면, 산소, 헬륨 및 시레인을 반응가스로 사용하여 절연막(525)를 계속 성장시킨다. 일반적인 고밀도 플라즈마 증착법과 달리 이 방법은 스퍼터링 소오스로 작용하는 아르곤을 사용하지 않고, 헬륨을 사용한다. 스퍼터링 소오스가 감소되더라도 회로 소자(520)의 모서리에는 패싯(530)이 형성된다. 그러나, 식각 물질이 줄어들었기 때문에 상기 패싯(530)은 상기 회로 소자(520)의 모서리로부터 먼 거리에 형성되어 더 많은 절연막(525)가 표면에 형성된다.
계속해서, 도 3 및 도 4를 참조하면, 아르곤을 헬륨으로 치환하여 증착한 결과 측벽의 재증착을 감소시켜 오버행(overhang) 또는 첨단(cusp)이 형성되는 것을 방지할 수 있고 보이드 또는 클리핑을 일으키지 않고 높은 종횡비의 갭을 매립한다.
본 발명이 이루고자 하는 기술적 과제는 고밀도 플라즈마 산화막으로 보이드 없이 갭을 매립할 수 있는 방법을 제공하는데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는 고밀도 플라즈마 산화막으로 하지막의 클리핑을 일으키지 않고 갭을 매립할 수 있는 방법을 제공하는데 있다.
상기 기술적 과제들은 증착과 식각을 반복적으로 실시하는 고밀도 플라즈마 산화막 증착법에 의해 달성되어질 수 있다. 이 방법은 갭을 포함하는 기판의 상부에 산소(O2) 및 시레인(SiH4)을 포함하는 반응가스를 이용하여 제1 고밀도 플라즈마 산화막을 증착하는 것을 포함한다. 상기 제1 고밀도 플라즈마 산화막을 NF3를 포함하는 식각가스를 이용하여 소정 두께만큼 식각하고, 산소(O2), 시레인(SiH4) 및 불화질소(NF3)를 반응가스로 이용하여 상기 제1 고밀도 플라즈마 산화막 상부에 제2 고밀도 플라즈마 산화막을 증착한다. 상기 제1 플라즈마 산화막 증착 및 식각과 상기 제2 고밀도 플라즈마 산화막 증착은 인-시튜로 진행할 수 있다.
상기 제1 고밀도 플라즈마 산화막은 상기 갭에 보이드가 발생하지 않을 만큼의 두께로 증착하는 것이 바람직하고, 상기 제1 고밀도 플라즈마 산화막은 화학적 건식식각법(Chemical Dry Etching)을 이용하여 식각할 수 있다. 이 때, 불화질소(NF3)와 함께 수소(H2)를 식각가스에 더 포함할 수도 있다. 상기 제2 고밀도 플라즈마 산화막은 650℃ 내지 800℃에서 증착함으로써 하지막의 클리핑을 방지할 수 있다.
이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되어지는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되어지는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 나타낸다.
도 5는 본 발명의 제1 실시예에 따른 갭필 방법을 나타낸 흐름도이다.
도 6 내지 도 8은 본 발명의 제1 실시예에 따른 갭필 방법을 설명하기 위한 공정단면도들이다.
도 5의 S1 및 도 6을 참조하면, 반도체 기판(100)에 갭(103)을 갖는 복수개의 패턴들(102)을 형성한다. 상기 패턴들(102)은 소자분리를 위한 트렌치 패턴, 트랜지스터의 게이트 패턴 또는 배선 패턴일 수 있다. 상기 갭(103)을 포함하는 기판(100)의 상부에 제1 고밀도 플라즈마 산화막(104)을 형성한다. 상기 제1 고밀도 플라즈마 산화막(104)은 시레인 및 산소를 포함하는 포함하는 반응가스를 사용하여 증착할 수 있다. 예컨대, 시레인 10 내지 300 sccm, 산소 10 내지 300 sccm, 헬륨 및 아르곤 중 한가지 또는 이들의 혼합가스 0 내지 500 sccm을 공급하고, 1.5 내지 5.0 mTorr의 낮은 압력에서 증착공정을 수행할 수 있다. 더 바람직하게는 산소와 시레인의 공급비(O2/SiH4)가 1.0 내지 2.5일 때 안정된 산화막을 증착할 수 있다. 이 때, 플라즈마 파워는 3000 내지 15000 Watt, 바이어스 파워는 0 내지 3000 Watt를 가해줄 수 있다. 시레인 및 산소는 증착 소오스로써 상기 기판(100)의 상부에 산화막을 증착시키고, 이와 동시에 산소 및 불활성 가스로 공급되는 헬륨 또는 아르곤은 이 산화막의 표면에 충돌하여 스퍼터링에 의해 증착된 산화막의 식각이 수행된다. 고밀도 플라즈마 산화막 증착 과정에서 스퍼터된 산화막은 반대편 측벽에 재증착되어 오버행(105)이 형성된다. 상기 제1 고밀도 플라즈마 산화막(104)은 상기 오버행(105)에 의해 갭의 입구가 막혀 보이드가 발생되지 않을 만큼의 두께로 형성하는 것이 바람직하다. 따라서, 종횡비가 높을 수록 상기 제1 고밀도 플라즈마 산화막(104)은 얇게 형성하는 것이 바람직하다. 예컨대, 상기 제1 고밀도 플라즈마 산화막(104)은 갭 깊이의 10 내지 80 % 정도 형성되도록 하는 것이 바람직하다. 또한, 증착 초기에 증착에 대한 식각 비(E/D비)를 낮춰 패턴들(102) 및 기판(100)의 표면이 손상되는 클리핑(clipping)이 발생하는 것을 방지하는 것이 바람직하다. E/D비는 바이어스 파워를 낮추거나, 원자량이 작은 헬륨을 불활성 가스로 사용함으로써 낮출 수 있다.
도 5의 S2 및 도 7을 참조하면, 불화질소(NF3)를 포함하는 식각가스를 이용하여 상기 제1 고밀도 플라즈마 산화막(104)을 소정 두께 식각한다. 이 때, 상기 오버행(105)을 제거하고 상기 제1 고밀도 플라즈마 산화막(104)이 증착된 갭(103)의 종횡비를 낮추기 위하여, 상기 제1 고밀도 플라즈마 산화막(104)은 화학적 건식식각법(chemical dry etching)을 사용하여 식각하는 것이 바람직하다. 상기 화학적 건식식각은 필요한 두께만큼 상기 제1 고밀도 플라즈마 산화막(104)이 형성되면, 시레인의 공급을 중단하고 불화질소를 챔버내에 공급하는 것으로써 실시할 수 있다. 예컨대, 산소(O2) 10 내지 300 sccm, 아르곤(Ar) 0 내지 500 sccm 및 불화질소(NF3) 100 내지 500 sccm을 공급하고 1.5 내지 5.0 mTorr의 낮은 압력에서 식각공정을 수행할 수 있다. 이 때, 플라즈마 파워는 3000 Watt 내지 5000 Watt, 바이어스 파워는 0 내지 1500 Watt를 가해주는 것이 바람직하다. 상기 제1 고밀도 플라즈마 산화막(104)의 일부분을 식각함으로써 상기 오버행(105)을 제거할 수 있다. 상기 제1 고밀도 플라즈마 산화막(104)은 하지막의 손상을 방지하기 위하여 상기 제1 고밀도 플라즘 산화막(104) 두께의 1 내지 30% 정도 식각하는 것이 바람직하다.
도 5의 S3 및 도 8을 참조하면, 불화질소(NF3)를 포함하는 반응가스를 이용하여 상기 제1 고밀도 플라즈마 산화막(104)의 상부에 제2 고밀도 플라즈마 산화막(106)을 증착한다. 상기 제2 고밀도 플라즈마 산화막(106)은 상기 갭이 완전히 채워지도록 형성한다. 상기 제2 고밀도 플라즈마 산화막(106)은 불화질소(NF3), 산소(O2) 및 시레인(SiH4)를 반응가스로 사용하여 형성할 수 있다. 본 발명에서, 스퍼터에 의한 재증착을 방지하기 위하여 상기 제2 고밀도 플라즈마 산화막(106) 증착시 불활성 가스를 공급하지 않는 것이 바람직하다. 증착시 공급되는 불화질소(NF3)에 의해 상기 제2 고밀도 플라즈마 산화막(106)은 증착과 동시에 화학적 식각된다. 따라서, 산소에 의해 오버행이 발생하더라도, 불화질소에 의해 식각이 동시에 수행되면서 산화막이 증착되기 때문에 오버행의 제거할 수 있고 매립성을 향상시킬 수 있다. 또한, 오버행의 제거 및 매립성의 향상은 상기 제1 고밀도 플라즈마 산화막(104) 식각시 식각가스에 수소를 첨가함으로써 더욱 더 효과적으로 얻어질 수 있다. 오버행이 발생할 수 있는 산화막의 표면에 수소가 확산되어 있으면, 스퍼터된 라디칼과 수소가 결합하여 재증착이 방지된다.
상기 반응가스는 산소 10 내지 400 sccm, 시레인 10 내지 400 sccm, 불화질소 10 내지 300 sccm을 포함하고, 증착은 1.5 내지 5.0 mTorr의 낮은 압력에서 실시할 수 있다. 이 때, 증착과 동시에 스퍼터링 및 식각이 수행되도록 바이어스 파워를 10 내지 5000 Watt 인가하고, 플라즈마 파워는 3000 내지 15000 Watt를 인가하여 플라즈마를 유도할 수 있다. 제2 고밀도 플라즈마 산화막(106) 증착시 불화질소에 의해 갭의 측벽이 손상될 수 있는데, 이는 증착공정의 온도를 높임으로써 방지할 수 있다. 예컨대, 상기 제2 고밀도 플라즈마 산화막(106)의 증착은 650 ℃ 내지 800 ℃에서 실시하는 것이 바람직하다. 더욱 더 바람직하게는 산소 및 시레인의 유량비(O2/SiH4)를 1.0 내지 2.5로 유지함으로써 안정된 산화막을 형성할 수 있고, 불화수소(NF3)에 의한 식각과 산소(O2) 및 시레인(SiH4)의 증착이 적절하게 적용되기 위하여 불화수소(NF3)의 유량비()는 0.1 내지 0.5로 유지하는 것이 바람직하다.
본 발명은 종횡비가 높은 트렌치 영역에 절연막을 채우는 트렌치 소자분리 기술에 적용할 수 있다.
도 9는 트렌치 소자분리 기술에 적용된 본 발명의 제2 실시예에 따른 갭필 방법을 나타낸 흐름도이다.
도 10 내지 도 13은 본 발명의 제2 실시예에 따른 갭필 방법을 설명하기 위한 공정단면도들이다.
도 9의 S11 및 도 10을 참조하면, 반도체 기판(200)에 하드 마스크막(202)을 형성하고, 상기 하드마스크막(202) 및 상기 반도체 기판(200)을 식각하여 복수개의 트렌치(204)를 형성한다. 도시하지는 않았지만, 상기 트렌치(204)를 형성한 후 식각손상을 치유하기 위한 열산화 공정이 수행되고, 상기 트렌치(204)가 형성된 기판의 전면에 질화막 라이너를 콘포말하게 형성할 수 있다.
도 9의 S12 및 도 11을 참조하면, 상기 트렌치(204)를 포함하는 기판(200)의 상부에 제1 고밀도 플라즈마 산화막(206)을 형성한다. 상기 제1 고밀도 플라즈마 산화막(206)은 시레인 10 내지 300 sccm, 산소 10 내지 300 sccm, 헬륨 및 아르곤 중 한가지 또는 이들의 혼합가스 0 내지 500 sccm을 공급하고, 1.5 내지 5.0 mTorr의 낮은 압력에서 증착할 수 있다. 바람직하게는 산소와 시레인의 공급비(O2/SiH4)가 1.0 내지 2.5일 때 안정된 산화막을 증착할 수 있다. 상기 제1 고밀도 플라즈마 산화막(206) 증착시 플라즈마 파워는 3000 내지 15000 Watt, 바이어스 파워는 0 내지 3000 Watt를 인가하여 플라즈마를 유도할 수 있다. 고밀도 플라즈마 산화막 증착 과정에서 스퍼터된 산화막은 반대편 측벽에 재증착되어 상기 트렌치(204)의 입구에 오버행(210)을 형성한다. 상기 제1 고밀도 플라즈마 산화막(206)은 상기 오버행(210)에 의해 상기 트렌치(204)의 입구가 막혀 보이드가 발생되지 않을 만큼의 두께로 형성하는 것이 바람직하다.예컨대, 상기 제1 고밀도 플라즈마 산화막(206)은 상기 트렌치(204) 깊이의 10 내지 80 % 정도 형성되도록 하는 것이 바람직하다.
도 9의 S13 및 도 12을 참조하면, 불화질소(NF3)를 포함하는 식각가스를 이용하여 상기 제1 고밀도 플라즈마 산화막(206)을 소정 두께 식각한다. 이 때, 상기 오버행(210)을 제거하고 상기 제1 고밀도 플라즈마 산화막(206)이 증착된 갭의 종횡비를 낮추기 위하여, 상기 제1 고밀도 플라즈마 산화막(206)은 화학적 건식식각법(chemical dry etching)을 사용하여 식각하는 것이 바람직하다. 상기 화학적 건식식각은 필요한 두께만큼 상기 제1 고밀도 플라즈마 산화막(206)이 형성되면, 시레인의 공급을 중단하고 불화질소(NF3)를 100 내지 500 sccm을 공급함으로써 실시할 수 있다.상기 화학적 식각은 1.5 내지 5.0 mTorr의 낮은 압력에서 식각공정을 수행할 수 있다. 이 때, 플라즈마 파워는 3000 Watt 내지 5000 Watt, 바이어스 파워는 0 내지 1500 Watt를 가해주는 것이 바람직하다. 상기 제1 고밀도 플라즈마 산화막(206)의 일부분을 식각함으로써 상기 오버행(210)을 제거할 수 있다. 상기 제1 고밀도 플라즈마 산화막(206)은 하지막의 손상을 방지하기 위하여 상기 제1 고밀도 플라즈마 산화막(206) 두께의 1 내지 30% 정도 식각하는 것이 바람직하다.
도 9의 S14 및 도 13을 참조하면, 불화질소(NF3)를 포함하는 반응가스를 이용하여 상기 제1 고밀도 플라즈마 산화막(206)의 상부에 제2 고밀도 플라즈마 산화막(212)을 증착한다. 상기 제2 고밀도 플라즈마 산화막(212)은 상기 트렌치(204)가 완전히 채워지도록 형성한다. 상기 제2 고밀도 플라즈마 산화막(212)은 불화질소(NF3), 산소(O2) 및 시레인(SiH4)를 반응가스로 사용하여 형성할 수 있다. 본 발명에서, 스퍼터에 의한 재증착을 방지하기 위하여 상기 제2 고밀도 플라즈마 산화막(212) 증착시 불활성 가스를 공급하지 않는 것이 바람직하다. 증착 시 공급되는 불화질소(NF3)에 의해 상기 제2 고밀도 플라즈마 산화막(212)은 증착과 동시에 화학적 식각된다. 따라서, 산소에 의해 오버행이 발생하더라도, 불화질소에 의해 식각이 동시에 수행되면서 산화막이 증착되기 때문에 오버행의 제거할 수 있고 매립성을 향상시킬 수 있다. 또한, 오버행의 제거 및 매립성의 향상은 상기 제1 고밀도 플라즈마 산화막(206) 식각시 식각가스에 수소를 첨가함으로써 더욱 더 효과적으로 얻어질 수 있다. 오버행이 발생할 수 있는 산화막의 표면에 수소가 확산되어 있으면, 스퍼터된 라디칼과 수소가 결합하여 재증착이 방지된다.
상기 반응가스는 산소 10 내지 400 sccm, 시레인 10 내지 400 sccm, 불화질소 10 내지 300 sccm을 포함하고, 증착은 1.5 내지 5.0 mTorr의 낮은 압력에서 실시할 수 있다. 이 때, 증착과 동시에 스퍼터링 및 식각이 수행되도록 바이어스 파워를 10 내지 5000 Watt 인가하고, 플라즈마 파워는 3000 내지 15000 Watt를 인가하여 플라즈마를 유도할 수 있다. 제2 고밀도 플라즈마 산화막(212) 증착시 불화질소에 의해 갭의 측벽이 손상될 수 있는데, 이는 증착공정의 온도를 높임으로써 방지할 수 있다. 예컨대, 상기 제2 고밀도 플라즈마 산화막(212)의 증착은 650 ℃ 내지 800 ℃에서 실시하는 것이 바람직하다. 더욱 더 바람직하게는 산소 및 시레인의 유량비(O2/SiH4)를 1.0 내지 2.5로 유지함으로써 안정된 산화막을 형성할 수 있고, 불화수소(NF3)에 의한 식각과 산소(O2) 및 시레인(SiH4)의 증착이 적절하게 적용되기 위하여 불화수소(NF3)의 유량비()는 0.1 내지 0.5로 유지하는 것이 바람직하다.
본 발명에서, 상기 제1 고밀도 플라즈마 산화막의 증착 및 식각과 상기 제2 고밀도 플라즈마 산화막의 증착은 동일한 챔버내에서 인 시튜로 실시할 수 있다. 이 경우, 제1 고밀도 플라즈마 산화막이 증착된 후 시레인의 공급을 중단하고 불화수소를 공급함으로써 제1 고밀도 플라즈마 산화막을 식각할 수 있고, 소정시간동안 제1 고밀도 플라즈마 산화막을 식각한 후 시레인을 공급하고 불활성 가스의 공급을 중단함으로써 제2 고밀도 플라즈마 산화막을 형성할 수 있다. 이와 달리, 생산성(throughput)을 향상시키기 위하여 복수개의 챔버로 구성된 증착장비에서, 각각의 공정을 수행할 수도 있다. 이 경우, 제1 고밀도 플라즈마 산화막 증착 및 식각과 제2 고밀도 플라즈마 산화막의 증착을 복수개의 웨이퍼에서 동시에 실시할 수 있는 장점이 있다.
도 14는 트렌치 소자분리 기술에 적용된 본 발명의 제3 실시예에 따른 갭필 방법을 나타낸 흐름도이다.
도 15 및 16은 본 발명의 제3 실시예에 따른 갭필 방법을 설명하기 위한 공정단면도들이다.
제3 실시예에서 반도체 기판에 트렌치를 형성하는 단계(S21 단계), 트렌치 내에 제1 고밀도 플라즈마 산화막을 증착하는 단계(S22 단계) 및 소정 두께의 제1 고밀도 플라즈마 산화막을 식각하는 단계(S23)은 도 10 내지 도 12를 참조하여 설명한 상기 제2 실시예와 동일하게 실시한다.
도 14의 S24 및 도 15를참조하면, 상기 제1 고밀도 플라즈마 산화막(206)을 소정 NF3를 이용하여 화학적 식각한 후, 습식식각법으로 상기 제1 고밀도 플라즈마 산화막(206)의 일부분을 식각한다. 그 결과, 상기 트렌치의 갭의 종횡비가 더욱 낮아지고, 상기 하드마스크막(202) 상부의 상기 제1 고밀도 플라즈마 산화막(206)의 표면이 라운드된다. 라운드된 제1 고밀도 플라즈마 산화막(206)은 후속의 고밀도 플라즈마 증착시 반대편 측벽에 재증착을 줄일 수 있다. 상기 습식식각은 불산(HF), LAL(NH4F와 HF의 혼합액) 또는 BOE(Bufferd Oxide Etchant)를 사용하여 실시할 수 있다.
도 14의 S25 및 도 16을 참조하면, 질소(NF3)를 포함하는 반응가스를 이용하여 상기 제1 고밀도 플라즈마 산화막(206)의 상부에 제2 고밀도 플라즈마 산화막(212)을 증착한다. 상기 제2 고밀도 플라즈마 산화막(212)은 상기 트렌치(204)가 완전히 채워지도록 형성한다. 상기 제2 고밀도 플라즈마 산화막(212)은 불화질소(NF3), 산소(O2) 및 시레인(SiH4)를 반응가스로 사용하여 형성할 수 있다. 본 발명에서, 스퍼터에 의한 재증착을 방지하기 위하여 상기 제2 고밀도 플라즈마 산화막(212) 증착시 불활성 가스를 공급하지 않는 것이 바람직하다. 증착 시 공급되는 불화질소(NF3)에 의해 상기 제2 고밀도 플라즈마 산화막(212)은 증착과 동시에 화학적 식각된다. 따라서, 산소에 의해 오버행이 발생하더라도, 불화질소에 의해 식각이 동시에 수행되면서 산화막이 증착되기 때문에 오버행의 제거할 수 있고 매립성을 향상시킬 수 있다. 또한, 오버행의 제거 및 매립성의 향상은 상기 제1 고밀도 플라즈마 산화막(206) 식각시 식각가스에 수소를 첨가함으로써 더욱 더 효과적으로 얻어질 수 있다. 오버행이 발생할 수 있는 산화막의 표면에 수소가 확산되어 있으면, 스퍼터된 라디칼과 수소가 결합하여 재증착이 방지된다.
상기 반응가스는 산소 10 내지 400 sccm, 시레인 10 내지 400 sccm, 불화질소 10 내지 300 sccm을 포함하고, 증착은 1.5 내지 5.0 mTorr의 낮은 압력에서 실시할 수 있다. 이 때, 증착과 동시에 스퍼터링 및 식각이 수행되도록 바이어스 파워를 10 내지 5000 Watt 인가하고, 플라즈마 파워는 3000 내지 15000 Watt를 인가하여 플라즈마를 유도할 수 있다. 제2 고밀도 플라즈마 산화막(212) 증착시 불화질소에 의해 갭의 측벽이 손상될 수 있는데, 이는 증착공정의 온도를 높임으로써 방지할 수 있다. 예컨대, 상기 제2 고밀도 플라즈마 산화막(212)의 증착은 650 ℃ 내지 800 ℃에서 실시하는 것이 바람직하다. 더욱 더 바람직하게는 산소 및 시레인의 유량비(O2/SiH4)를 1.0 내지 2.5로 유지함으로써 안정된 산화막을 형성할 수 있고, 불화수소(NF3)에 의한 식각과 산소(O2) 및 시레인(SiH4)의 증착이 적절하게 적용되기 위하여 불화수소(NF3)의 유량비()는 0.1 내지 0.5로 유지하는 것이 바람직하다.
상술한 것과 같이 본 발명에 따르면, 높은 종횡비를 갖는 갭을 산화막으로 채우기 위해서, 소정두께의 제1 고밀도 플라즈마 산화막을 형성하고, 상기 제1 고밀도 플라즈마 산화막의 일부를 제거한 후 갭을 완전히 채우는 제2 고밀도 플라즈마 산화막을 형성한다. 상기 고밀도 플라즈마 산화막의 일부를 제거하는 동안 갭의 입구에 형성된 오버행을 제거할 수 있고, 아르곤 또는 헬륨과 같은 불활성 가스를 첨가하지 않고, 불화질소를 반응가스에 첨가하여 제2 고밀도 플라즈마 산화막을 형성함으로써 제2 고밀도 플라즈마 산화막 형성시 오버행의 형성을 억제할 수 있다.
도 1 내지 도 4는 종래의 고밀도 플라즈마 증착법을 이용한 갭필 방법을 설명하기 위한 공정단면도들이다.
도 5는 본 발명의 제1 실시예에 따른 갭필 방법을 나타낸 흐름도이다.
도 6 내지 도 8은 본 발명의 제1 실시예에 따른 갭필 방법을 설명하기 위한 공정단면도들이다.
도 9는 본 발명의 제2 실시예에 따른 갭필 방법을 나타낸 흐름도이다.
도 10 내지 도 13은 본 발명의 제2 실시예에 따른 갭필 방법을 설명하기 위한 공정단면도들이다.
도 14는 트렌치 소자분리 기술에 적용된 본 발명의 제3 실시예에 따른 갭필 방법을 나타낸 흐름도이다.
도 15 및 16은 본 발명의 제3 실시예에 따른 갭필 방법을 설명하기 위한 공정단면도들이다.

Claims (19)

  1. 기판 상에 형성된 패턴들 사이의 갭을 산화막으로 매립함에 있어서,
    상기 갭을 포함한 상기 기판의 상부에 산소(O2) 및 시레인(SiH4)을 포함하는 반응가스를 이용하여 제1 고밀도 플라즈마 산화막을 증착하는 단계;
    NF3를 포함하는 식각가스를 이용하여 상기 제1 고밀도 플라즈마 산화막을 소정 두께만큼 식각하는 단계; 및
    산소(O2), 시레인(SiH4) 및 불화질소(NF3)를 반응가스로 이용하여 상기 제1 고밀도 플라즈마 산화막 상부에 제2 고밀도 플라즈마 산화막을 증착하는 단계를 포함하는 갭필 방법.
  2. 제 1 항에 있어서,
    상기 제1 플라즈마 산화막 증착 및 식각과 상기 제2 고밀도 플라즈마 산화막 증착은 인-시튜로 진행하는 것을 특징으로 하는 갭필 방법.
  3. 제 1 항에 있어서,
    상기 제1 고밀도 플라즈마 산화막은 상기 갭에 보이드가 발생하지 않을 만큼의 두께로 증착하는 것을 특징으로 하는 갭필 방법.
  4. 제 1 항에 있어서,
    상기 제1 고밀도 플라즈마 산화막의 식각은 화학적 건식식각법(Chemical Dry Etching)을 이용하는 것을 특징으로 하는 갭필 방법.
  5. 제 1 항에 있어서,
    상기 식각가스는,
    산소(O2) 10 내지 300 sccm, 아르곤(Ar) 0 내지 500 sccm 및 불화질소(NF3) 100 내지 500 sccm을 포함하는 것을 특징으로 하는 갭필 방법.
  6. 제 1 항에 있어서,
    상기 식각가스는 수소(H2)를 포함하는 것을 특징으로 하는 갭필 방법.
  7. 제 1 항에 있어서,
    상기 제1 고밀도 플라즈마 산화막을 식각하는 단계에서,
    플라즈마 파워는 3000 Watt 내지 5000 Watt이고,
    바이어스 파워는 0 내지 1500 Watt인 것을 특징으로 하는 갭필 방법.
  8. 제 1 항에 있어서,
    상기 제2 고밀도 플라즈마 산화막의 증착온도는 650℃ 내지 800℃인 것을 특징으로 하는 갭필 방법.
  9. 제 1 항에 있어서,
    상기 제2 고밀도 플라즈마 산화막을 형성하는 단계에서,
    산소(O2)의 공급량은 10 내지 400 sccm이고,
    시레인(SiH4)의 공급량은 10 내지 400 sccm이고,
    불화질소(NF3)의 공급량은 10 내지 300 sccm인 것을 특징으로 하는 갭필 방법.
  10. 제 9 항에 있어서,
    시레인 대비 산소의 유량비()는 1 내지 2.5인 것을 특징으로 하는 갭필 방법.
  11. 제 9 항에 있어서,
    반응가스 대비 불화질소의 유량비()는 0.1 내지 0.5인 것을 특징으로 하는 갭필 방법.
  12. 제 1 항에 있어서,
    상기 제2 고밀도 플라즈마 산화막 증착 이전에,
    습식 식각법을 이용하여 상기 제1 고밀도 플라즈마 산화막의 일부분을 식각하는 단계를 더 포함하는 갭필 방법.
  13. 제 12 항에 있어서,
    상기 습식 식각법은 불화수소, LAL 또는 BOE 용액을 이용하여 실시하는 것을 특징으로 하는 갭필 방법.
  14. 기판 상에 형성된 패턴들 사이의 갭을 산화막으로 매립함에 있어서,
    상기 갭을 포함한 상기 기판의 상부에 산소(O2) 및 시레인(SiH4)을 포함하는 반응가스를 사용하여 제1 고밀도 플라즈마 산화막을 증착하는 단계;
    산소 및 불화질소를 포함하는 식각가스를 이용한 화학적 건식식각법으로 상기 제1 고밀도 플라즈마 산화막을 소정 두께만큼 식각하는 단계; 및
    650℃ 내지 800℃의 증착온도에서, 산소(O2), 시레인(SiH4) 및 불화질소(NF3)를 반응가스로 이용하여 상기 제1 고밀도 플라즈마 산화막 상부에 제2 고밀도 플라즈마 산화막을 증착하는 단계를 포함하는 갭필 방법.
  15. 제 14 항에 있어서,
    상기 제1 고밀도 플라즈마 산화막을 식각하는 단계에서,
    플라즈마 파워는 3000 Watt 내지 5000 Watt이고,
    바이어스 파워는 0 내지 3000 Watt이고,
    식각가스의 공급량은 산소(O2) 10 내지 300 sccm이고,
    아르곤(Ar)의 공급량은 0 내지 500 sccm이고,
    불화질소(NF3)의 공급량은 100 내지 500 sccm인 것을 특징으로 하는 갭필 방법.
  16. 제 15 항에 있어서,
    상기 식각가스에 수소(H2)를 더 포함하는 것을 특징으로 하는 갭필 방법.
  17. 제 14 항에 있어서,
    상기 제2 고밀도 플라즈마 산화막 증착단계에서,
    시레인에 대한 산소의 유량비()는 1 내지 2.5이고,
    불화질소의 유량비()는 0.1 내지 0.5인 것을 특징으로 하는 갭필 방법.
  18. 제 14 항에 있어서,
    상기 제2 고밀도 플라즈마 산화막 증착 이전에,
    습식 식각법을 이용하여 상기 제1 고밀도 플라즈마 산화막의 일부분을 식각하는 단계를 더 포함하는 갭필 방법.
  19. 제 18 항에 있어서,
    상기 습식 식각법은 불화수소, LAL 또는 BOE 용액을 이용하여 실시하는 것을 특징으로 하는 갭필 방법.
KR10-2003-0056637A 2003-08-14 2003-08-14 고밀도 플라즈마 증착법을 이용한 갭필 방법 KR100536604B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2003-0056637A KR100536604B1 (ko) 2003-08-14 2003-08-14 고밀도 플라즈마 증착법을 이용한 갭필 방법
US10/917,659 US7056827B2 (en) 2003-08-14 2004-08-13 Methods of filling trenches using high-density plasma deposition (HDP)
US11/402,166 US7598177B2 (en) 2003-08-14 2006-04-11 Methods of filling trenches using high-density plasma deposition (HDP)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0056637A KR100536604B1 (ko) 2003-08-14 2003-08-14 고밀도 플라즈마 증착법을 이용한 갭필 방법

Publications (2)

Publication Number Publication Date
KR20050017585A true KR20050017585A (ko) 2005-02-22
KR100536604B1 KR100536604B1 (ko) 2005-12-14

Family

ID=36816206

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0056637A KR100536604B1 (ko) 2003-08-14 2003-08-14 고밀도 플라즈마 증착법을 이용한 갭필 방법

Country Status (2)

Country Link
US (2) US7056827B2 (ko)
KR (1) KR100536604B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
KR100713322B1 (ko) * 2005-10-04 2007-05-04 동부일렉트로닉스 주식회사 반도체 장치의 소자 분리막 형성 방법
KR100771542B1 (ko) * 2006-05-09 2007-10-30 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 형성방법

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100607409B1 (ko) * 2004-08-23 2006-08-02 삼성전자주식회사 기판 식각 방법 및 이를 이용한 반도체 장치 제조 방법
KR100746223B1 (ko) * 2005-09-09 2007-08-03 삼성전자주식회사 반도체소자의 트렌치 소자분리 방법
KR100781874B1 (ko) * 2006-12-26 2007-12-05 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20090261105A1 (en) * 2007-11-27 2009-10-22 Rubbermaid Incorporated Waste can
KR20090054518A (ko) 2007-11-27 2009-06-01 삼성전자주식회사 트렌치 갭필 방법
US20100041241A1 (en) * 2008-08-12 2010-02-18 Texas Instruments Incorporated High density plasma dielectric desposition for void free gap fill
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
MY162310A (en) * 2011-06-02 2017-05-31 Silterra Malaysia Sdn Bhd Method for fabricating a bottom oxide layer in a trench
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9280051B2 (en) * 2013-06-12 2016-03-08 Applied Materials, Inc. Methods for reducing line width roughness and/or critical dimension nonuniformity in a patterned photoresist layer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11075123B2 (en) * 2019-09-16 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming isolation structure having improved gap-fill capability
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3092185B2 (ja) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 半導体装置の製造方法
US5683945A (en) * 1996-05-16 1997-11-04 Siemens Aktiengesellschaft Uniform trench fill recess by means of isotropic etching
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
KR20020044001A (ko) 2000-12-05 2002-06-14 윤종용 반도체 장치의 미세 패턴들간의 갭을 채우는 절연층형성방법
KR100366639B1 (ko) * 2001-03-23 2003-01-06 삼성전자 주식회사 다공성 산화막 플러그에 의한 저저항 컨택 형성방법 및이를 이용한 반도체 장치의 형성방법
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
KR100384832B1 (ko) 2001-06-30 2003-05-23 주식회사 하이닉스반도체 반도체 소자의 층간 절연막 형성방법
KR100477810B1 (ko) * 2003-06-30 2005-03-21 주식회사 하이닉스반도체 Nf3 hdp 산화막을 적용한 반도체 소자 제조방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100689826B1 (ko) * 2005-03-29 2007-03-08 삼성전자주식회사 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
KR100713322B1 (ko) * 2005-10-04 2007-05-04 동부일렉트로닉스 주식회사 반도체 장치의 소자 분리막 형성 방법
KR100771542B1 (ko) * 2006-05-09 2007-10-30 주식회사 하이닉스반도체 반도체 소자의 트렌치 소자분리막 형성방법

Also Published As

Publication number Publication date
US20060183320A1 (en) 2006-08-17
KR100536604B1 (ko) 2005-12-14
US7056827B2 (en) 2006-06-06
US7598177B2 (en) 2009-10-06
US20050037610A1 (en) 2005-02-17

Similar Documents

Publication Publication Date Title
KR100536604B1 (ko) 고밀도 플라즈마 증착법을 이용한 갭필 방법
KR100689826B1 (ko) 불소 함유된 화학적 식각 가스를 사용하는 고밀도 플라즈마화학기상증착 방법들 및 이를 채택하여 반도체 소자를제조하는 방법들
US6949447B2 (en) Method for fabricating isolation layer in semiconductor device
US20020052119A1 (en) In-situ flowing bpsg gap fill process using hdp
US20120270380A1 (en) Method for forming isolation layer in semiconductor device
KR20110104482A (ko) 낮은 에치 레이트 유전체 라이너들을 이용한 갭충진 개선
US20050136686A1 (en) Gap-fill method using high density plasma chemical vapor deposition process and method of manufacturing integrated circuit device
KR100477810B1 (ko) Nf3 hdp 산화막을 적용한 반도체 소자 제조방법
KR20060105857A (ko) 반도체 소자의 트렌치 소자분리막 형성방법
KR20040059842A (ko) 반도체 장치의 콘택트 홀 형성 방법
US7026256B2 (en) Method for forming flowable dielectric layer in semiconductor device
CN114420632A (zh) 半导体器件的制作方法
US7795151B2 (en) Methods of forming a trench having side surfaces including a uniform slope
KR100524805B1 (ko) 반도체 소자의 트렌치 갭필 방법
KR20020019287A (ko) 반도체소자의 트렌치 형성방법
KR100656281B1 (ko) 디이이디 방식을 이용한 반도체소자의 갭필 방법
KR20010106956A (ko) 반도체 장치의 트렌치내 버블 결함을 방지하는 방법
US6753237B1 (en) Method of shallow trench isolation fill-in without generation of void
KR100877257B1 (ko) 반도체 소자의 트렌치 매립방법
KR20040008421A (ko) 반도체소자의 형성방법
KR20060011416A (ko) 반도체소자의 트렌치 매립 방법
KR20060075928A (ko) 반도체소자의 갭필 방법
KR20020010816A (ko) 반도체소자의 콘택 형성 방법
KR100538809B1 (ko) Nf3 hdp 산화막을 이용한 소자분리막 형성방법
KR100619395B1 (ko) 반도체 소자 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 9