KR20040008421A - 반도체소자의 형성방법 - Google Patents

반도체소자의 형성방법 Download PDF

Info

Publication number
KR20040008421A
KR20040008421A KR1020020042058A KR20020042058A KR20040008421A KR 20040008421 A KR20040008421 A KR 20040008421A KR 1020020042058 A KR1020020042058 A KR 1020020042058A KR 20020042058 A KR20020042058 A KR 20020042058A KR 20040008421 A KR20040008421 A KR 20040008421A
Authority
KR
South Korea
Prior art keywords
oxide film
hdp cvd
cvd oxide
forming
semiconductor substrate
Prior art date
Application number
KR1020020042058A
Other languages
English (en)
Other versions
KR100465601B1 (ko
Inventor
김동현
원종수
윤양한
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2002-0042058A priority Critical patent/KR100465601B1/ko
Publication of KR20040008421A publication Critical patent/KR20040008421A/ko
Application granted granted Critical
Publication of KR100465601B1 publication Critical patent/KR100465601B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체소자의 형성방법에 관한 것으로, 보이드 ( void ) 에 의한 반도체 소자의 특성 열화를 방지하기 위하여, 반도체기판 상의 갭필 영역에 HDP CVD ( high density plasma chemical vapor deposition ) 산화막 증착과 스퍼터링 식각을 반복해 상기 갭필 영역을 갭필하는 HDP CVD 산화막을 형성하되, 상기 스퍼터링 식각의 최초 식각시 산소가스만을 이용하여 상기 갭필 영역 상측의 CD를 크게 형성함으로써 넌-보이드 ( non-void ) HDP CVD 산화막을 형성함으로써 소자의 특성 및 신뢰성을 향상시키고 그에 따른 반도체소자의 고집적화를 가능하게 하는 기술이다.

Description

반도체소자의 형성방법{A method for forming a semiconductor device}
본 발명은 반도체소자의 형성방법에 관한 것으로, 특히 소자의 고집적화에 따른 소자의 제조 공정시 형성되는 보이드 ( void ) 가 유발되지 않도록 하는 증착방법에 관한 것이다.
일반적으로, HDP CVD 절연막은 트렌치형 소자분리막을 형성할 때 소자분리막의 디싱 ( dishing ) 현상이 유발되는 현상을 방지하는데 사용된다.
상기 소자분리막으로 사용되는 HDP CVD 절연막은 사일렌 가스와 산소가스를 이용하여 실시함으로써 증착공정과 스퍼터링 식각공정이 반복되어 실시되는 형태로 형성된다.
그러나, 반도체소자의 고집적화에 따라 반도체소자에 형성되는 구조물이 갖는 높은 단차로 인한 높은 에스펙트비로 인하여 증착이 완전하게 이루어지지 않고 그에 따른 보이드가 유발된다.
도 1a 내지 도 1d 는 종래기술의 제1실시예에 따른 반도체소자의 형성방법을 도시한 단면도로서, 소자분리 영역에 형성된 트렌치가 깊게 형성된 것을 도시하며, 갭필 ( gap fill ) 이 필요한 다른 부분에 적용할 수도 있다.
도 1a를 참조하면, 반도체기판(11) 상부에 패드산화막(13)과 질화막(15)을 적층한다.
그리고, 소자분리마스크(도시안됨)를 이용한 사진식각공정으로 상기 질화막(15), 패드산화막(13) 및 일정깊이의 반도체기판(11)을 식각하여 트렌치(17)를 형성한다.
그리고, 상기 트렌치(17)를 갭필하는 HDP CVD 산화막을 증착한다.
이때, 상기 HDP CVD 산화막 증착공정은 사일렌 가스와 산소가스를 이용하여 증착과 스퍼터링 식각공정을 반복하여 실시하는 것이다.
상기 도 1a의 점선은 제1HDP CVD 산화막(18)을 도시하고 "19"는 상기 제1 HDP CVD 산화막(18)이 제1스퍼터링 식각된 것을 도시한다.
도 1b 내지 도 1d 를 참조하면, "19", "21", "23" 및 "25" 와 같이 각각 증착과 스퍼터링 식각을 반복하여 상기 트렌치(17)를 갭필하는 소자분리막을 형성한다.
여기서, "27" 은 보이드 ( void ) 가 유발된 것을 도시한다.
도 2 는 상기 도 1d 의 보이드가 형성된 것을 도시한 셈사진이다.
도 3 은 반도체소자의 콘택 공정시 하부절연층인 BPSG 산화막에 형성된 보이드가 유발된 것을 도시한 셈사진이다.
상기 BPSG 는 높은 도핑이 되어 있는 막질을 사용하고 있으나 소자가 고집적화되면서 현재의 농도로는 갭필 ( gap fill )을 하기 어려운 것이 현실이다.
이를 해결하기 위하여 도펀트를 높일 경우 후속 공정으로 크리닝 ( cleaning )을 실시할 때 수평방향으로 식각되어 이웃하는 셀과 브릿지 ( bridge ) 가 발생할 수 있으며, 온도를 높이거나 시간을 늘리면 트랜지스터를 조절하기 어려워진다.
상기한 바와 같이 종래기술에 따른 반도체소자의 형성방법은, 반도체소자의 고집적화에 따른 높은 에스펙트비로 인하여 갭필 물질인 HDP CVD 산화막이나 BPSG 산화막에 보이드가 유발될 수 있는 문제점이 있다.
본 발명은 상기한 종래기술의 문제점을 해결하기 위하여, 산소 스퍼터링 공정을 이용하여 갭필 물질의 오버행을 방지하고 후속 증착공정에서 보이드가 유발되지 않도록 하여 반도체소자의 특성 및 신뢰성을 향상시킬 수 있는 반도체소자의 형성방법을 제공하는데 그 목적이 있다.
도 1a 내지 도 1d 는 종래기술의 제1실시예에 따른 반도체소자의 형성방법을 도시한 단면도.
도 2 는 종래기술의 제1실시예에 따른 반도체소자의 단면을 도시한 셈사진.
도 3 은 종래기술의 제2실시예에 따른 반도체소자의 단면을 도시한 셈사진.
도 4a 내지 도 4e 는 본 발명의 제1실시예에 따른 반도체소자의 형성방법을 도시한 단면도.
도 5 는 본 발명의 제1실시예에 따른 반도체소자의 셈사진.
도 6 은 본 발명의 제2실시예에 따른 반도체소자의 셈사진.
< 도면의 주요부분에 대한 부호의 설명 >
11,31,51 : 반도체기판13,33 : 패드산화막
15,35 : 질화막17,36 : 트렌치
18,19,21,23,25,37,39,41,43,44,45,59,61 : HDP CVD 산화막
27,57 : 보이드53 : 하부절연층, BPSG 산화막
55 : 콘택홀63 : 폴리실리콘막
이상의 목적을 달성하기 위해 본 발명에 따른 반도체소자의 형성방법은,
반도체기판 상의 갭필 영역에 HDP CVD 산화막 증착과 스퍼터링 식각을 반복하여 상기 갭필 영역을 갭필하는 HDP CVD 산화막을 형성하되,
상기 스퍼터링 식각의 최초 식각시 산소가스만을 이용하여 상기 갭필 영역 상측의 CD를 크게 형성함으로써 넌-보이드 ( non-void ) HDP CVD 산화막을 형성하는 것을 제1특징으로 한다.
또한, 이상의 목적을 달성하기 위해 본 발명에 따른 반도체소자의 형성방법은,
하부구조물이 구비되는 반도체기판 상에 하부절연층인 BPSG 산화막을 형성하는 공정과,
콘택마스크를 이용한 사진식각공정으로 상기 하부절연층을 식각하여 상기 반도체기판을 노출시키는 콘택홀을 형성하는 공정과,
상기 콘택홀을 포함한 전체표면상부에 HDP CVD 산화막을 증착하는 공정과,
산소가스를 이용한 스퍼터링 식각으로 상기 HDP CVD 산화막을 식각하여 상기콘택홀 저부의 반도체기판을 노출시키는 공정과,
후속공정으로 상기 반도체기판에 접속되는 콘택플러그를 형성하는 공정을 포함하는 것과,
상기 HDP CVD 산화막은 800 ∼ 1200 Å 두께로 증착하는 것과,
상기 HDP CVD 산화막은 상기 콘택홀의 저부에 증착두께의 80 퍼센트가 증착되고 측벽에 증착두께의 60 퍼센트가 증착되는 것과,
상기 HDP CVD 산화막은 HDP CVD 챔버의 상측 RF 전력을 3000 ∼ 30000 W, 사이드 RF 전력을 1000 ∼ 10000 W, 하측 RF 전력을 0 ∼ 1200 W 로 설정하고 실시하여 형성하는 것과,
상기 HDP CVD 산화막은 사일렌 가스 50 ∼ 70 sccm 유량, 산소가스 80 ∼ 120 sccm 유량만큼 사용하여 형성하는 것과,
상기 스퍼터링 식각공정은 상기 HDP CVD 산화막의 증착공정 후 진공 브레이크 ( vacuum break ) 없이 실시하는 것과,
상기 스퍼터링 식각공정은 200 ∼ 20000 sccm 유량의 산소가스를 이용하여 실시하는 것과,
상기 스퍼터링 식각공정은 상측, 사이드 및 하측의 RF 전력을 각각 3000 ∼ 30000 W, 1500 ∼ 15000 W, 2500 ∼ 25000 W 로 사용하여 실시하는 것을 제2특징으로 한다.
이하, 첨부된 도면을 참고로 하여 본 발명을 상세히 설명하기로 한다.
도 4a 내지 도 4e 는 본 발명의 제1실시예에 따른 반도체소자의 형성방법을도시한 단면도로서, 소자분리막 형성공정을 예로 들어 설명한 것이다. 또한, 다른 갭필영역을 HDP CVD 산화막으로 갭필하는 공정에 적용할 수도 있다.
도 4a 를 참조하면, 반도체기판(31) 상부에 패드산화막(33)과 질화막(35)을 적층한다.
그리고, 소자분리마스크(도시안됨)를 이용한 사진식각공정으로 상기 질화막(35), 패드산화막(33) 및 일정깊이의 반도체기판(31)을 식각하여 트렌치(36)를 형성한다.
그리고, 상기 트렌치(36)를 갭필하는 제1HDP CVD 산화막(37,점선)을 증착한다.
이때, 상기 제1HDP CVD 산화막(37) 증착공정은 사일렌 가스와 산소가스를 이용하여 증착한 것이다.
그 다음, 상기 제1HDP CVD 산화막(37)을 제1스퍼터링 식각하여 "39"와 같은 형태로 만든다.
이때, 상기 제1HDP CVD 산화막(37)의 상측 CD 는 ⓐ 이다.
도 4b를 참조하면, 상기 사일렌 가스 없이 상기 산소 가스만을 이용하여 상기 제1HDP CVD 산화막(37)을 스퍼터링 식각하여 상기 제1HDP CVD 산화막(37)의 상측 CD를 ⓑ 와 같이 크게 형성된 "41"과 같은 형상으로 형성한다.
도 4c 내지 도 4e 를 참조하면, 상기 "41" 상측에 "43", "44", "45" 등과 같이 HDP CVD 산화막을 적층과 스퍼터링 식각을 반복하여 갭필이 완벽하게 된 소자분리막을 형성한다.
도 5 는 본 발명의 제1실시예에 따라 형성된 반도체소자의 셈사진으로서, 상기 도 2 와 비교할 때 보이드가 제거된 것을 도시한다.
도 6a 내지 도 6c 는 본 발명의 제2실시예에 따른 반도체소자의 형성방법을 도시한 단면도이다.
도 6a 및 도 6b 를 참조하면, 반도체기판(51) 상부에 하부절연층(53)을 형성한다.
이때, 상기 하부절연층(53)은 BPSG 산화막으로 형성하되, 반도체소자의 고집적화에 따른 갭필 특성 향상을 위하여 도펀트가 증가된 것이다.
그 다음, 콘택마스크(도시안됨)를 이용한 사진식각공정으로 상기 하부절연층(53)인 BPSG 산화막을 식각하여 상기 반도체기판(51)을 노출시키는 콘택홀(55)을 형성한다.
그리고, 상기 콘택홀(55)을 포함한 반도체소자의 표면을 크리닝 ( cleaning ) 한다.
이때, 상기 하부절연층(53)인 BPSG 산화막에 보이드(57)가 유발된다.
그 다음, 전체표면상부에 HDP CVD 산화막(59)을 800 ∼ 1200 Å 두께로 증착하고 이를 진공 브레이크 ( vacuum break ) 없이 산소가스를 이용한 스퍼터링 식각공정으로 상기 HDP CVD 산화막(59)을 식각하여 상기 콘택홀(55) 저부의 반도체기판(51)을 노출시킨다. 여기서, "61" 은 스퍼터링 식각후 HDP CVD 산화막을 도시한다.
이때, 상기 증착공정은 오버행 ( over hang ) 현상이 유발되도록 실시하되,상기 콘택홀(55)의 저부에 증착두께의 80 퍼센트가 증착되고 측벽에 증착두께의 60 퍼센트가 증착되도록 실시한 것이다.
그리고, 상기 증착공정은 HDP 챔버의 상측 RF 전력을 3000 ∼ 30000 W 로 설정하고, 사이드 RF 전력을 1000 ∼ 10000 W 로 설정하며 하측 RF 전력을 0 ∼ 1200 W 로 설정하여 실시하되, 사일렌 가스는 50 ∼ 70 sccm 유량, 산소가스는 80 ∼ 120 sccm 유량으로 하여 실시한다.
한편, 상기 식각공정은 산소가스를 200 ∼ 20000 sccm 유량으로 하고 상측, 사이드 및 하측 RF 전력을 각각 3000 ∼ 30000 W, 1500 ∼ 15000 W, 2500 ∼ 25000 W 이상을 사용하여 실시한 것이다.
도 6c를 참조하면, 상기 콘택홀(55)을 매립하는 폴리실리콘막(63)을 증착한다.
후속공정으로 상기 폴리실리콘막(63)을 평탄화식각하여 상기 콘택플러그를 형성한다.
이상에서 설명한 바와 같이 본 발명에 따른 반도체소자의 형성방법은, HDP CVD 산화막 증착 공정시 유발될 수 있는 오버행으로 인한 제조 공정의 어려움을 제거하기 위하여 증착 공정후 산소가스를 이용한 스퍼터링 공정을 실시하여 반도체소자의 고집적화에 따른 제조 공정시 유발될 수 있는 보이드에 특성 열화를 방지함으로써 반도체소자의 특성 및 신뢰성을 향상시키고 그에 따른 반도체소자의 고집적화를 가능하게 효과를 제공한다.

Claims (9)

  1. 반도체기판 상의 갭필 영역에 HDP CVD 산화막 증착과 스퍼터링 식각을 반복하여 상기 갭필 영역을 갭필하는 HDP CVD 산화막을 형성하되,
    상기 스퍼터링 식각의 최초 식각시 산소가스만을 이용하여 상기 갭필 영역 상측의 CD를 크게 형성함으로써 넌-보이드 ( non-void ) HDP CVD 산화막을 형성하는 것을 특징으로 반도체소자의 형성방법.
  2. 하부구조물이 구비되는 반도체기판 상에 하부절연층인 BPSG 산화막을 형성하는 공정과,
    콘택마스크를 이용한 사진식각공정으로 상기 하부절연층을 식각하여 상기 반도체기판을 노출시키는 콘택홀을 형성하는 공정과,
    상기 콘택홀을 포함한 전체표면상부에 HDP CVD 산화막을 증착하는 공정과,
    산소가스를 이용한 스퍼터링 식각으로 상기 HDP CVD 산화막을 식각하여 상기 콘택홀 저부의 반도체기판을 노출시키는 공정과,
    후속공정으로 상기 반도체기판에 접속되는 콘택플러그를 형성하는 공정을 포함하는 반도체소자의 형성방법.
  3. 제 2 항에 있어서,
    상기 HDP CVD 산화막은 800 ∼ 1200 Å 두께로 증착하는 것을 특징으로 하는반도체소자의 형성방법.
  4. 제 2 항에 있어서,
    상기 HDP CVD 산화막은 상기 콘택홀의 저부에 증착두께의 80 퍼센트가 증착되고 측벽에 증착두께의 60 퍼센트가 증착되는 것을 특징으로 하는 반도체소자의 형성방법.
  5. 제 2 항에 있어서,
    상기 HDP CVD 산화막은 HDP CVD 챔버의 상측 RF 전력을 3000 ∼ 30000 W, 사이드 RF 전력을 1000 ∼ 10000 W, 하측 RF 전력을 0 ∼ 1200 W 로 설정하고 실시하여 형성하는 것을 특징으로 하는 반도체소자의 형성방법.
  6. 제 2 항에 있어서,
    상기 HDP CVD 산화막은 사일렌 가스 50 ∼ 70 sccm 유량, 산소가스 80 ∼ 120 sccm 유량만큼 사용하여 형성하는 것을 특징으로 하는 반도체소자의 형성방법.
  7. 제 2 항에 있어서,
    상기 스퍼터링 식각공정은 상기 HDP CVD 산화막의 증착공정후 진공 브레이크 ( vacuum break ) 없이 실시하는 것을 특징으로 하는 반도체소자의 형성방법.
  8. 제 2 항에 있어서,
    상기 스퍼터링 식각공정은 200 ∼ 20000 sccm 유량의 산소가스를 이용하여 실시하는 것을 특징으로 하는 반도체소자의 형성방법.
  9. 제 2 항에 있어서,
    상기 스퍼터링 식각공정은 상측, 사이드 및 하측의 RF 전력을 각각 3000 ∼ 30000 W, 1500 ∼ 15000 W, 2500 ∼ 25000 W 로 사용하여 실시하는 것을 특징으로 하는 반도체소자의 형성방법.
KR10-2002-0042058A 2002-07-18 2002-07-18 반도체소자의 형성방법 KR100465601B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2002-0042058A KR100465601B1 (ko) 2002-07-18 2002-07-18 반도체소자의 형성방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0042058A KR100465601B1 (ko) 2002-07-18 2002-07-18 반도체소자의 형성방법

Publications (2)

Publication Number Publication Date
KR20040008421A true KR20040008421A (ko) 2004-01-31
KR100465601B1 KR100465601B1 (ko) 2005-01-13

Family

ID=37317485

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0042058A KR100465601B1 (ko) 2002-07-18 2002-07-18 반도체소자의 형성방법

Country Status (1)

Country Link
KR (1) KR100465601B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100694976B1 (ko) * 2004-12-20 2007-03-14 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100955930B1 (ko) * 2006-08-31 2010-05-03 주식회사 하이닉스반도체 산화막 증착방법

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541288B2 (en) 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming integrated circuit structures using insulator deposition and insulator gap filling techniques
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US20110217832A1 (en) * 2009-09-30 2011-09-08 Digvijay Raorane Method of filling a deep trench in a substrate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990040443A (ko) * 1997-11-18 1999-06-05 윤종용 미세한 선폭과 고 종횡비를 갖는 영역에 절연막을 채우는 방법
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
KR100319185B1 (ko) * 1998-07-31 2002-01-04 윤종용 반도체 장치의 절연막 형성 방법
TW442903B (en) * 2000-02-14 2001-06-23 United Microelectronics Corp Method for increasing the filling trench capability of dielectric layer
JP2002083865A (ja) * 2000-09-07 2002-03-22 Seiko Epson Corp 半導体装置及びその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100694976B1 (ko) * 2004-12-20 2007-03-14 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR100955930B1 (ko) * 2006-08-31 2010-05-03 주식회사 하이닉스반도체 산화막 증착방법

Also Published As

Publication number Publication date
KR100465601B1 (ko) 2005-01-13

Similar Documents

Publication Publication Date Title
US7033908B2 (en) Methods of forming integrated circuit devices including insulation layers
US8309448B2 (en) Method for forming buried word line in semiconductor device
KR20050017585A (ko) 고밀도 플라즈마 증착법을 이용한 갭필 방법
KR100295782B1 (ko) 얕은 트렌치 소자분리 방법
US7087515B2 (en) Method for forming flowable dielectric layer in semiconductor device
US6372606B1 (en) Method of forming isolation trenches in a semiconductor device
US7566924B2 (en) Semiconductor device with gate spacer of positive slope and fabrication method thereof
KR100465601B1 (ko) 반도체소자의 형성방법
KR19990065028A (ko) 반도체 장치의 트랜치 소자 분리 방법
US20070037361A1 (en) Method for forming void-free trench isolation layer
US6333218B1 (en) Method of etching contacts with reduced oxide stress
JP2008305921A (ja) 半導体装置及びその製造方法
KR100545708B1 (ko) 반도체소자의 소자분리 방법
KR100475025B1 (ko) 반도체소자의 소자분리절연막 형성방법
KR19990024548A (ko) 반도체소자의 분리구조 제조방법
KR100305026B1 (ko) 반도체소자의 제조방법
KR20010106956A (ko) 반도체 장치의 트렌치내 버블 결함을 방지하는 방법
KR20050002052A (ko) 반도체 소자의 트렌치 갭필 방법
KR20020010816A (ko) 반도체소자의 콘택 형성 방법
KR100521417B1 (ko) 반도체 소자의 제조 방법
KR20050003061A (ko) 반사방지막을 이용한 반도체 소자의 트렌치 소자분리막형성방법
KR20010025924A (ko) 산화막에 의한 갭 매립 방법
KR20050069519A (ko) 반도체 소자의 제조 방법
KR20070063359A (ko) 텅스텐실리사이드 게이트구조를 갖는 반도체소자의제조방법
KR20010066342A (ko) 반도체소자의 소자분리막 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101125

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee