KR20040030253A - 계면활성제를 함유하는 공정액 - Google Patents

계면활성제를 함유하는 공정액 Download PDF

Info

Publication number
KR20040030253A
KR20040030253A KR1020030055727A KR20030055727A KR20040030253A KR 20040030253 A KR20040030253 A KR 20040030253A KR 1020030055727 A KR1020030055727 A KR 1020030055727A KR 20030055727 A KR20030055727 A KR 20030055727A KR 20040030253 A KR20040030253 A KR 20040030253A
Authority
KR
South Korea
Prior art keywords
carbon atoms
surfactant
independently
process solution
substrate
Prior art date
Application number
KR1020030055727A
Other languages
English (en)
Inventor
장펭
킹다니엘메간
카르왁키유진조세프쥬니어
바버레슬리콕스
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/218,087 external-priority patent/US20040029395A1/en
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20040030253A publication Critical patent/KR20040030253A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Abstract

1 이상의 계면활성제를 포함하는 공정액이 반도체 장치의 제조시에 결함의 수를 감소시키기 위하여 사용된다. 특정의 바람직한 구체예에서, 본 발명의 공정액은 패턴화된 포토레지스트층의 현상 중에 또는 현상 후에 세척액으로서 사용될 때 패턴 붕괴와 같은 현상 후 결함을 감소시킬 수 있다. 본 발명의 공정액을 이용하는 다수의 포토레지스트 피복된 기재상의 패턴 붕괴 결함의 수를 감소하는 방법이 또한 개시되어 있다.

Description

계면활성제를 함유하는 공정액{PROCESS SOLUTIONS CONTAINING SURFACTANTS}
본 명세서는 2002년 8월 12일에 출원한 미국 출원 10/218,087호 및 2003년 1월 9일에 출원한 미국 출원 10/339,709호의 일부계속출원(CIP)이며, 전체가 본원에 참고로 인용된다.
본 발명의 배경
본 발명은 일반적으로 반도체 장치의 제조법에 관한 것이다. 보다 구체적으로, 본 발명은 작업량을 희생하지 않고 제조 공정 동안 발생하는 반도체 장치에서의 결함을 줄이는 방법, 특히 패턴 붕괴를 줄이는 방법에 관한 것이다.
결함은 특히 장치 크기를 줄이면서 웨이퍼 크기를 300 mm 까지 확장시키는 경우, 제조 수율 및 장치 기능에 주요한 제한 요소이다. 본원에서 사용되는 용어 "결함"은 기재 표면상의 포토레지스트 패턴의 붕괴; 리소그래피, 에칭, 스트리핑 및 화학적 기계적 평탄화(CMP) 잔유물과 같은 공정에서 생기는 기재상에 도입되는 입자; 제조 공정에서 생기거나 또는 제조 공정에 고유한 입자; 밀폐된 또는 부분적으로 개방된 또는 블록화된 콘택부 또는 바이어스(vias)와 같은 패턴 결점; 선폭의 변경; 및 기재 표면에 레지스트의 불량한 부착에서 생기는 결함과 같은 반도체 장치의 수율을 저감시키거나 손실을 유발시킬 수도 있는 결함에 관한 것이다.
결함을 줄임으로써 수율을 개선시키고자 하는 경향은 반도체 장치 생성 중의 제조 단계들, 즉 리소그래피, 에칭, 스트리핑 및 화학적 기계적 평탄화(CMP) 공정에 대한 새로운 시도를 나타낸다. 리소그래피 공정은 일반적으로 양각 또는 음각 포토레지스트로 기재를 피복하는 단계, 기재를 방사선원에 노출시켜 이미지를 제공하는 단계 및 기재를 현상하여 기재상에 패턴화된 포토레지스트층을 형성하는 단계를 포함한다. 패턴화된 층은 에칭, 도핑 및/또는 재료, 기타 반도체 재료 또는 절연 재료로 피복하는 것과 같은 후속하는 기재 패턴화 공정을 위한 마스크로서 기능한다. 에칭 공정은 일반적으로 화학물질 또는 플라즈마 식각제를 이용하여 패턴화된 포토레지스트에 의해 보호되지 않은 기재 표면을 제거함으로써 이후의 공정을 위한 하부 표면을 노출시키는 단계를 포함한다. 스트리핑 공정은 일반적으로 습윤 스트리핑 또는 산소 플라즈마 아슁(ashing)을 통하여 기재로부터 가교된 포토레지스트 패턴을 제거하는 단계를 포함한다. CMP공정은 일반적으로 기재의 표면을 연마하여 공정 중에 평평함을 유지하는 것을 포함한다. 전술한 공정 모두는 통상 이들 공정에서 생긴 임의의 입자 물질 또는 부산물을 제거하는 세척 단계를 이용한다.
패턴 붕괴는 신세대 장치에서의 높은 가로세로비(aspect ratio)로 인하여 반도체 장치의 제조에 있어서 떠오르는 문제점이 되고 있다. 패턴화된 포토레지스트층의 두께 및 가로세로비는 리소그래피 이후의 후속하는 에칭 단계의 중요한 파라미터이다. 130 nm의 노드에서, 500 nm의 두께를 갖는 포토레지스트층의 가로세로비는 4에 이를 수 있다. 이 값은 현상액 및/또는 세척액의 모세관력이 패턴화된 포토레지스트층의 붕괴로 이끌 수 있는 지점일 수 있다. 모세관력 외에, 패턴 붕괴 문제는 레지스트의 기계적 강도, 다른 피복부의 도포, 즉 내반사성 피복부(ARC) 및 노즐형, 위치 및 포토레지스트층의 스핀-온 적용 동안의 원심력과 같은 다른 인자에 의해 더 영향을 받을 수도 있다.
패턴 붕괴의 주요 기여자는 현상 후 건조 단계 동안의 물의 모세관력이다. Tanaka, T., et al., "Mechanism of Resist Pattern Collapse During Developer Process", Jpn.J.Appl.Phys., Vol.32,1993, pp 6059-64. 패턴 현상 후의 세척액의 표면 장력 감소 또는 제거가 패턴화된 포토레지스트층상에서 영향을 주는 모세관력을 감소시키기 위하여 이용될 수 있다. 세척액의 표면 장력을 감소하거나 제거하기 위한 2개의 통상의 시도는 패턴화된 포토레지스트 특징부를 냉동 건조하거나 현상 후의 패턴화된 포토레지스트층을 건조하기 위해 초임계 유체를 사용하는 것일 수 있다. 이들 시도는 반도체 장치 제작시에 통상 사용되지 않는 추가의 제조 단계 및 특별한 장치를 필요로 할 수 있다.
표면 장력을 제거하기 위한 보다 통상적인 시도는 세척액에 계면활성제를 첨가하는 것일 수 있다. 공기와 액체의 계면에서 물의 표면 장력을 감소시키는 능력은 감소된 표면 장력이 통상 기재 표면상에서 물의 증가된 습윤성과 관련되기 때문에 다양한 적용예에서 매우 중요하다. 수계 시스템에서 표면 장력의 감소는 일반적으로 계면활성제의 첨가를 통해 달성된다. 평형상태의 표면 장력 성능은 시스템이 휴지시에 중요하지만, 동적 조건하에서 표면 장력을 감소시키는 능력은 높은 표면 생성 속도가 사용되는 적용예, 즉, 스핀 피복, 롤링, 스프레이 피복 등과 같은 적용예에서 매우 중요하다. 동적 표면 장력은 낮은 표면 장력으로 용액의 능력의 척도를 제공하고 고속 적용 조건하에서 습윤성을 제공한다. 또한, 스프레이 적용예 동안과 같은 특정 적용예에서, 계면활성제가 버블 생성 및 발포의 문제점을 최소화시키는 방식으로 제제의 표면 장력을 감소시키는 장점이 있다. 발포 및 버블 생성은 결함을 생성할 수 있다. 결론적으로, 발포 분제 해결을 향한 반도체 산업에서의 상당한 노력이 이루어져 왔다.
일본 특허 JP 95142349A는 암모늄 퍼플루오로알킬설포네이트 또는 퍼플루오로알킬 에톡실레이트와 같은 불소계 계면활성제를 현상액 또는 세척액에 첨가하는 것에 관해 기재하고 있다.
미국 특허 제6,152,148호는 플루오로계면활성제 및 테트라알킬 4차 수산화암모늄 화합물과 같은 계면활성제를 CMP 후 폴리(아릴렌 에테르) 유전 필름 피복부를 갖는 반도체 웨이퍼를 세척하는 데에 사용되는 수용액에 첨가하는 것에 관해 기재하고 있다.
논문, Domke,W.D et al., "Pattern Collapse in High Aspect Ratio DUV- and 193nm Resists", Proc,SPIE-Int. Soc.Opt.Eng.3999, 313-321, 2000("Domke")는 현상액에 계면활성제를 첨가하여 아크릴성 및 사이클로올레핀-말레무수물 레지스트의 패턴 붕과의 가능성을 감소시키는 것에 관해 기재하고 있다. 현상액에 첨가되는 "계면활성제"는 용매, 이소프로필 알콜이었다. Domke에 따르면, 현상액 중의 "계면활성제"의 첨가는 패턴 붕괴에 일관된 효과를 가지지 않는다.
PCT 출원 WO 02/23598호는 계면활성제 암모늄 라우릴 설페이트를 탈이온수(DI) 세척액 및 현상제에 첨가하여 이들을 패턴화된 포토레지스트에 적용하여 현상 이후의 결함을 최소화하거나 제거하는 것에 관해 기재하고 있다.
일본 특허 출원 JP 96008163A는 온수, 유기 용매 및 계면활성제를 현상 후 세척액에 첨가하여 패턴 붕괴를 막는 것에 관하여 기재하고 있다. 구체적인 계면활성제는 언급되지 않았다.
PCT 출원 87/03387호는 열적 안정화 보호 필름을 현상 후 이미지 소성 전에 기재에 적용함으로써 에칭 및 기타 공정 동안 생성되는 열에 의한 뒤틀림 또는 변성에 대한 포토레지스트 이미지 보호에 관해 기재하고 있다. 필름에 사용되는 재료는 플루오로카본 계면활성제, 필름 형성 중합체, 크롬 설페이트, 트리클로로아세트산, 크로모트로프산 및 이들의 염을 포함한다.
논문, Cheung,C,et al., "A Study of a Single Closed Contact for 0.18 micron Photolithography Process" Proc. SPIE-Int.Soc.Opt.Eng.3998, 738-741, 2000("Cheung")는 옥틸 및 노닐 페놀 에톡실레이트, 예컨대, TRITON(등록상표) X-114, X-102, X-45 및 X-15와 같은 계면활성제를 세척 용액에 사용하여 포토레지스트 잔유물 및 단일의 차단된 접촉 결함을 제거하는 것에 관하여 기재하고 있다. Cheung에 따르면, 세척 용액에서의 계면활성제의 사용은 그다지 성공적이지 않았다.
미국 특허 제5,977,041호는 스티리핑 후, 물, 수용성 유기산 및 수용성 표면 활성제를 포함하는 수성 세척액에 관하여 기재하고 있다. 표면 활성제는 1 이상의 아세틸렌성 알콜기를 가지는 올리고(에틸렌 옥시드) 화합물을 포함한다.
WO 00/03306호는 총 조성물 중 용매 약 50 내지 약 99.9 중량% 및 총 조성물 중 계면활성제 약 0.1 내지 약 30 중량%의 용매 및 계면활성제의 혼합물을 포함하는 스트리퍼 조성물에 관하여 기재하고 있다.
미국 특허 출원 제2002/0115022호는 각각이 암모늄 퍼플루오르알킬 설포네이트 또는 암모늄 퍼플루오르알킬 카르복실레이트와 같은 음이온 계면활성제를 포함하는 현상제 및 세척액에 관해 기재하고 있다. 이들 용액은 연속적인 순서로 적용되어 패턴 붕괴를 감소시킨다.
논문 "Collpase Behavior of Single Layer 193 and 157 nm Resists: Use of Surfactants in the Rinse to Realize the Sub 130 nm Nodes:, Hien et al., Advances in Resist Tech. And Processing XIX, Proceedings of SPIE, Vol. 4690(2002), pp.254-261("Hien")은 .10%의 플루오로계면활성제 및 물의 세척액을 현상 후에 기재에 적용하여 패턴 붕괴를 감소시키는 것에 관하여 기재하고 있다. Hien에 따르면, 사용된 이들 플루오로계면활성제의 일부가 붕괴 행태를 악화시켰다.
계면활성제가 현상 후 세척액으로서 통상 사용되었지만, 이들 용액은 동적 조건하에서 표면 장력을 감소시키는 데에 효과적이지 않았을 것이다. 또한, 이들 용액은 발포 생성이라는 바람직하지 못한 부작용을 가질 수 있다. 이들 문제 때문에, 당업계에서 사용되는 통상의 계면활성제를 이용하는 세척액이 반도체 장치에서의 모든 결함, 구체적으로 패턴 붕괴 결함을 제거하는 데에 효과적이지 않을 수 있다.
본원에 인용된 모든 문헌은 전체가 참고로 인용되어 있다.
본 발명의 개요
본 발명은 공정액 및 이를 사용하는 방법을 제공함으로써 당업계의 필요의 전부는 아니지만 일부를 만족시킨다. 구체적으로, 본 발명의 한 측면은 반도체 장치의 제조에서의 결함을 감소시키는 방법을 제공한다. 본 방법은 기재를 제공하는 단계 및 기재를 다음의 화학식 I 또는 II를 가지는 1 이상의 계면활성제 약 10 ppm 내지 약 10,000 ppm을 포함하는 공정액과 접촉시키는 단계를 포함한다:
III
이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 0 내지 20의 범위의 수이다. 특정의 바람직한 구체예에서, 공정액은 또한 분산제를 포함한다.
본 발명의 다른 구체예에서, 반도체 장치의 제조에서의 결함을 감소시키는 방법을 제공한다. 본 방법은 기재를 제공하는 단계 및 다음의 화학식을 가지는 1 이상의 계면활성제 약 10 ppm 내지 약 10,000 ppm을 포함하는 공정액과 기재를 접촉시키는 단계를 포함한다:
이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 0 내지 20 범위의 수이다. 특정의 바람직한 구체예에서, 계면활성제의 (p + q)의 값은 1 내지 10의 범위이다.
본 발명의 다른 구체예에서, 다음 화학식I 또는 II의 1 이상의 계면활성제 약 10 내지 10,000 ppm을 함유하는 공정액을 제공한다:
III
이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 0 내지 20 범위의 수이다.
또 다른 본 발명의 구체예에서, 다음의 화학식을 가지는 계면활성제 약 10 내지 10,000 ppm을 포함하는 공정액을 제공한다:
이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 0 내지 20 범위의 수이다.
또 다른 본 발명의 측면에서, 포토레지스트 피복부를 포함하는 기재를 제공하는 단계; 기재를 방사선원에 노출시켜 포토레지스트 피복부상에 패턴을 형성시키는 단계; 현상제 용액을 기재에 적용하여 패턴화된 포토레지스트 피복부를 형성하는 단계; 선택적으로 기재를 탈이온수로 세척하는 단계; 및 기재를 화학식 III,IVa, IVb, V, VI, VII 또는 VIII을 가지는 1 이상의 계면활성제 10 ppm 내지 약 10,000 ppm 및 용매를 포함하는 공정액과 접촉시키는 단계를 포함하는 반도체 장치 제조 동안 패턴 붕괴 결함의 수를 감소시키는 방법을 제공한다:
IIIIVa
IVbV
VIVII
VIII
이때, R1및 R4는 각각 독립적으로 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R2및 R3은 각각 독립적으로 수소 원자 또는 1 내지 5개의 탄소 원자를 가지는 알킬기이며; R5는 1 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R6은 4 내지 16개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이며; R7, R8및 R9는 각각 독립적으로 1 내지 6개의 탄소 원자를 가지는직쇄 또는 분지쇄의 알킬기이고; R10은 독립적으로 H 또는 화학식으로 나타내지는 기이며; R11은 4 내지 22개의 탄소 원자를 가지는 직쇄, 분지쇄 또는 시클릭 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m, n, p 및 q는 각각 독립적으로 0 내지 20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0 내지 2의 범위의 수이며; j는 1 내지 5의 수이고; x는 1 내지 6의 범위의 수이다.
본 발명의 다른 측면에서, 표면상에서 현상된 포토레지스트 패턴을 포함하는 제1 기재를 제공하는 단계; 본원에서 기재된 화학식 I, II, III, IVa, IVb, V. VI, VII 또는 VIII을 가지는 1 이상의 계면활성제 10 ppm 내지 약 10,000 ppm을 포함하는 공정액을 제조하는 단계; 제1 기재를 공정액과 접촉시키는 단계; 제1 기재상의 공정액의 표면 장력 및 접촉각을 결정하는 단계; 표면장력에 접촉각의 코사인을 곱하여 공정액의 부착 장력값을 제공하는 단계; 다수의 기재 중의 각 기재가 표면상에 현상된 포토레지스트 패턴을 포함하는 것인 다수의 기재를 제공하는 단계; 공정 용액의 부착 장력값이 30 이하라면 다수의 기재를 공정액과 접촉시키는 단계를 포함하는 다수의 기재의 표면상에 현상된 패턴의 붕괴를 회피하는 방법을 제공한다.
본 발명의 또 다른 측면에서, 수성 용매 또는 비수성 용매로 이루어진 군으로부터 선택된 1 이상의 담체 매질 및 본원에 기재된 화학식 III, IVa, IVb, V,VI, VII 또는 VIII를 가지는 계면활성제 군으로부터 선택된 1 이상의 계면활성제를 포함하는 패턴화되고 현상된 기재 표면상의 패턴 붕괴 결함을 감소시키기 위한 공정 세척액을 제공한다.
본원 발명의 이들 및 다른 측면은 다음의 상세한 설명으로부터 명백해질 것이다.
도1a는 탈이온수 세척액으로 처리된 80 nm의 치밀선(dense line), 1:1 피치 및 3.75 가로세로비를 가지는 193 nm 포토레지스트 피복된 기재의 단면 주사전자현미경(SEM) 이미지를 제공한다.
도1b는 본 발명의 공정액으로 처리된 80 nm의 치밀선(dense line), 1:1 피치 및 3.75 가로세로비를 가지는 193 nm 포토레지스트 피복된 기재의 단면 주사전자현미경(SEM) 이미지를 제공한다.
본 발명은 반도체 장치 제조 중에 발생하는 결함의 수를 감소시키는 데 사용되는 공정액 및 이를 이용하는 방법에 관한 것이다. 공정액 중의 계면활성제(소량 존재)는 분산을 통해 결함을 발생시킬 수 있는 입자를 제거하는 것을 돕는다. 특정 구체예에서, 본 발명의 공정액은 패턴화된 포토레지스트층 표면상의 용액의 습윤성을 개선시킴으로써 현상 후 결함을 감소시킬 수 있다. 공정액의 개선된 습윤성은 접촉 홀 내부에 남아있거나 또는 치밀 특징부 안의 임의의 잔류물을 제거할 수 있다. 본 발명의 공정액은 현상후 세척액으로서 사용될 때, 패턴화된 선들 상에 영향을 미치는 모세관력을 감소시킬 수 있어서 패턴 붕괴 결함에 영향을 준다. 더욱이, 공정액은 당업계에서 현재 사용되는 다른 계면활성제에 비해 상대적으로 적게 발포가 생성되면서 동적 세척 상황에서 보다 효과적으로 작용한다.
본 발명의 공정액은 예를 들어, 리소그래피 공정액, 즉, 세척, 레지스트, 에지 비드 리무버(edge bead remover) 및 내반사성 피복액(ARC); 에칭후 공정액, 즉, 측벽 필름, 스트리퍼, 스트립 후/아쉬 세척액; 웨이퍼 세척 공정액, 즉, RCA 또는다른 표준 세척액에의 첨가제, 초임계 CO2세척액; 및 항공우주산업에서의 중요 세척 또는 정확한 세척용 공정액과 같은 반도체 장치의 제조에 관련된 다양한 공정에 사용될 수 있다. 특정의 바람직한 구체예에서, 본 발명의 공정액은 리소그래피 세척액으로서 사용될 수 있다. 공정액내의 계면활성제는 발포를 최소화하는 동안 평형 및 동적 표면 장력의 감소를 가능하게 할 수 있다.
본 발명의 공정액은 담체상 또는 매질로서 수계 용매 및/또는 비수계 용매를 가질 수 있다. 본원에서 사용된 "수성"은 80 중량% 이상, 바람직하게는 90 중량% 이상, 보다 바람직하게는 95 중량% 이상의 물을 포함하는 용매 또는 액체 분산 매질을 의미한다. 바람직한 수계 용매는 탈이온수이다. 공정액이 수계인 구체예에서, 1 이상의 화학식 I 내지 VIII의 계면활성제가 23 ℃에서 물 중에 5 중량% 이하의 농도에서 45 다인/cm 미만의 동적 표면 장력을 나타내고, Langmuir 1986, 2, 428-432(본원에 전체가 참고로 인용)에 기재된 표면 장력 측정의 최대-버블-압력법에 따라 1버블/초를 나타내는 것이 바람직하다.
비수성 용매가 물과 같은 수성 용매 외에 또는 수성 용매 대신에 사용되는 구체예에서, 선택된 비수성 용매는 그 안에 함유된 1 이상의 계면활성제, 다른 공정액내의 부가제 또는 기재 그 자체와 반응하지 않을 것이다. 적합한 용매는 탄화수소(예컨대, 펜탄 또는 헥산); 할로카본(예컨대, 프레온 113); 에테르(예컨대, 에틸에테르(Et2O), 테트레하이드로푸란("THF"), 에틸렌글리콜모노메틸에테르 또는 2-메톡시에틸에테르(디글림)); 니트릴(예컨대, CH3CN); 또는 방향족 화합물(예컨대,벤조트리플루오라이드)를 들 수 있으나, 이에 한정되지는 않는다. 또 추가의 예시적인 용매는 락테이트, 피루베이트 및 디올을 들 수 있다. 이들 용매는 아세톤, 1,4-디옥산, 1,3-디옥솔란, 에틸아세테이트, 시클로헥사논, 아세톤, 1-메틸-2-피로디디아논(NMP) 및 메틸 에틸 케톤을 들 수 있지만 이에 한정되는 것은 아니다. 다른 용매는 디메틸포름아미드, 디메틸아세트아미드, N-메틸피롤리돈, 에틸렌카보네이트, 프로필렌카보네이트, 글리세롤 및 유도체, 나프탈렌 및 치환된 형태, 아세트산무수물, 프로피온산 및 프로피온산 무수물, 디메틸술폰, 벤조페논, 디페닐술폰, 페놀, m-크레졸, 디메틸 술폭시드, 디페닐에테르, 테르페닐 등을 들 수 있다. 또 추가의 용매는 프로필렌글리콜프로필에테르(PGPE), 메탄올, 에탄올, 3-헵탄올, 2-메틸-1-펜탄올, 5-메틸-2-헥산올, 3-헥산올, 2-펩탄올, 2-헥산올, 2,3-디메틸-3-펜탄올, 프로필렌글리콜메틸에테르아세테이트(PGMEA), 에틸렌글리콜, 이소프로필알콜(IPA), n-부틸에테르, 프로필렌글리콜 n-부틸에테르(PGBE), 1-부톡시-2-프로판올, 2-메틸-3-펜탄올, 2-메톡시에틸 아세테이트, 2-부톡시에탄올, 2-에톡시에틸 아세토아세테이트, 1-펜탄올 및 프로필렌글리콜메틸에테르를 들 수 있다. 상기 열거한 비수성 용매는 단독으로 사용되거나 2 이상의 용매와 조합하여 사용될 수 있다.
특정 구체예에서, 공정액은 수성 용매내에서 혼화성이거나 수혼화성인 1 이상의 비수성 용매를 포함할 수 있다. 이들 구체예에서, 공정액 중의 비수성 용매의 양은 수성 용매를 포함하는 공정액내의 용매와 균형을 이루어 약 1 내지 약 50 중량% 범위일 수 있다. 수혼화성 비수성 용매의 예로는 메탄올, 에탄올, 이소프로필알콜 및 THF를 들 수 있다.
본 발명은 화학식 I 내지 VIII로 나타내는 1 이상의 계면활성제 10 내지 10,000 ppm을 포함한다. 통상의 계면활성제는 양친성 성질을 나타내며, 이는 이들이 동시에 친수성이기도 하고 소수성이기도 할 수 있다는 것을 의미한다. 양친성 계면활성제는 물에 대한 강한 친화성을 가지고 친유성 및 물을 배척하는 긴 소수성 꼬리를 가지는 친수성 헤드 기(들)를 가지고 있다. 본 발명에 사용된 1 이상의 화학식 I 내지 VIII의 계면활성제는 이온성(즉, 음이온성, 양이온성) 또는 비이온성일 수 있다.
본 발명의 특정 구체예에서, 공정액은 아세틸렌성 디올 유도체인 1 이상의 비이온성 계면활성제를 포함할 수 있다. 본 발명의 계면활성제는 다음의 화학식 I 또는 화학식 II로 나타낼 수 있다.
III
이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 각각 독립적으로 0 내지 20의 범위의 수이다. 상기 계면활성제는 본 발명의 양수인인 Air Products and Chemicals, Inc. Allentown, PA로부터 SURFYNOL(등록상표) 및 DYNOL(등록상표)라는 상표명으로 상업적으로 시판되고 있다. 특정의 바람직한 구체예에서, 화학식 I 또는 II 분자의 아세틸렌성 디올부는 2,4,5,9-테트라메틸-5-데신-4,7-디올 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올이다. 아세틸렌성 디올 유도된 계면활성제는 예컨대, 본 발명의 양수인에게 양도되고 본원에 전체가 참고로 인용된 미국 특허 제6,313,182호 및 EP1115035A1에 기재된 방법을 비롯한 다수의 방법으로 제조될 수 있다.
화학식 I 및 II에서, (OC2H4)로 나타내는 알킬렌옥시드부는 (n + m) 중합된 에틸렌옥시드(EO) 몰 유닛이고, (OC3H6)로 나타내는 부는 (p + q) 중합된 프로필렌옥시드(PO)몰 유닛이다. (n + m)값은 0 내지 30의 범위이고, 바람직하게는 1.3 내지 15의 범위이며, 보다 바람직하게는 1.3 내지 10의 범위이다. (p + q)의 값은 0 내지 30의 범위이고, 바람직하게는 1 내지 10의 범위이며 보다 바람직하게는 1 내지 2의 범위이다.
본 발명의 특정의 바람직한 구체예에서, 공정액은 다음의 화학식 III 내지 VIII로 나타내는 1 이상의 계면활성제 10 내지 10,000 ppm을 포함한다:
IIIIVa
IVbV
VIVII
VIII
각각의 상기 화학식에서, R1및 R4는 각각 독립적으로 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R2및 R3은 각각 독립적으로 수소 원자 또는 1 내지 5개의 탄소 원자를 가지는 알킬기이며; R5는 1 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R6은 4 내지 16개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이며; R7, R8및 R9는 각각 독립적으로 1 내지 6개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R10은 독립적으로 H 또는 화학식으로 나타내지는 기이며; R11은 4 내지 22개의 탄소 원자를 가지는 직쇄, 분지쇄 또는 시클릭 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m, n, p 및 q는 각각 독립적으로 0 내지 20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0 내지 2의 범위의 수이며; j는 1 내지 5의 범위의 수이고; x는 1 내지 6의 범위의 수이다. 화학식 III의계면활성제의 예로는 3,5-디메틸-1-헥신-3-올 및 2,6-디메틸-4-헵탄올을 들 수 있으나, 이에 한정되지는 않는다. 화학식 IVa 계면활성제의 예로는 N,N'-비스(1,3-디메틸부틸)에틸렌 디아민을 들 수 있으나, 이에 한정되지는 않는다. 화학식 V의 계면활성제의 예로는 디이소펜틸 타르트레이트를 들 수 있으나, 이에 한정되지는 않는다. 화학식 VI의 계면활성제의 예로는 도데실트리메틸암모늄 클로라이드를 들 수 있으나, 이에 한정되지는 않는다. 화학식 VII의 계면활성제의 예로는 2,4,7,9-테트라메틸-4,7-데칸 디올을 들 수 있으나, 이에 한정되지는 않는다. 화학식 VIII의 계면활성제의 예로는 디에틸렌트리아민 및 n-부틸글리시딜에테르의 첨가생성물을 들 수 있으나, 이에 한정되지는 않는다.
공정액은 선택적으로 분산제를 포함할 수 있다. 공정액에 첨가되는 분산제의 양은 약 10 내지 약 10,000 ppm의 범위이고, 바람직하게는 약 10 내지 약 5,000 ppm이며, 보다 바람직하게는 약 10 내지 약 1,000 ppm이다. 본원에서 사용된 분산제는 먼지, 가공 잔유물, 탄화수소, 금속산화물, 안료 또는 공정액내의 기타 불순물과 같은 입자의 분산을 증진시키는 화합물을 의미한다. 본 발명에 적합한 분산제는 약 10 내지 약 10,000 범위의 수평균분자량을 가지는 것이 바람직하다.
분산제는 이온성 또는 비이온성 화합물일 수 있다. 이온성 또는 비이온성 화합물은 공중합체, 올리고머 또는 계면활성제를 단독으로 또는 조합하여 더 포함할 수 있다. 본원에서 사용된 공중합체는 블록, 스타 또는 그래프트 공중합체와 같은 1 이상의 중합성 화합물로 구성된 중합체 화합물에 관한 것이다. 비이온성 공중합체 분산제의 예로는 트리-블록 EO-PO-EO 공중합체 PLURONIC(등록상표)L121, L123,L31, L81, L101 및 P123(BASF,Inc.)와 같은 중합성 화합물을 들 수 있다. 본원에서 사용된 올리고머는 단지 소수의 단량체 유닛으로 구성된 중합체 화합물에 관한 것이다. 이온성 올리고머 분산제의 예로는 SMA(등록상표) 1440 및 2625 올리고머(Elf Alfochem)을 들 수 있다.
대안으로, 분산제는 계면활성제를 포함할 수 있다. 분산제가 계면활성제를 포함하는 경우, 계면활성제는 이온성(즉, 음이온성, 양이온성) 또는 비이온성일 수 있다. 계면활성제의 추가예로는 실리콘 계면활성제, 폴리(알킬렌옥시드)계면활성제 및 플루오로화학 계면활성제를 들 수 있다. 공정액에 사용하기 위한 적합한 비이온성 계면활성제로는 TRITON(등록상표)X-114, X-102, X-45, X-15와 같은 옥틸 및 노닐 페놀 에톡실레이트 및 BRIJ(등록상표)56(C16H33(OCH2CH2)10OH)(ICI), BRIJ(등록상표) 58(C16H33(OCH2CH2)20OH)(ICI)와 같은 알콜 에톡실레이트를 들 수 있으나, 이에 한정되지는 않는다. 또 추가의 예시적인 계면활성제는 알콜(1차 및 2차)에톡실레이트, 아민에톡실레이트, 글루코시드, 글루카미드, 폴리에틸렌글리콜, 폴리(에틸렌글리콜-코-프로필렌글리콜) 또는 Manufacturers Confectioners Publishing Co,, Glen Rock, N.J.에서 출판한 McCutcheon's Emulsifiers and Detergents, North American Edition for the Year 2000을 참고로 제공된 기타 계면활성제를 들 수 있다.
다양한 다른 첨가제는 선택적으로 적용에 따라 공정액에 첨가될 수 있다. 이들 첨가제는 안정화제, 용해보조제, 착색제, 습윤제, 항발포제, 완충제 및 다른 추가적인 계면활성제를 들 수 있으나, 이에 한정되지 않는다. 일반적으로, 달리 언급하지 않는다면, 각 첨가제의 양은 공정액 총중량을 기준으로 약 0.0001 내지 1 중량%, 보다 바람직하게는 0.0001 내지 0.1 중량%이 될 것이다. 1 이상의 첨가 계면활성제가 공정액에 첨가되는 구체예에서, 계면활성제는 본원에 개시되거나 McCutcheon's Emulsifiers and Detergents를 참고로 제공된 임의의 계면활성제일 수 있다.
특정 구체예에서, 본 발명의 공정액은 비수성 포토레지스트로서 사용될 수 있다. 이와 관련하여, 공정액은 바람직하게는 60 내지 90 중량%, 바람직하게는 70 내지 90 중량%의 비수성 용매; 5 내지 40 중량%, 바람직하게는 10 내지 20 중량%의 레지스트 중합체, 0.5 내지 약 2 중량%의 광활성 화합물; 10 내지 10,000 ppm의 1 이상의 화학식 I 내지 VIII의 계면활성제; 및 중합 억제제, 염료, 가소화제, 점도조절제 등과 같은 기타 첨가제 1 중량% 미만을 포함하는 것이 바람직하다. 포토레지스트의 점도가 중합체 대 용매 비가 변화함에 따라 적합화될 수 있으므로, 레지스트를 다양한 필름 두께로 피복되도록 제조하게 한다. 포토레지스트 공정액내의 적합한 비부성 용매의 예로는 본원에 포함된 임의의 용매를 들 수 있다. 비제한적인 레지스트 중합체의 예로는 노볼락 수지 또는 폴리비닐페놀공중합체를 들 수 있다. 비제한적인 광활성 화합물의 예로는 디아조나프토퀴논 또는 포토 산 제조자(PAG)를 들 수 있다.
본 발명의 공정액은 비수성 에지 비드 리무버로서 사용될 수 있다. 에지 비드 리무버는 그 내부의 중합체를 가교하기 위해 패턴화된 포토레지스트층을 소성하기 전에 또는 리소그래피 전에 적용할 수 있다. 본 구체예에서, 공정액은 99 내지100 중량%의 비수성 용매, 10 내지 10,000 ppm의 1 이상의 화학식 I 내지 VIII 계면활성제; 1 중량% 미만의 다른 첨가제를 포함하는 것이 바람직하다. 에지 비드 리무버 공정액내의 적합한 비수성 용매의 예로는 그 내부에 포함된 임의의 용매를 들 수 있다. 특정의 바람직한 구체예에서, 용매는 PGMEA, 에틸락테이트 또는 아니솔일 수 있다.
본 발명의 공정액은 기재의 상부면 및 바닥면의 내반사성 피복으로서 사용될 수도 있다. 본 구체예에서, 공정액은 60 내지 99 중량%의 비수성 용매; 1 내지 40 중량%, 바람직하게는 1 내지 20 중량%의 중합체; 10 내지 10,000 ppm의 1 이상의 화학식 I 내지 VIII 계면활성제; 및 1 중량% 미만의 가교제(들), 계면활성제(들), 안료 화합물 등과 같은 기타 첨가제를 포함하는 것이 바람직하다. 일반적으로, 공정액의 고체 함량은 공정액 총중량의 약 0.5 내지 약 40, 바람직하게는 0.5 내지 약 20, 보다 바람직하게는 2 내지 10 중량%으로 변화될 수 있다. ARC 공정액내의 적합한 비수성 용매의 예로는 본원에 포함된 임의의 용매를 들 수 있다. 특정의 바람직한 구체예에서, 용매는 PGMEA 또는 에틸락테이트일 수 있다. ARC 공정액내의 적합한 중합체의 예로는 아크릴레이트 중합체 또는 미국 특허 제6,410,209호에 개시된 바와 같은 페닐-함유 중합체 및 메틸실록산, 메틸실세스퀴녹산과 같은 스핀-온-글래스 물질 및 미국 특허 제6,268,457호 및 제6,365,765호에 개시된 것과 같은 실리케이트 중합체를 들 수 있으나, 이에 한정되지는 않는다.
본 발명의 공정액은 현상 단계후에 수행된 RCA-형 세척과 같은 웨이퍼 세척법에 사용될 수 있다. 본 구체예에서, 기재는 스트리핑, CMP, 아쉬 세척 및/또는에칭 단계가 완결된 후에 공정액으로 처리될 수 있다. 본 발명의 한 구체예에서, 공정액은 아민 및/또는 암모늄히드록시드, 알킬암모늄히드록시드와 같은 염기; H2O2와 같은 산화제; 선택적으로 킬레이트제; 10 내지 10,000 ppm의 1 이상의 화학식 I 내지 VIII의 계면활성제를 수성 용매 또는 물 중에 포함한다. 킬레이트제의 일부 비제한적인 예는 다음의 유기산 및 그 이성질체 및 염이 있다: (에틸렌디니트릴로)테트라아세트산(EDTA), 부틸렌디아민테트라아세트산, 시클로헥산-1,2-디아민테트라아세트산(CyDTA), 디에틸렌트리아민펜타아세트산(DETPA), 에틸렌디아민테트라프로피온산, 에틸렌디아민테트라프로피온산, (히드록시에틸)에틸렌디아민트리아세트산(HEDTA), N,N,N',N'-에틸렌디아민테트라(메틸렌포스폰)산(EDTMP), 시트르산, 타르타르산, 프탈산, 글루콘산, 사카린산, 카테콜, 갈산, 피로갈로, 프로필갈레이트 및 시스테인. 다른 구체예에서, 공정액은 묽은 HF; 1 이상의 화학식 I 내지 VIII 계면활성제 10 내지 10,000 ppm; 및 물을 포함한다. 또 다른 구체예에서, 공정액은 산 대 산화제의 비를 1:1로 하여 황산 또는 염산과 같은 산 및 H2O2와 같은 산화제, 선택적으로 킬레이트제; 1 이상의 화학식 I 내지 VIII 계면활성제 10 내지 10,000 ppm; 및 수성 용매 또는 물을 포함한다. 또 다른 구체예에서, 공정액은 전해질의 이온수와 같은 수성 용매 및 1 이상의 화학식 I 내지 VIII 계면활성제 10 내지 10,000 ppm을 포함한다. 또 다른 구체예에서, 공정액은 UV/오존, 1 이상의 화학식 I 내지 VIII 계면활성제 10 내지 10,000 ppm; 및 물을 포함한다. 웨이퍼 세척 적용예에서, 공정액은 메가소닉(megasonic)또는 스프레이 적용과 같은 통상의 세척에 사용될 수 있다.
본 발명의 공정액은 1 이상의 화학식 I 내지 VIII 계면활성제와 수성 및/또는 비수성 용매 및 임의의 부가적인 첨가제를 혼합함으로써 제조될 수 있다. 특정 구체예에서, 혼합은 그 안에 포함된 성분을 용해시키기 위하여 약 40 내지 60 ℃의 온도 범위에서 수행될 수 있다. 생성된 공정액은 선택적으로 여과시켜 잠재적으로 기재에 악영향을 미칠 수 있는 용해되지 않은 임의의 입자룰 제거할 수 있다.
공정액은 현상 단계 중에 또는 이후 기재의 표면을 처리하기 위해 사용하는 것이 바람직하다. 적절한 기재는 갈륨 아르세나이드("GaAs"), 규소, 탄탈, 구리, 세라믹, 알루미늄/구리 합금, 폴리이미드 및 결정형 규소, 폴리규소, 무정형 규소, 에피택시얼 규소, 이산화규소("SiO2"), 질화규소, 도핑된 이산화규소 등과 같은 규소를 함유하는 조성물과 같은 물질을 들 수 있으나, 이에 한정되지는 않는다. 추가의 예시적인 기재는 규소, 알루미늄 또는 중합체 수지를 들 수 있다.
특정의 바람직한 구체예에서, 공정액은 포토레지스트 피복부를 가지는 기재에 적용된다. 포토레지스트-피복된 기재는 이후 방사선에 노출되어 포토레지스트 피복시 부여되는 패턴을 제공한다. 사용할 수 있는 방사선원의 예로는 자외선, 전자빔, x-선, 레이저 또는 이온빔을 들 수 있다. 일부 구체예에서, 사전 소성(pre-bake) 또는 소프트 소성(soft-bake) 단계는 그 내부에 포함된 임의의 용매를 제거하기 위하여 노출 단계 전에 수행될 수 있다.
이러한 사전 소성 또는 소프트 소성 단계는 예컨대, 90 ℃ 내지 150 ℃의 온도 범위에서 30 내지 120 초 동안 핫 플레이트상에서 수행될 수 있다.
포토레지스트 피복이 양각이거나 음각인 것에 따라, 방사선은 순차적으로 적용되는 수산화테트라메틸암모늄(TMAH), 수산화칼륨, 수산화나트륨 또는 기타 염기를 포함하는 공정액과 같은 염기성 현상액에서의 그 용해도를 증가시킬 수도 있고 감소시킬 수도 있다. 현상액의 추가예는 미국 특허 제6,455,234호; 제6,268,115호; 제6,238,849호; 6,127,101호 및 6,120,978호에서 제공된 것을 포함한다. 양각 포토레지스트 피복에서, 노출된 영역이 용해되어 없어지는 동안, 방사선으로부터 보호된 영역은 현상 후에 남아있다. 음각 포토레지스트 피복에서는, 반대 현상이 일어난다. 본 발명의 공정액은 양각 또는 음각 포토레지스트 피복을 가지는 기재를 처리하기에 적합할 수 있다. 패턴화된 포토레지스트 이미지는 정지(quiescence), 침지, 스프레이 또는 퍼들(puddle) 현상을 비롯한(이에 한정되지 않음) 다양한 수단에 의해 현상될 수 있다. 예컨대, 정지 방법에서, 현상액은 노출된 기재 표면에 작용되고 패턴을 현상하기에 충분한 시간 후에, 기재 표면에 세척액을 적용한다. 현상 시간 및 온도는 사용된 방법에 따라 달라질 것이다.
패턴화된 포토레지스트 이미지가 현상된 후, 기재는 포토레지스트 내에 포함된 중합체를 굳히기 위하여 소성시킨다. 소성 단계는 예컨대, 70 ℃ 내지 150 ℃ 범위의 온도에서 30 내지 120 초의 시간 동안 수행될 수 있다.
공정액은 제조된 용액으로서 기재 표면에 적용되는 것이 바람직하다. 그러나, 다른 구체예에서, 공정액은 기재 표면과 접촉하기 직전 또는 접촉 동안 세척 스트림내에서 제조될 수 있다. 예를 들어, 1 이상의 화학식 I 내지 VIII 계면활성제의 특정양이 선택적으로 다른 첨가제를 포함하는 물 및/또는 비수성 용매 매체의 연속 스트림으로 투여되어 공정액을 형성할 수 있다. 본 발명의 일부 구체예에서, 1 이상의 화학식 I 내지 VIII 계면활성제의 일부는 공정액의 적용 후 기재에 첨가될 수 있다. 이 경우에, 공정액은 기재의 공정 중에 다단계로 형성될 수 있다. 본 발명의 또 다른 구체예에서, 1 이상의 화학식 I 내지 VIII 계면활성제는 카트리지 또는 필터와 같은 높은 표면적 장치 물질(다른 첨가제를 포함할 수도 포함하지 않을 수도 있는) 상에 증착될 수도 있고 또는 상기 물질을 포함할 수도 있다. 이후 물 및/또는 비수성 용매의 스트림이 카트리지 또는 필터를 통과함으로써 공정액을 형성한다. 본 발명의 또 다른 구체예에서, 공정액은 접촉 단계 중에 제조된다. 이와 관련하여, 1 이상의 화학식 I 내지 VIII 계면활성제는 기재 표면에 점적기 또는 다른 수단을 통해 도입된다. 이후 물 및/또는 비수성 용매 매질은 기재 표면에 도입되고 기재 표면에서 1 이상의 화학식 I 내지 VIII 계면활성제와 혼합됨으로써 공정액을 형성한다.
본 발명의 다른 구체예에서, 1 이상의 화학식 I 내지 VIII 계면활성제를 포함하는 농축 조성물은 물 및/또는 비수성 용매에서 희석될 수 있도록 제공되어 공정액을 제공한다. 본 발명의 농축 조성물 또는 "농축물"은 원하는 강도 및 pH로 농축물을 희석할 수 있게 한다. 농축물은 또한 생성물의 저장 기간을 보다 길게 하고 선적 및 저장을 보다 용이하게 한다.
다양한 수단이 공정액과 기재 표면을 접촉시키는 데에 사용될 수 있다. 접촉 단계의 실제 조건(즉, 온도, 시간 등)은 광범위하게 변화될 수 있으며 통상 다양한인자, 예컨대, 기재 표면상의 잔류물의 성질 및 양 및 기재 표면의 소수성 또는 친수성 등과 같은 것에 따라 좌우되지만 이에 한정되는 것은 아니다. 접촉 단계는 에컨대, 기재 표면상으로 공정액을 적용하는 스트림라인공정과 같은 동적 방법으로, 또는 예컨대 공정액을 포함하는 욕조내에 기재를 퍼들 세척하거나 침지하는 것과 같은 정적 방법으로 수행될 수 있다. 공정액은 연속 공정과 같은 동적 방법에서 기재 표면상으로 분무하거나 표면상으로 분무하여 정적 방법으로 그곳에 잔류하도록 할 수도 있다. 특정의 바람직한 구체예에서, 접촉 단계는 정적 방법으로 수행된다. 접촉 단계 기간 또는 기재 표면에 공정액의 접촉 시간은 짧은 수초 내지 수백초로 달라질 수 있다. 상기 기간은 1 초 내지 200 초 범위일 수 있고, 1 초 내지 150 초가 바람직하며, 1초 내지 40초가 보다 바람직하다. 접촉 단계의 온도 범위는 10 ℃ 내지 100 ℃로 달라질 수 있고, 10 내지 40 ℃가 보다 바람직하다.
접촉 단계가 정적이거나 동적인 것과는 무관하게, 공정액 또는 농축물은 여전지 젖어있는 기재 표면에 적용되는 것이 바람직하다. 바람직한 구체예에서, 예를 들어, 공정액은 포토레지스트층의 현상 후 세척액으로서 사용된다. 이와 관련하여, 포토레지스트-피복된 기재는 현상액을 통해 현상된다. 현상 후, 공정액은 탈이온수 세척액 외에 또는 대신에 세척액으로 기재 표면에 적용된다. 기재가 현상액 및/또는 탈이온수로 여전히 젖어있는 동안, 공정액은 동적 방식 또는 기재 표면상으로 이를 퍼들링하는 것과 같은 정적 방식으로 적용될 수 있다. 분배 동안, 기재는 예컨대, 100 rpm(분당 회전수)의 속도로 천천히 스핀되어 기재 표면상으로 공정액을 분포시킨다. 동적 공정에서, 기재는 공정액이 기재상에 연속적으로 분배되는 동안천천히 스핀된다. 퍼들 공정과 같은 정적 공정에서, 기재는 짧은 시간, 예컨대, 15초 동안 휴지된다. 공정액을 이용한 세척 단계가 완결된 후, 세척된 웨이퍼를 예컨대, 높은 rpm에서 스핀 건조에 의해 건조한다.
본 발명의 다른 구체예에서, 패턴화된 포토레지스트-피복된 기재의 패턴 붕괴 결함의 수를 최소화시킬 1 이상의 화학식 I 내지 VIII 계면활성제를 포함하는 공정액을 선택하는 방법을 제공한다. 이와 관련하여, 본 방법은 1 이상의 계면활성제 10 내지 10,000 ppm을 함유하는 공정액의 접촉각을 측정하고 표면장력을 측정하는 것을 포함한다. 공정액은 우선 샘플 포토레지스트 피복된 기재의 표면에 적용된다. 본 공정액의 표면 장력, 바람직하게는 동적 표면 장력은 본원에 기재된 최대-버블-압력법에 따라 측정될 수 있다. 기재 표면상의 공정액의 소적의 기준선(baseline)과 소적 기준에서의 접선 사이의 각인 본 공정액의 접촉각이 이후 측정된다. 특정의 바람직한 구체예에서, 고속 카메라는 초당 2프레임의 속도로 2분 간격동안 소적의 퍼짐을 포착하기 위해 사용될 수 있으며 접촉각이 사진 영상으로 측정될 수 있다.
공정액의 표면 장력 및 접촉각이 일단 얻어지면, 표면 장력은 이후 접촉각 측정의 코사인을 곱하여 본원에서 "부착 장력값"으로 언급되는 특정값을 제공한다. 공정액의 낮은 부착값은 패턴 붕괴 결함에서의 큰 감소와 관련되어 있다. 30 이하, 바람직하게는 25 이하, 보다 바람직하게는 20 이하의 부착 장력값은 공정액이 선행 기술에 기재된 기타 계면활성제를 포함하는 탈이온 세척액 또는 공정액에 비해 패턴 붕괴 결함의 수를 감소시키는 데에 보다 효과적일 수 있는 것을 나타낸다. 부착장력값이 사용가능하다면(즉, 30 이하), 공정액은 이후 생성물 로트에 사용될 수 있다. 화학식 I 내지 VIII 계면활성제의 농도는 각 계면활성제의 상이한 농도에서 계산된 최소의 부착 장력값에 의해 결정되어진다. 특정의 바람직한 구체예에서, 공정액은 가로세로비 3.0 이상, 피치 1:1.4 이상, 정규화된 가로세로비 0.015 1/nm인 패턴화되고 현상된 포토레지스트 피복된 기재에 대해 탈이온수와 비교하여 패턴 붕괴 결함의 수를 25 % 이상, 바람직하게는 50 % 이상, 보다 바람직하게는 75 % 이상 감소시켰다.
본 발명은 다음의 실시예에서 보다 상세하게 설명될 것이고, 본 발명을 한정하려는 것은 아니라는 것을 알아야 된다.
실시예
실시예 1 내지 5: 동적 표면 장력(DST)
2,4,7,9-테트라메틸-5-데신-4,7-디올(실시예 1 내지 3) 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올(실시예 4 및 5)에서 유도된 아세틸렌성 디올 계면활성제를 포함하는 5개의 공정액이 연속 교반하에서 탈이온수에 계면활성제 0.1 중량%를 첨가함으로써 제조되었다.
각 공정액에 대한 동적 표면 장력(DST)은 Langmuir 1986, 2, pp.428-432에 기재된 최대버블압력법을 통해 수집되었다. 데이터를 Kruss, Inc. Charlotte, N.C.에서 제조된 Kruss BP2 버블 압력 장력계를 이용하여 0.1 버블/초(b/s) 내지 20 b/s의 범위의 버블 속도에서 수집하였다. 각 실시예 및 동적 표면 장력 데이터에 대한 EO 및 PO의 몰 유닛은 표 1에 타나낸다.
동적 표면 장력 데이터는 평형 근접(0.1 b/s) 내지 상대적으로 높은 표면 생성 속도(20 b/s)의 조건에서 계면활성제의 성능에 관한 정보를 제공한다. 반도체 또는 IC 공정과 같은 적용예에서, 높은 버블속도는 보다 빠른 기재 회전 속도 또는 현상 후 세척 공정에서의 동적 분배에 상응할 수 있다. 특히 보다 우수한 포토레지스트 피복된 기재의 습윤, 결함의 수의 감소 및 패턴 붕괴의 방지를 제공하기 위해, 동적 표면 장력이 높은 버블 속도(즉, 20 b/s 에서의 70-72 다인/cm)에서 물의 동적 표면 장력 보다 낮게 감소되는 것이 바람직하다. 표 1에서 나타낸 바와 같이, 모든 공정액은 높은 버블 속도에서 물의 동적 표면 장력 미만의 값을 나타냈다. 이는 본 발명의 공정액이 물의 표면 장력을 감소시키는 데에 효과적일 수 있다는 것을 나타낸다.
동적 표면 장력
실시예 몰 EO(m + n) 몰 PO(p + q) DST(다인/cm)0.1 b/s DST(다인/cm)1 b/s DST(다인/cm)6 b/s DST(다인/cm)15 b/s DST(다인/cm)20 b/s
1 5 2 34.0 35.3 37.6 41.5 44.3
2 5 0 35.1 35.2 38.1 42.0 44.4
3 0 0 32.1 33.1 34.2 36.1 40.3
4 0 0 34.1 43.6 58.1 68.3 69.8
5 4 0 26.8 26.8 31.5 35.9 39.1
실시예 5 내지 7: 발포 성질
2,4,7,9-테트라메틸-5-데신-4,7-디올(실시예 5 및 6) 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올(실시예 7)에서 유도된 아세틸렌성 디올 계면활성제를 포함하는 3개의 공정액이 연속 교반하에서 탈이온수에 각 계면활성제 0.1 중량%를 첨가함으로써 제조되었다.
발포는 세척액에서 계면활성제의 바람직하지 못한 부작용이다. 실시예 5 내지 7의 발포 성질은 ASTM D 1173-53, Ross-Miles 테스트법을 기초로 한 공정을 이용하여 검사되었으며, 그 결과는 표 2에 나타냈다. 본 테스트에서, 각 공정액 200 ml을 상승 발포 피펫으로부터 실온에서 동일 용액 50 ml를 함유하는 발포 용기에 첨가한다. Ross-Miles법은 동일한 액체를 함유하는 원통형 용기로 액체를 붓는 작용을 활성화한다. 그 결과는 표 2에 나타낸다. 발포 높이는 첨가 완결시 측정되고("최초 발포 높이"), 발포가 소멸하는 데 필요한 시간은 "발포0 까지의 시간")으로 기록된다. 특정 적용예에서, 발포는 기재의 표면의 적합한 피복에 실패함으로써 결함을 생성할 수 있으므로 바람직하지 않을 것이다. 표 2에 나타낸 바와 같이, 발포 0까지 도달하는 데 걸리는 시간은 대략 1분 이하이다.
실시예 5의 공정액은 Ross-Miles 테스트를 이용하여 또한 플루오로계면활성제(퍼플루오로알킬 에톡실레이트) 0.1 중량% 및 이온성 계면활성제(나트륨 라우릴 설페이트)를 함유하는 공정액과 비교되었다. 본 비교의 결과를 표 3에 나타낸다. 표 3에서 나타낸 바와 같이, 플루오로계면활성제 및 이온성 계면활성제를 함유하는 용액이 여전히 5 또는 10분 간격으로 현저한 발포를 나타냈다. 반도체 가공 적용예에서, 현저한 발포의 존재는 바람직하지 못할 수 있고 공정 결함의 증가로 이끌 수 있다.
발포 성질
실시예 몰 EO(m + n) 몰 PO(p + q) 최초 발포 높이 (cm) 발포 0까지의 시간(초)
5 5 2 0.6 6
6 0 0 2.0 3
7 4 0 2.5 60
다른 계면활성제를 포함하는 용액과 발포 성질을 비교
세척액 조성 최초 발포 높이 (cm) 6초에서의 발포 높이(cm) 5분에서의 발포 높이 (cm) 5분에서의 발포 높이 (cm)
실시예 5 0.6 0 0 0
플루오로계면활성제 (0.1 중량 %)(1) 14.5 14.5 N/A 13.5
이온성 계면활성제(0.25 중량 %)(2) 22.0 22.0 20.0 N/A
(1) DuPont ZONYL(등록상표) 마케팅 문헌에서 얻은 정보
(2) Weil, J.K., et al., "Synthetic Detergents from Animal Fats: the Sulfonation of Tallow Alcohols", J.Am.Oil Chem.Soc.31,p.444-47(1954)에서 얻은 정보.
실시예 8 내지 9: 접촉각 데이터
2,4,7,9-테트라메틸-5-데신-4,7-디올(실시예 8a 및 8b) 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올(실시예 9a 및 9b)에서 유도된 다양한 양의 계면활성제를 함유하는 공정액의 습윤성 및 비교를 위한 DI수(비교예 1)의 습윤성을 Sessile 드롭법을 이용하여 Kruss USA, Charlotte, N.C.에 의해 제공된 G10/DSA10 Kruss 드롭 형태 분석기상에서 측정하였다. 본 방법에서, 포토레지스트-피복된 기재의 표면상의 국소영역 습윤성은 수성 현상액의 소적의 기준선과 소적 기준에서의 접선 사이의 접촉각을 측정함으로써 추정된다. 고속 카메라는 2분 동안 초당 2프레임의 속도에서 소적의 퍼짐을 포착했으며, 접촉각을 측정하였다.
2,4,7,9-테트라메틸-5-데신-4,7-디올 또는 2,5,8,11-테트라메틸-6-도데신-5,8-디올을 주성분으로 하는 계면활성제의 공정액을 다음의 방식으로 제조하였다. 부피 측정 플라스크를 다양한 양의 계면활성제 및 DI수로 충전하여 실온에서 100 ml의 수준까지 도달시켰다. 혼합물을 계면활성제가 그 내부에서 용해되어 공정액을 형성할 때까지 진탕하였다. 실시예 8a, 8b, 9a 및 9b의 공정액 중의 계면활성제의 양은 표 4에 나타낸다.
Wafernet Inc., San Jose, Ca.에 의해 제공된 실리콘(silicon) 웨이퍼는 스핀 속도 3200 rpm에서 스핀 피복 공정을 이용하여, Sumitomo Chemical Co., Ltd.,Osaka, Japan에 의해 제공된 AX 4318 포토레지스트 피복물로 피복하였다. 포토레지스트 표면상의 공정액의 접촉각을 측정하였다. 표 4는 초로 나타낸 상이한 드롭 에이지(drop age)에서 공정액과 DI수(비교예 1)에 대한 접촉각의 값을 제공한다.
통상, 약 20 ° 이하의 접촉각은 기재 표면의 완벽한 습윤을 나타낼 수 있다. 표 4에서 설명한 바와 같이, 본 발명의 공정액으로 처리한 포토레지스트-피복된 기재상의 TMAH 현상제의 접촉각은 DI수로 처리된 포토레지스트의 접촉각보다 작다. 또한, 공정액내의 계면활성제의 양이 많아지면 계면활성제가 보다 더 흡수되고 습윤이 개선될 수 있다.
실시예 Amt계면활성제 접촉각(0 초) 접촉각(5 초) 접촉각(10 초) 접촉각(30 초)
비교예 1-Dl 수 -- 61.8 61.7 61.5 61.1
실시예. 8a 125 ppm 47.3 46.9 46.5 45.4
실시예. 8b 600 ppm 47.3 42.6 40.6 36.4
실시예. 9a 100 ppm 50.0 46.8 45.0 41.6
실시예. 9b 350 ppm 40.0 29.4 25.3 17.2
실시예 10: DI 세척 대 공정액 세척 이후의 현상 후 결함 수
기재상의 현상 후 결함의 수는 DI수(비교예 2) 대 본 발명의 공정액 함유 세척액(실시예 10)로 기재를 처리한 후 비교하였다. 공정액은 2,5,8,11-테트라메틸-6-도데신-5,8-디올-유도된 계면활성제 50 ppm 및 Elf Alfochem에 의해 제공되는 SMA(등록상표) 1440 올리고머 분산제 170 ppm을 함유하였다. 기재를 다음의 방식으로 가공하였다: 포토레지스트-피복된 기재는 365 nm 빛에 노출되고, 약 1분 동안 약 110 ℃의 온도까지 가열한 후 현상하여 묽은 TMAH 용액으로 패턴화된 포토레지스트를 형성하였다. TMAH 용액은 0.21N TMAH 용액을 100초 동안 기재상으로 동적 분배시킴으로써 적용되었다.
비교예 2에서, DI수를 함유하는 세척은 현상제 노즐이 꺼지기 15초 전에 시작하여 7분동안 지속되었다. 기재는 KLA-Tencor Inc., Sna Jose, CA.에서 제공된 TeresStar(등록상표) KLA-Tencor 결함 조사 기구를 이용하여 결함에 대해 조사하였다. 조사 결과는 표 5에 나타낸다.
기재는 동일한 현상제 및 공정 조건을 이용하여 비교예 2에서와 동일한 방식으로 가공하였다. 그러나, 현상 100초 후, 아세틸렌성 디올 계면활성제를 포함하는 공정액(실시예 100)은 패턴화된 포토레지스트-피복된 표면을 세척하기 위해 사용되었다. 현상제를 이용한 중첩 기간은 비교예 2와 동일하였다. 공정액으로 120초 세척한 후, DI수 세척을 또 다른 7분 동안 이용하였다. 기재는 TereStar(등록상표) KLA-Tencor 결함 조사 기구를 이용하여 결함을 조사하였고 결함을 분류하여 계수하였다. 조사의 결과는 표 6에 나타낸다.
표 6에 나타낸 바와 같이, 본 발명의 공정액은 패턴화된 포토레지스트 표면으로부터 포토레지스트 잔류물을 완전하게 제거할 수 있었다. 반대로, 표 5는 DI수로 세척한 후 잔류 포토레지스트로부터 생긴 다수의 결함들 및 다른 공급원들로부터 생긴 다수의 결함들을 나타낸다. 따라서, 기재를 본 발명의 공정액으로 세척하면 현상 후 결함의 수를 효과적으로 줄이고 공정 수율을 개선시켰다.
DI수 세척 후의 현상 후 결함
결함 형태 특대 총계l
페턴 결함 0 55 35 1 91
핀홀/도트 0 148 2 0 150
총계 0 203 37 1 241
공정액 세척 후의 현상 후 결함
결함 형태 특대 총계
페턴 결함 0 0 0 0 0
핀홀/도트 0 0 0 0 0
총계 0 0 0 0 0
실시예 11: 공정액 대 플루오로계면활성제 함유 용액의 평형 표면 장력 및 동적 표면 장력 비교
2,5,8,11-테트라메틸-6-도데신-5,8-디올 및 플루오로계면활성제, 3M, St.Louis, Missouri에서 제공된 칼륨 퍼플루오로옥탄 카르복실레이트로부터 유래된계면활성제 0.1 중량%를 함유하는 공정액을 평형 표면 장력(EST) 및 동적 표면 장력(DST)을 비교하기 위해 제조하였다. 양방의 용액에 대한 EST는 Kruss, Inc., Charlotte, N.C.에 의해 제조된 Kruss BP2 버블 압력 장력계상에서 Wilhemy 플레이트법을 이용하여 측정하였다. 각 공정액의 DST는 실시예 1 내지 5에서 사용된 최대 버블 압력법을 통해 측정하였다. EST 및 DST 테스트의 결과를 표 7에 나타낸다.
표 7에서와 같이, 플루오로계면활성제는 본 발명의 공정액과 비교하여 낮은 EST를 나타내는 반면, 현저하게 낮은 DST는 플루오로계면활성제가 불량한 동적 표면 장력 감소 능력을 나타내는 것을 지시한다. 반도체 제조시에 사용되는 동적 세척 공정과 같은 높은 표면 생성 속도를 요구하는 적용예에서, 본 발명의 공정액은 낮은 DST 값으로 인하여 플루오로계면활성제를 포함하는 용액 보다 적합할 수 있다.
세척물 조성 (0.1 중량%) EST (다인/cm) DST (cm/cm)
실시예 11 25.8 28.4
플루오로계면활성제 21.2 72.4
실시예 12 내지 18: 본 발명 공정액의 부착 장력값 결정
화학식 I 내지 VIII의 계면활성제를 함유하는 7개의 공정액은 연속적인 교반하에서 탈이온수에 게면활성제 1 중량% 미만을 첨가함으로써 제조하였다. 각 공정액에 있는 계면활성제의 농도는 표 7에서 제공되고, 각 계면활성제에 대한 다양한 농도에서 계산된 최소의 부착 장력값으로 결정된다. 실시예 12는 3,5-디메틸-1-헥신-3-올(화학식 III)을 포함하였다. 실시예 13은 Aldrich에 의해 제공된 2,6-디메틸-4-헵탄올(화학식 IVa)을 포함하였다. 실시예 14는 N,N'-비스(1,3-디메틸부틸)에틸렌디아민(화학식 V)를 포함하였다. 실시예 15는 디이소펜틸 타르트레이트(화학식 III)을 포함하였다. 실시예 16은 도데실트리메틸암모늄 클로라이드(화학식 IVa)를 포함하였다. 실시예 17은 2,4,7,9-테트라메틸-4,7-데칸 디올(화학식 V)을 포함하였다. 실시예 18은 2,5,8,11-테트라메틸-6-도데신-5,8-디올-유도된 계면활성제(화학식 II)를 포함하였다. 실시예 19, 20 및 21은 각각 디에틸트리아민(x = 2) 및 n-부틸글리시딜에테르(화학식 VIII)의 1:3 첨가생성물(0.05 중량% 농도), 1:5 첨가생성물(0.012 중량%농도) 및 1:5 첨가생성물(0.03 중량% 농도)를 포함하였다.
각 공정액의 동적 표면 장력(DST) 데이터는 Langmuir 1986,2,pp.428-432에 기재된 최대 버블 압력볍을 통해 수집하였다. 데이터는 Kruss Inc. Charlotte, N.C.에 의해 제조된 Kruss BP2 버블 압력 장력계를 이용하여 0.1 버블/초(b/s) 내지 20 b/s의 범위의 버블 속도에서 수집하였다. 각 공정액에 대한 0.1 버블/초에서의 표면 장력 값은 표 8에 나타낸다.
실리콘(silicon) 웨이퍼는 Tokyo Ohka Kogyo Co., Lte., Tokyo, Japan에 의해 제공된 300 nm 두께의 TOK 6063 193 nm 포토레지스트 피복부로 피복되었다. 포토레지스트 표면상의 공정액의 접촉각은 Kruss USA, Charlotte, N.C.에 의해 제공된 G10/DSA10 Kruss 드롭 형태 분석기상에서 Sessile 드롭법을 이용하여 측정되었다. 표 8은 10초의 드롭 에이지에서 측정된 각 공정액에 대한 접촉각을 제공한다.
각 공정액에 대한 부착 장력값은 표면 장력과 접촉각의 코사인을 곱함으로써 계산하였다. 본 계산의 결과는 표 8에 나타낸다. 표 8에 나타낸 바와 같이, 모든공정액은 25 미만의 부착 장력값을 가진다. 실시예 13, 14, 16, 19, 20 및 21 각각은 20 미만의 부착값을 가졌다. 이는 이들 공정액이 패턴 붕괴 결함 수를 높은 부착 장력값을 가지는 1 이상의 게면활성제를 가지는 공정액 보다 더 큰 정도까지 감소할 수 있다는 것을 보여준다.
부착 장력값
실시예 12 13 14 15 16 17 18 19 20 21
농도(중량%) 0.9 0.12 0.095 0.05 4 0.05 0.045 0.05 0.012 0.03
표면 장력(ST)(다인/cm) 36.6 41.4 32.0 35.4 41.5 38.4 25.8 38.7 37.9 35.6
접촉각 (θ) 55.0 70.7 53.1 45.5 62.7 56.1 28.1 59 59.2 59.7
부착 장력값 21.0 13.6 19.2 24.8 19.0 21.4 22.8 19.9 19.4 17.9
패턴 붕괴 감소
실시예 12, 14 및 17 공정액은 0.9 중량%의 3,5-디메틸-1-헥신-3-올, 0.095 중량%의 N,N'-비스(1,3-디메틸부틸)에틸렌디아민 및 .05 중량%의 2,4,7,9-테트라메틸-4,7-데칸 디올 각각을 연속적인 교반하에서 탈이온수에 첨가함으로써 제조하였다. 기재는 다음의 방식으로 가공되었다: Wafernet,Inc.에서 제공하고 내반사성 피복물로 피복시킨 실리콘(silicon)웨이퍼를 TOK 6063 193 nm 포토레지스트로 피복시키고 ASML PAS 5500/1100 스캐너로 193 nm 빛에 노출시켜 대략 115 ℃의 온도까지 약 1분 동안 가열시킨 후 현상하여 묽은 TMAH 용액으로 패턴화된 포토레지스트를 형성하였다. TMAH 현상액은 0.26 N TMAH 용액을 기재상으로 동적으로 분배하여 45초 동안 세팅함으로써 적용하였다. 이후 공정액을 기재 표면상으로 동적으로 분배하는 반면, 웨이퍼 기재는 500 rpm으로 천천히 스핀시켜 기재 표면상에 용액을 분포시켰다. 분배 공정은 15초 동안 지속되었다. 이후, 기재를 3,500 rpm에서 스핀하여 건조하였다.
비교예에서, 탈이온수 세척액을 실시예 12, 14 및 17 공정액에서와 동일한 공정 조건하에서 TMAH 현상액으로 패턴화된 포토레지스트 피복부를 현상한 후 기재 표면에 적용시켰다.
실리콘(silicon) 웨이퍼를 본 발명의 공정액의 현상 후 세척액으로 처리하고 현상후 탈이온수 세척을 주사 전자 현미경하에서 비교하였다. 도1a 및 1b는 탈이온수 세척액 및 실시예 14 공정액을 이용한 세척액을 각각 이용하여 1:1 피치로 80 nm 치밀선의 단면 SEM 이미지를 제공한다. 도1b에서와 같이, 탈이온수 외에 또는 탈이온수 대신에 현상후 세척액으로서 본 발명의 공정액을 이용하면 패턴 붕괴의 발생을 최소화하거나 줄이고 선의 명확성을 보전한다.
각 웨이퍼의 특징부의 최소 선폭(critical dimension: CD)은 웨이퍼 당 37 부위상에서 Hitachi CD-SEM 기구로 측정되었고, 패턴 붕괴는 상하(top-down) SEM 이미지를 통해 가시적으로 관찰되었다. 웨이퍼를 동일한 투여 에너지 16.5 mJ/cm2하에서 노출시켰다. 가시적 관찰 결과를 표 9에 나타낸다.
표 9에서 나타낸 바와 같이, 본 발명의 공정액은 반 이상 붕괴된 부위를 감소시키는 한편, 가로세로비를 3 에서 3.3으로 증가시켰다. 따라서, 기재를 탈이온수 대신에 본 발명의 공정액으로 세척하는 것은 높은 가로세로비 특징부로 패턴화할 때 패턴 붕괴를 효과적으로 감소시켰다.
패턴 붕괴 데이터
세척액 가로세로비 붕괴가 있는 % 부위
Dl 수 3.0 97
실시예 12 3.3 48
실시예 14 3.2 3
실시예 17 3.1 6
본 발명이 특정한 실시예를 참고로하여 상세하게 기재되었지만, 본 발명의 정신 및 범위를 벗어나지 않는 범위내에서 다양한 변화 및 변경이 이루어질 수 있다는 것이 당업자에게 명백할 것이다.
본 발명은 반도체 장치 제조 중에 발생하는 결함의 수를 감소시키는 데 사용되는 공정액 및 이를 이용하는 방법에 관한 것이다. 공정액 중의 계면활성제(소량 존재)는 분산을 통해 결함을 발생시킬 수 있는 입자를 제거하는 것을 돕는다. 특정 구체예에서, 본 발명의 공정액은 패턴화된 포토레지스트층 표면상의 용액의 습윤성을 개선시킴으로써 현상 후 결함을 감소시킬 수 있다. 공정액의 개선된 습윤성은 접촉 홀 내부에 남아있거나 또는 치밀 특징부 안의 임의의 잔류물을 제거할 수 있다. 본 발명의 공정액은 현상후 세척액으로서 사용될 때, 패턴화된 선들 상에 영향을 미치는 모세관력을 감소시킬 수 있어서 패턴 붕괴 결함에 영향을 준다. 더욱이, 공정액은 당업계에서 현재 사용되는 다른 계면활성제에 비해 상대적으로 적게 발포가 생성되면서 동적 세척 상황에서 보다 효과적으로 작용한다.

Claims (58)

  1. 기재를 제공하는 단계; 및
    다음의 화학식 I 또는 II을 가지는 1 이상의 계면활성제 약 10 ppm 내지 10,000 ppm을 포함하는 공정액과 기재를 접촉시키는 단계
    를 포함하는 반도체 장치 제조 중의 결함 감소법:
    III
    이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 0 내지 20 범위의 수이다.
  2. 제1항에 있어서, 공정액이 1 이상의 분산제 약 10 내지 약 10,000 ppm을 더 포함하는 것인 결함 감소법.
  3. 제2항에 있어서, 1 이상의 분산제가 비이온성 화합물을 포함하는 것인 결함 감소법.
  4. 제2항에 있어서, 1 이상의 분산제가 이온성 화합물을 포함하는 것인 결함 감소법.
  5. 제4항에 있어서, 1 이상의 분산제가 계면활성제를 포함하는 것인 결함 감소법.
  6. 제1항에 있어서, (n + m)의 값이 0 내지 30의 범위인 것인 결함 감소법.
  7. 제6항에 있어서, (n + m)의 값이 1.3 내지 15의 범위인 것인 결함 감소법.
  8. 제1항에 있어서, (p + q)의 값이 0 내지 30의 범위인 것인 결함 감소법.
  9. 제6항에 있어서, (p + q)의 값이 1 내지 10의 범위인 것인 결함 감소법.
  10. 제1항에 있어서, 접촉각이 30초에서 약 60 °이하인 것인 결함 감소법.
  11. 제10항에 있어서, 접촉각이 30초에서 약 50 °이하인 것인 결함 감소법.
  12. 제11항에 있어서, 접촉각이 30초에서 약 40 °이하인 것인 결함 감소법.
  13. 제1항에 있어서, 접촉 단계가 동적 세척(dynamic rinse)을 포함하는 것인 결함 감소법.
  14. 제13항에 있어서, 공정액이 23 ℃에서 약 45 다인/cm2이하의 동적 표면 장력 및 최대-버블-압력법에 따라 1 버블/초를 나타내는 것인 결함 감소법.
  15. 제13항에 있어서, 공정액이 60초를 초과하는 시간에서 거의 0 발포를 나타내는 것인 결함 감소법.
  16. 기재를 제공하는 단계; 및
    다음의 화학식을 가지는 1 이상의 계면활성제 약 10 ppm 내지 약 10,000 ppm을 포함하는 공정액과 기재를 접촉시키는 단계를 포함하는
    반도체 장치 제조 중의 결함 감소법:
    이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 0 내지 20 범위의 수이다.
  17. 화학식 I 또는 II를 가지는 1 이상의 계면활성제 약 10 내지 약 10,000 ppm을 포함하는 공정액:
    III
    이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 0 내지 20 범위의 수이다.
  18. 제17항에 있어서, 공정액이 1 이상의 분산제 약 10 내지 약 10,000 ppm을 더 포함하는 것인 공정액.
  19. 제18항에 있어서, 1 이상의 분산제가 비이온성 화합물을 포함하는 것인 공정액.
  20. 제18항에 있어서, 1 이상의 분산제가 이온성 화합물을 포함하는 것인 공정액.
  21. 제17항에 있어서, (n + m)의 값이 0 내지 30의 범위인 것인 공정액.
  22. 제21항에 있어서, (n + m)의 값이 1.3 내지 15의 범위인 것인 공정액.
  23. 제17항에 있어서, (p + q)의 값이 0 내지 30의 범위인 것인 공정액.
  24. 제23항에 있어서, (p + q)의 값이 1 내지 10의 범위인 것인 공정액.
  25. 제17항에 있어서, 광활성 화합물을 더 포함하는 것인 공정액.
  26. 재17항에 있어서, 용매를 더 포함하는 것인 공정액.
  27. 제17항에 있어서, 중합체를 더 포함하는 것인 공정액.
  28. 제17항에 있어서, 염기를 더 포함하는 것인 공정액.
  29. 제17항에 있어서, 산을 더 포함하는 것인 공정액.
  30. 다음의 화학식을 가지는 1 이상의 계면활성제를 약 10 내지 약 10,000 ppm포함하는 것인 공정액:
    이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬쇄이고; R2및 R3은 H 또는 1 내지 5개의 탄소 원자를 가지는 알킬쇄이며; m, n, p 및 q는 0 내지 20 범위의 수이다.
  31. 포토레지스트 피복부를 포함하는 기재를 제공하는 단계;
    기재를 방사선원에 노출시켜 포토레지스트 피복부 상에 패턴을 형성하는 단계;
    현상액을 기재에 적용시켜 패턴화된 포토레지스트 피복부를 형성시키는 단계;
    선택적으로 탈이온수로 기재를 세척하는 단계; 및
    화학식 III, IVa, IVb, V, VI, VII 또는 VIII을 가지는 1 이상의 계면활성제 10 ppm 내지 약 10,000 ppm 및 1 이상의 용매를 포함하는 공정액과 기재를 접촉시키는 단계
    를 포함하는 반도체 장치 제조 중의 패턴 붕괴 결함수 감소법:
    IIIIVa
    IVbV
    VIVII
    VIII
    이때, R1및 R4는 각각 독립적으로 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R2및 R3은 각각 독립적으로 수소 원자 또는 1 내지 5개의 탄소 원자를 가지는 알킬기이며; R5는 1 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R6은 4 내지 16개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이며; R7, R8및 R9는 각각 독립적으로 1 내지 6개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R10은 독립적으로 H 또는 화학식으로 나타내지는 기이며; R11은 4 내지 22개의 탄소 원자를 가지는 직쇄, 분지쇄 또는시클릭 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m, n, p 및 q는 각각 독립적으로 0 내지 20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0 내지 2의 범위의 수이며; j는 1 내지 5의 범위의 수이고; x는 1 내지 6의 범위의 수이다.
  32. 제31항에 있어서, 접촉 단계가 동적 세척을 포함하는 것인 결함수 감소법.
  33. 제31항에 있어서, 접촉 단계가 정적 세척을 포함하는 것인 결함수 감소법.
  34. 제31항에 있어서, 접촉 단계 중의 기재 표면이 현상액으로 젖은 것인 결함수 감소법.
  35. 제31항에 있어서, 접촉 단계 중의 기재 표면이 탈이온수 세척액으로 젖은 것인 결함수 감소법.
  36. 제31항에 있어서, 용매가 수성 용매를 포함하는 것인 결함수 감소법.
  37. 제36항에 있어서, 용매가 수성 용매중에 혼화성인 비수성 용매를 포함하는 것인 결함수 감소법.
  38. 제31항에 있어서, 1 이상의 계면활성제 10 내지 10,000 ppm을 용매에 투여함으로써 공정 스트림을 형성하는 것인 결함수 감소법.
  39. 제31항에 있어서, 기재 표면상에 1 이상의 계면활성제 10 내지 10,000 ppm을 적용하고 기재 표면에 용매를 적용함으로써 공정 스트림을 형성하는 것인 결함수 감소법.
  40. 제31항에 있어서, 1 이상의 계면활성제를 포함하는 카트리지를 통해 용매를 통과시킴으로써 공정 스트림을 형성하는 것인 결함수 감소법.
  41. 제31항에 있어서, 접촉 단계의 시간이 1 내지 200 초의 범위인 것인 결함수 감소법.
  42. 제40항에 있어서, 접촉 단계 시간이 1 내지 150 초의 범위인 것인 결함수 감소법.
  43. 제41항에 있어서, 접촉 단계 시간이 1 내지 40 초의 범위인 것인 결함수 감소법.
  44. 제31항에 있어서, 접촉 단계의 1 이상의 온도가 10 내지 100 ℃의 범위인 것인 결함수 감소법.
  45. 표면상에 현상된 포토레지스트 패턴을 포함하는 제1 기재를 제공하는 단계;
    화학식 I, II, III, IVa, IVb, V, VI, VII 또는 VIII을 가지는 1 이상의 계면활성제 10 ppm 내지 약 10,000 ppm을 포함하는 공정액을 제조하는 단계;
    제1 기재를 공정액과 접촉시키는 단계;
    제1 기재상의 공정액의 표면장력 및 접촉각을 결정하는 단계;
    공정액의 부착 장력 값을 제공하기 위하여, 표면 장력에 접촉각의 코사인을 곱하는 단계;
    다수의 기재내의 각 기재가 표면상에 현상된 포토레지스트 패턴을 포함하는 다수의 기재를 제공하는 단계; 및
    공정액의 부착 장력 값이 30 이하인 경우, 다수의 기재를 공정액과 접촉시키는 단계
    를 포함하는 다수의 기재 표면상의 현상된 패턴 붕괴를 피하는 방법:
    III
    IIIIVa
    IVbV
    VIVII
    VIII
    이때, R1및 R4는 각각 독립적으로 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R2및 R3은 각각 독립적으로 수소 원자 또는 1 내지 5개의 탄소 원자를 가지는 알킬기이며; R5는 1 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R6은 4 내지 16개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이며; R7, R8및 R9는 각각 독립적으로 1 내지 6개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R10은 독립적으로 H 또는 화학식으로 나타내지는 기이며; R11은 4 내지 22개의 탄소 원자를 가지는 직쇄, 분지쇄 또는시클릭 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m, n, p 및 q는 각각 독립적으로 0 내지 20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0 내지 2의 범위의 수이며; j는 1 내지 5의 범위의 수이고; x는 1 내지 6의 범위의 수이다.
  46. 제45항에 있어서, 제조, 제1 접촉, 결정 및 곱셈 단계를 부착 장력 값이 30 이하가 될 때까지 반복하는 것인 패턴 붕괴를 피하는 방법.
  47. 제45항에 있어서, 제2 접촉 단계 중의 다수의 기재 표면이 탈이온수 세척액으로 젖은 것인 패턴 붕괴를 피하는 방법.
  48. 제45항에 있어서, 다수의 기재 표면이 현상액으로 젖은 것인 패턴 붕괴를 피하는 방법.
  49. 수성 용매 또는 비수성 용매로 이루어진 군으로부터 선택된 1 이상의 담체 매질 및 화학식 III, IVa, IVb, V, VI, VII 또는 VIII을 가지는 계면활성제 군으로부터 선택된 1 이상의 계면활성제를 포함하는, 패턴화되고 현상된 기재 표면상의 패턴 붕괴 결함을 감소시키기 위한 공정 세척액:
    IIIIVa
    IVbV
    VIVII
    VIII
    이때, R1및 R4는 각각 독립적으로 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R2및 R3은 각각 독립적으로 수소 원자 또는 1 내지 5개의 탄소 원자를 가지는 알킬기이며; R5는 1 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R6은 4 내지 16개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이며; R7, R8및 R9는 각각 독립적으로 1 내지 6개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이고; R10은 수소 원자 또는 화학식으로 나타내지는 기이며; R11은 4 내지 22개의 탄소 원자를 가지는 직쇄, 분지쇄 또는 시클릭 알킬기이고; W는 수소 원자 또는 알키닐기이며; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이고; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이며; m 및 n은 각각 독립적으로 0 내지 20의 범위의 수이고; r 및 s는 각각 독립적으로 2 또는 3이고; t는 0 내지 2의 범위의 수이며; j는 1 내지 5의 범위의 수이고; x는 1 내지 6의 범위의 수이다.
  50. 제49항에 있어서, 1 이상의 담체 매질이 수성 용매를 포함하는 것인 공정 세척액
  51. 제50항에 있어서, 1 이상의 담체 매질이 수성 용매 중에 혼화성인 비수성 용매를 포함하는 것인 공정 세척액.
  52. 제49항에 있어서, 1 이상의 담체 매질이 수성 용매이고 1 이상의 계면활성제가 다음의 화학식 III을 가지는 계면활성제인 것인 공정 세척액:
    III
    이때, R1은 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬기이고; R5는 1 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬기이며; W는 수소 원자 또는 알키닐기이고; t는 0 내지 2의 범위의 수이다.
  53. 제49항에 있어서, 1 이상의 담체 매질이 수성 용매이고 1 이상의 계면활성제가 다음의 화학식 IVa을 가지는 계면활성제인 것인 공정 세척액:
    IVa
    이때, R1및 R4는 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬기이고; r 및 s는 각각 독립적으로 2 또는 3이다.
  54. 제49항에 있어서, 1 이상의 담체 매질이 수성 용매이고, 1 이상의 계면활성제가 다음의 화학식 IVb를 가지는 계면활성제인 것인 공정 세척액:
    IVb
    이때, R1및 R4는 각각 독립적으로 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬기이고; r은 2 또는 3이다.
  55. 제49항에 있어서, 1 이상의 담체 매질이 수성 용매이고 1 이상의 계면활성제가 다음의 화학식 V를 가지는 계면활성제인 것인 공정 세척액:
    V
    이때, R1및 R4는 각각 독립적으로 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬기이고; X 및 Y는 각각 독립적으로 수소 원자 또는 히드록실기이다.
  56. 제49항에 있어서, 1 이상의 담체 매질이 수성 용매이고 1 이상의 계면활성제가 다음의 화학식 VI를 가지는 계면활성제인 것인 공정 세척액:
    VI
    R6은 4 내지 16개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬기이고; R7, R8및 R9는 각각 독립적으로 1 내지 6개의 탄소 원자를 가지는 직쇄 또는 분지쇄의 알킬기이며; Z는 할라이드 원자, 히드록실기, 아세테이트기 또는 카르복실레이트기이다.
  57. 제49항에 있어서, 1 이상의 담체 매질이 수성 용매이고, 1 이상의 계면활성제가 다음의 화학식 VII을 가지는 계면활성제인 것인 공정 세척액:
    VII
    이때, R1및 R4는 각각 독립적으로 3 내지 10개의 탄소 원자를 가지는 직쇄 또는 분지쇄 알킬기이고; R2및 R3은 각각 독립적으로 수소 원자 또는 1 내지 5개의 탄소 원자를 가지는 알킬기이며; m 및 n은 각각 독립적으로 0 내지 20의 범위의 수이고 j는 1 내지 5의 범위의 수이다.
  58. 제49항에 있어서, 1 이상의 담체 매질이 수성 용매이고, 1 이상의 계면활성제가 다음의 화학식 VIII을 가지는 계면활성제인 것인 공정 세척액:
    VIII
    이때, R10은 독립적으로 수소 원자 또는 화학식으로 나타내지는 기이며; R11은 4 내지 22개의 탄소 원자를 가지는 직쇄, 분지쇄 또는 시클릭 알킬기이고; r 및 s는 각각 독립적으로 2 또는 3이며; x는 1 내지 6의 범위의 수이다.
KR1020030055727A 2002-08-12 2003-08-12 계면활성제를 함유하는 공정액 KR20040030253A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/218,087 2002-08-12
US10/218,087 US20040029395A1 (en) 2002-08-12 2002-08-12 Process solutions containing acetylenic diol surfactants
US10/339,709 2003-01-09
US10/339,709 US20040029396A1 (en) 2002-08-12 2003-01-09 Process solutions containing surfactants
US10/616,662 2003-07-10
US10/616,662 US7129199B2 (en) 2002-08-12 2003-07-10 Process solutions containing surfactants

Publications (1)

Publication Number Publication Date
KR20040030253A true KR20040030253A (ko) 2004-04-09

Family

ID=30773450

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030055727A KR20040030253A (ko) 2002-08-12 2003-08-12 계면활성제를 함유하는 공정액

Country Status (5)

Country Link
US (4) US7129199B2 (ko)
EP (1) EP1389746A3 (ko)
JP (2) JP4272013B2 (ko)
KR (1) KR20040030253A (ko)
TW (1) TWI247799B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10298287B2 (en) * 2015-09-30 2019-05-21 Nubia Technology Co., Ltd Mobile terminal and wireless communication method

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7459005B2 (en) 2002-11-22 2008-12-02 Akzo Nobel N.V. Chemical composition and method
JP4045180B2 (ja) * 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
EP1649322A4 (en) 2003-07-17 2007-09-19 Honeywell Int Inc PLANARIZATION FILMS FOR ADVANCED MICROELECTRONIC DEVICES AND APPLICATIONS AND METHODS FOR PRODUCING SAID FILMS
EP1665346A4 (en) * 2003-09-09 2006-11-15 Csg Solar Ag IMPROVED METHOD OF FORMING OPENINGS IN ORGANIC RESIN MATERIAL
JP2007505485A (ja) * 2003-09-09 2007-03-08 シーエスジー ソーラー アクチェンゲゼルシャフト シリコンをエッチングする方法の改良
US7592201B2 (en) * 2003-09-09 2009-09-22 Csg Solar Ag Adjustments of masks by re-flow
JP2007531992A (ja) * 2004-03-30 2007-11-08 ビーエーエスエフ アクチェンゲゼルシャフト エッチング残渣を除去するための水溶液
DE102004017440A1 (de) * 2004-04-08 2005-11-03 Enthone Inc., West Haven Verfahren zur Behandlung von laserstrukturierten Kunststoffoberflächen
JP4759311B2 (ja) * 2004-05-17 2011-08-31 富士フイルム株式会社 パターン形成方法
ATE450813T1 (de) 2004-05-17 2009-12-15 Fujifilm Corp Verfahren zur erzeugung eines musters
EP1756673A1 (en) * 2004-05-27 2007-02-28 E.I.Du pont de nemours and company Developer for a photopolymer protective layer
US7312152B2 (en) 2004-06-28 2007-12-25 Intel Corporation Lactate-containing corrosion inhibitor
US7611825B2 (en) * 2004-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography method to prevent photoresist pattern collapse
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
EP1824945A4 (en) * 2004-11-19 2008-08-06 Honeywell Int Inc CHEMICALS FOR SELECTIVE REMOVAL FOR SEMICONDUCTOR APPLICATIONS, METHODS OF MANUFACTURE AND IDOINE USES
US7732123B2 (en) 2004-11-23 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion photolithography with megasonic rinse
US20060115774A1 (en) * 2004-11-30 2006-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing wafer charging during drying
KR100663354B1 (ko) * 2005-01-25 2007-01-02 삼성전자주식회사 포토레지스트 스트리퍼 조성물을 이용한 포토레지스트 제거공정을 갖는 반도체소자 제조방법들
JP4237184B2 (ja) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20070087951A1 (en) * 2005-10-19 2007-04-19 Hynix Semiconductor Inc. Thinner composition for inhibiting photoresist from drying
JP2007219009A (ja) * 2006-02-14 2007-08-30 Az Electronic Materials Kk レジスト基板用処理液とそれを用いたレジスト基板の処理方法
JP4531726B2 (ja) * 2006-06-22 2010-08-25 Azエレクトロニックマテリアルズ株式会社 微細化されたレジストパターンの形成方法
JP4866165B2 (ja) * 2006-07-10 2012-02-01 大日本スクリーン製造株式会社 基板の現像処理方法および基板の現像処理装置
JP2008102343A (ja) 2006-10-19 2008-05-01 Az Electronic Materials Kk 現像済みレジスト基板処理液とそれを用いたレジスト基板の処理方法
JP5000260B2 (ja) * 2006-10-19 2012-08-15 AzエレクトロニックマテリアルズIp株式会社 微細化されたパターンの形成方法およびそれに用いるレジスト基板処理液
US8637229B2 (en) * 2006-12-25 2014-01-28 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
JP4554665B2 (ja) 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
US8530148B2 (en) * 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US8603733B2 (en) 2007-04-13 2013-12-10 Fujifilm Corporation Pattern forming method, and resist composition, developer and rinsing solution used in the pattern forming method
WO2008129964A1 (ja) 2007-04-13 2008-10-30 Fujifilm Corporation パターン形成方法、該パターン形成方法に用いられるレジスト組成物、現像液及びリンス液
US8034547B2 (en) * 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
KR100989567B1 (ko) * 2007-05-15 2010-10-25 후지필름 가부시키가이샤 패턴형성방법
US8476001B2 (en) 2007-05-15 2013-07-02 Fujifilm Corporation Pattern forming method
KR20100017783A (ko) * 2007-06-12 2010-02-16 후지필름 가부시키가이샤 네가티브 톤 현상용 레지스트 조성물 및 이것을 사용한 패턴형성방법
JP4617337B2 (ja) * 2007-06-12 2011-01-26 富士フイルム株式会社 パターン形成方法
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
US8617794B2 (en) 2007-06-12 2013-12-31 Fujifilm Corporation Method of forming patterns
US8632942B2 (en) 2007-06-12 2014-01-21 Fujifilm Corporation Method of forming patterns
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
CN201219685Y (zh) * 2008-04-16 2009-04-15 韩广民 组装结构产品及庭院椅
JP5306755B2 (ja) * 2008-09-16 2013-10-02 AzエレクトロニックマテリアルズIp株式会社 基板処理液およびそれを用いたレジスト基板処理方法
KR101486116B1 (ko) 2008-10-09 2015-01-28 아반토르 퍼포먼스 머티리얼스, 인크. 산화구리 에칭 잔여물 제거 및 구리 전착 방지용 수성 산성 배합물
JP2010128464A (ja) 2008-12-01 2010-06-10 Az Electronic Materials Kk レジストパターン形成方法
US8216384B2 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for wet removal of high dose implant photoresist
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) * 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
JP5193121B2 (ja) * 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
JP5159738B2 (ja) 2009-09-24 2013-03-13 株式会社東芝 半導体基板の洗浄方法および半導体基板の洗浄装置
US20110253171A1 (en) * 2010-04-15 2011-10-20 John Moore Chemical Composition and Methods for Removing Epoxy-Based Photoimageable Coatings Utilized In Microelectronic Fabrication
JP5591623B2 (ja) * 2010-08-13 2014-09-17 AzエレクトロニックマテリアルズIp株式会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
KR101266620B1 (ko) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리방법 및 기판처리장치
JP5771035B2 (ja) * 2011-03-29 2015-08-26 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5657318B2 (ja) * 2010-09-27 2015-01-21 富士フイルム株式会社 半導体基板用洗浄剤、これを利用した洗浄方法及び半導体素子の製造方法
JP5659873B2 (ja) 2010-12-16 2015-01-28 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
MY161218A (en) * 2011-01-25 2017-04-14 Basf Se Use of surfactants having at least three short-chain perfluorinated groups rf for manufacturing integrated circuits having patterns with line-space dimensions below 50nm
JP5708071B2 (ja) 2011-03-11 2015-04-30 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
KR101925272B1 (ko) * 2011-03-21 2019-02-27 바스프 에스이 질소-무함유 수성 세정 조성물, 이의 제조 및 용도
JP5705607B2 (ja) * 2011-03-23 2015-04-22 メルクパフォーマンスマテリアルズIp合同会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
US20130040246A1 (en) * 2011-08-09 2013-02-14 Tokyo Electron Limited Multiple chemical treatment process for reducing pattern defect
US8987181B2 (en) * 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
US9097977B2 (en) 2012-05-15 2015-08-04 Tokyo Electron Limited Process sequence for reducing pattern roughness and deformity
CN104428716B (zh) * 2012-07-10 2019-06-14 巴斯夫欧洲公司 用于抗图案崩坏处理的包含双子型添加剂的组合物
KR101993360B1 (ko) 2012-08-08 2019-06-26 삼성전자주식회사 포토 리소그래피용 린스액
JP6106990B2 (ja) * 2012-08-27 2017-04-05 富士通株式会社 リソグラフィ用リンス剤、レジストパターンの形成方法、及び半導体装置の製造方法
JP6012377B2 (ja) * 2012-09-28 2016-10-25 東京応化工業株式会社 レジストパターン形成方法
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US9660745B2 (en) * 2012-12-12 2017-05-23 At&T Intellectual Property I, L.P. Geocast-based file transfer
CN104871289B (zh) * 2012-12-14 2017-10-10 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免图案崩塌的用途
US10170297B2 (en) 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
KR20150051478A (ko) 2013-11-04 2015-05-13 삼성디스플레이 주식회사 포토레지스트 조성물 및 이를 이용한 박막 트랜지스터 표시판의 제조 방법
US10073351B2 (en) 2014-12-23 2018-09-11 Versum Materials Us, Llc Semi-aqueous photoresist or semiconductor manufacturing residue stripping and cleaning composition with improved silicon passivation
US9873833B2 (en) 2014-12-29 2018-01-23 Versum Materials Us, Llc Etchant solutions and method of use thereof
US9482957B1 (en) * 2015-06-15 2016-11-01 I-Shan Ke Solvent for reducing resist consumption and method using solvent for reducing resist consumption
US9652841B2 (en) * 2015-07-06 2017-05-16 International Business Machines Corporation System and method for characterizing NANO/MICRO bubbles for particle recovery
KR102507301B1 (ko) 2015-12-23 2023-03-07 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법
CN108885412B (zh) 2016-03-31 2022-04-05 富士胶片株式会社 半导体制造用处理液及图案形成方法
US11156920B2 (en) * 2016-11-25 2021-10-26 Merck Patent Gmbh Lithography composition, a method for forming resist patterns and a method for making semiconductor devices
KR102373044B1 (ko) 2017-02-20 2022-03-11 후지필름 가부시키가이샤 약액, 약액 수용체, 및 패턴 형성 방법
JP2019121795A (ja) * 2017-12-27 2019-07-22 花王株式会社 シリコンウェーハの製造方法
US20190374982A1 (en) * 2018-06-06 2019-12-12 Tokyo Ohka Kogyo Co., Ltd. Method for treating substrate and rinsing liquid
SG11202011800YA (en) * 2018-06-22 2021-01-28 Merck Patent Gmbh A photoresist composition, a method for manufacturing a photoresist coating, etched photoresist coating, and etched si containing layer(s), and manufacturing a device using thereof

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US115022A (en) * 1871-05-23 Improvement in pulley-blocks
US55660A (en) * 1866-06-19 Improvement in gang-plows
US4711917A (en) * 1985-02-07 1987-12-08 Ppg Industries, Inc. Cationic coating compositions for electrodeposition over rough steel
JPS6232453A (ja) * 1985-08-06 1987-02-12 Tokyo Ohka Kogyo Co Ltd ポジ型ホトレジスト用現像液
EP0247153A4 (en) 1985-11-27 1988-05-19 Macdermid Inc THERMALLY STABILIZED, LIGHT-RESISTANT IMAGES.
US4824763A (en) * 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
JPS6488547A (en) 1987-09-30 1989-04-03 Toshiba Corp Production of semiconductor device
JP2670711B2 (ja) * 1990-05-29 1997-10-29 富士写真フイルム株式会社 ネガ型感光性樹脂組成物用現像液
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5127571A (en) 1991-10-31 1992-07-07 International Business Machines Corporation Water soluble soldering preflux and method of application
JPH07142349A (ja) 1993-11-16 1995-06-02 Mitsubishi Electric Corp 現像工程におけるフォトレジストパターンの倒れを防止する方法
CA2136373A1 (en) * 1993-11-29 1995-05-30 Steven W. Medina Ethoxylated acetylenic glycols having low dynamic surface tension
EP0671662B1 (en) 1994-02-24 1999-01-20 Nec Corporation Method for developing a resist pattern
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
JPH088163A (ja) 1994-06-21 1996-01-12 Sony Corp パターン形成方法
JP3121743B2 (ja) 1994-08-10 2001-01-09 日立造船株式会社 プラズマ式溶融方法
JPH098163A (ja) 1995-06-22 1997-01-10 Nippon Avionics Co Ltd 半導体気密封止パッケージ
JP2911792B2 (ja) * 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US5948464A (en) * 1996-06-19 1999-09-07 Imra America, Inc. Process of manufacturing porous separator for electrochemical power supply
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH10171128A (ja) 1996-12-10 1998-06-26 Tokuyama Corp 濃厚テトラメチルアンモニウムハイドロキサイド水溶液
US5977041A (en) * 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6261466B1 (en) * 1997-12-11 2001-07-17 Shipley Company, L.L.C. Composition for circuit board manufacture
KR100610387B1 (ko) 1998-05-18 2006-08-09 말린크로트 베이커, 인코포레이티드 초소형 전자 기판 세정용 실리케이트 함유 알칼리성 조성물
JP3606738B2 (ja) * 1998-06-05 2005-01-05 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法
US6368421B1 (en) 1998-07-10 2002-04-09 Clariant Finance (Bvi) Limited Composition for stripping photoresist and organic materials from substrate surfaces
US6152148A (en) * 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6864395B2 (en) 1999-05-04 2005-03-08 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US20040029395A1 (en) 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US6313182B1 (en) * 1999-05-04 2001-11-06 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6455234B1 (en) * 1999-05-04 2002-09-24 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
JP2001033988A (ja) 1999-07-15 2001-02-09 Tokyo Ohka Kogyo Co Ltd ホトリソグラフィー用リンス液およびこれを用いた基板の処理方法
US6251745B1 (en) * 1999-08-18 2001-06-26 Taiwan Semiconductor Manufacturing Company Two-dimensional scaling method for determining the overlay error and overlay process window for integrated circuits
US6369146B1 (en) * 1999-10-26 2002-04-09 Air Products And Chemicals, Inc. Malic acid diester surfactants
JP2001215690A (ja) 2000-01-04 2001-08-10 Air Prod And Chem Inc アセチレン列ジオールエチレンオキシド/プロピレンオキシド付加物および現像剤におけるその使用
US6268115B1 (en) * 2000-01-06 2001-07-31 Air Products And Chemicals, Inc. Use of alkylated polyamines in photoresist developers
TW558736B (en) 2000-02-26 2003-10-21 Shipley Co Llc Method of reducing defects
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
WO2002023598A2 (en) 2000-09-15 2002-03-21 Infineon Technologies North America Corp. A method to reduce post-development defects without sacrificing throughput
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6451510B1 (en) 2001-02-21 2002-09-17 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
US6413914B1 (en) 2001-03-29 2002-07-02 Air Products And Chemicals, Inc. Low foam N,N′-dialkylmalamide wetting agents
JP2003010774A (ja) 2001-06-27 2003-01-14 Nippon Paint Co Ltd 金属材の塗装方法
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US6656977B2 (en) * 2001-07-20 2003-12-02 Air Products And Chemical, Inc. Alkyl glycidyl ether-capped polyamine foam control agents
US6762208B2 (en) * 2001-10-01 2004-07-13 Air Products And Chemicals, Inc. Alkane diol foam controlling agents
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
JP4045180B2 (ja) * 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
JP4085262B2 (ja) * 2003-01-09 2008-05-14 三菱瓦斯化学株式会社 レジスト剥離剤
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
CA2536159A1 (en) * 2003-08-19 2005-03-03 Mallinckrodt Baker Inc. Stripping and cleaning compositions for microelectronics
TWI417683B (zh) * 2006-02-15 2013-12-01 Avantor Performance Mat Inc 用於微電子基板之穩定化,非水性清潔組合物
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
JP2010535422A (ja) * 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
JP5244916B2 (ja) * 2007-11-13 2013-07-24 サッチェム,インコーポレイテッド 損傷のない半導体の湿式洗浄のための高い負のゼータ電位の多面体シルセスキオキサン組成物および方法
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US8765653B2 (en) * 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10298287B2 (en) * 2015-09-30 2019-05-21 Nubia Technology Co., Ltd Mobile terminal and wireless communication method

Also Published As

Publication number Publication date
EP1389746A3 (en) 2007-05-30
US20100304313A1 (en) 2010-12-02
US20040053800A1 (en) 2004-03-18
TWI247799B (en) 2006-01-21
JP4272013B2 (ja) 2009-06-03
US7129199B2 (en) 2006-10-31
US20070010409A1 (en) 2007-01-11
US20070010412A1 (en) 2007-01-11
JP2004078217A (ja) 2004-03-11
EP1389746A2 (en) 2004-02-18
US7591270B2 (en) 2009-09-22
JP2006201809A (ja) 2006-08-03
TW200408700A (en) 2004-06-01
US8227395B2 (en) 2012-07-24
JP4354964B2 (ja) 2009-10-28

Similar Documents

Publication Publication Date Title
KR101202860B1 (ko) 계면활성제를 함유하는 공정액
US7591270B2 (en) Process solutions containing surfactants
JP4842981B2 (ja) 現像パターンのつぶれ回避方法
US6641986B1 (en) Acetylenic diol surfactant solutions and methods of using same
KR20050087821A (ko) 리소그래피용 린스액 및 이를 사용한 레지스트 패턴의형성방법
JP2005220350A (ja) 洗浄液組成物及びこれを用いた半導体装置の洗浄方法
TW583517B (en) Surface treatment process for chemically amplified resist and the material thereof
JP3979553B2 (ja) 反射防止膜形成用塗布液組成物およびこれを用いたレジスト材料
EP0736809B1 (en) Composition for anti-reflective coating on resist
KR20110013152A (ko) 포지티브형 포토레지스트 패턴의 조도를 개선하는 혼합액 조성물 및 그 사용방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20060607

Effective date: 20070528