JP4354964B2 - 現像パターンのつぶれ回避方法及び半導体デバイス製造の際の欠陥低減方法 - Google Patents

現像パターンのつぶれ回避方法及び半導体デバイス製造の際の欠陥低減方法 Download PDF

Info

Publication number
JP4354964B2
JP4354964B2 JP2006103543A JP2006103543A JP4354964B2 JP 4354964 B2 JP4354964 B2 JP 4354964B2 JP 2006103543 A JP2006103543 A JP 2006103543A JP 2006103543 A JP2006103543 A JP 2006103543A JP 4354964 B2 JP4354964 B2 JP 4354964B2
Authority
JP
Japan
Prior art keywords
treatment solution
substrate
range
solution
surfactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006103543A
Other languages
English (en)
Other versions
JP2006201809A (ja
JP2006201809A5 (ja
Inventor
チャン ポン
メガン キング ダニエル
ジョセフ カーワッキ,ジュニア ユージーン
コックス バーバー レスリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/218,087 external-priority patent/US20040029395A1/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2006201809A publication Critical patent/JP2006201809A/ja
Publication of JP2006201809A5 publication Critical patent/JP2006201809A5/ja
Application granted granted Critical
Publication of JP4354964B2 publication Critical patent/JP4354964B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Description

本発明は、一般的に言えば半導体デバイスの製造方法に関する。より詳しく言うと、本発明は、製造中に受ける半導体デバイスの欠陥、特にパターンのつぶれを、スループットを犠牲にすることなく低減するための方法に関する。
欠陥は、特にデバイスの大きさが低下し且つウエハサイズが300mmまで大きくなると、生産収率とデバイスの機能とにとって主要な制限的因子となる。ここで使用する「欠陥」という用語は、半導体デバイスの収率を低下させかねず、あるいは損失の原因となりかねない欠陥、例えば基板(基材)表面上のホトレジストパターンのつぶれ、リソグラフィー、エッチング、剥離(ストリッピング)及び化学機械的平坦化(CMP)の残留物などのようなプロセス処理の結果として基板上に持ち込まれる粒子状物質、製造プロセス処理に特有であるかあるいはその結果として生じる粒子状物質、閉じられた又は部分的に開放のあるいは閉塞されたコンタクト又はビアなどのようなパターンの不完全部分、ライン幅の変動、そして基板表面へのレジストの不十分な密着の結果生じる欠陥、等の欠陥に関係する。
欠陥を減らし、それにより収率を向上させようとする原動力は、半導体デバイスの生産における製造工程、すなわちリソグラフィー、エッチング、剥離、及び化学機械的平坦化(CMP)プロセスに対して新たな難題を提起する。リソグラフィープロセスは一般に、基板(基材)にポジ型又はネガ型ホトレジストを塗布し、基板を放射線源に暴露してパターン像を作り、基板を現像して基板上にパターン化したホトレジストを形成するものである。このパターン化した層はその後の基板パターニングプロセスの、例えばエッチング、ドーピング、及び/又は金属、他の半導体材料もしくは絶縁材料でのコーティングなどの、マスクとして働く。エッチングプロセスは一般に、パターニングしたホトレジストにより保護されていない基板の表面を化学エッチング又はプラズマエッチングを使用して除去し、それにより下にある表面を更なる処理のために露出させるものである。剥離プロセスは一般に、架橋したホトレジストパターンを湿式の剥離又は酸素プラズマアッシングにより基板から除去するものである。CMPプロセスは一般に、基板の表面を研磨して処理中の平坦さを維持するものである。上述のプロセスの全ては、通常、これらのプロセスから発生する、あるいはその副生物である、何らかの粒子状物質を除去するためにリンス工程を使用する。
パターンのつぶれは、新世代のデバイスにおけるより大きなアスペクト比のために、半導体デバイスの生産において新たに生じた問題となっている。パターニングしたホトレジスト層の厚さとアスペクト比は、リソグラフィー後のその後のエッチング工程にとって重要なパラメーターである。130nmのノードでは、厚さ500nmのホトレジスト層のアスペクト比は4の値に達することがある。この値は、現像液及び/又はリンス液の毛管力がパターニングしたホトレジストパターンのつぶれを招来しかねない程度となりかねない。毛管力のほかにも、パターンのつぶれの問題はその他の要因、例えばレジストの機械的強さ、その他のコーティング、すなわち反射防止コーティング(ARC)の塗布、そしてホトレジスト層のスピンオン塗布の際のノズルのタイプ、位置及び遠心力、などの影響を更に受けることがある。
パターンのつぶれに関与する主要なものは、現像後の乾燥工程の際の水の毛管力であり、これについてはTanaka, T., et al., “Mechanism of Resist Pattern Collapsed During Developer Process”, Jpn. J. Appl. Phys., Vol. 32, 1993, pp.6059−64を参照されたい。パターニングしたホトレジスト層に影響を及ぼす毛管力を減らすのには、パターン現像後のリンス液の表面張力を低下させるかなくすのを利用することができる。リンス液の表面張力を低下させるかなくすための二つの普通のアプローチは、パターニングしたホトレジストの表面形状を凍結乾燥すること又はパターニングしたホトレジストを現像後に超臨界流体を使って乾燥させることであろう。これらのアプローチの両方とも、半導体デバイスの製作では普通使用されることのない余分な製造工程と特別な機器を必要としよう。
表面張力を低下させるためのもっと普通のアプローチは、リンス液に界面活性剤を添加することであろう。空気と液との界面における水の表面張力を低下させることができることは、低下した表面張力が一般に基板表面の水の濡れを増大させることに関連するので、様々な用途において非常に重要である。水を基にした系における表面張力の低下は、一般には界面活性剤の添加によりなされる。平衡の表面張力は系が静止している場合に重要ではあるが、動的条件下で表面張力を低下させる能力は、高い表面構造製作速度(surface creation rate)が使用される用途、すなわちスピンコーティング、ローリング、スプレーコーティングなどにおいて、非常に重要である。動的表面張力は、溶液が高速の塗布条件下で表面張力を低下させそして濡れをもたらす能力の尺度を提供する。更に、スプレー塗布する際などのような一定の塗布においては、界面活性剤が配合物の表面張力を、気泡の発生と発泡の問題を最小限にするようにして低下させることが有利である。発泡や気泡の発生は欠陥を招きかねない。従って、発泡の問題を解決することに向けて半導体産業において相当の努力が払われてきた。
特開平7−142349号公報には、フッ素系の界面活性剤、例えばパーフルオロアルキルスルホン酸アンモニウム又はパーフルオロアルキルエトキシレートなどを、現像液又はリンス液に添加することが記載されている。
米国特許第6152148号明細書には、CMP後のポリ(アリーレンエーテル)絶縁膜コーティングを有する半導体ウエハをクリーニングするのに用いられる水溶液に、例えばフルオロ界面活性剤やテトラアルキル第四アンモニウムヒドロキシド化合物などの界面活性剤を添加することが記載されている。
Domke, W.D.らの論文、“Pattern Collapse in High Aspect Ratio DUV− and 193nm Resists”,
Proc. SPIE−Int. Soc. Opt. Eng. 3999, 313−321, 2000には、現像液に界面活性剤を添加して、無水アクリル酸レジスト及びシクロオレフィン−無水マレイン酸レジストのパターンのつぶれの可能性を低下させることが記載されている。現像液に添加される「界面活性剤」は溶媒、イソプロピルアルコールであった。Domkeらの論文によれば、現像液に「界面活性剤」を添加するのはパターンのつぶれに一貫性のある効果を及ぼさなかった。
国際公開第02/23598号パンフレットには、界面活性剤のラウリル硫酸アンモニウムを脱イオン(DI)水のリンス液と現像液に添加しそれらをパターニングしたホトレジストに適用して、現像後の欠陥をなくすことが記載されている。
特開平8−8163号公報には、現像後のリンス液に温水、有機溶媒、及び界面活性剤を添加してパターンのつぶれを防止することが記載されている。
国際公開第87/03387号パンフレットには、エッチングの際及びその他のプロセスの際に発生する熱によるひずみ又は劣化に対して、像の現像後ベークの前に基板に熱安定化用の保護フィルムを適用することにより、ホトレジスト像を保護することが記載されている。フィルムのために用いられる材料には、フルオロカーボン界面活性剤、フィルム形成用ポリマー、硫酸クロム、トリクロロ酢酸、クロモトロープ酸、及びそれらの塩類が含まれる。
Cheung, C.らの論文、“A Study of a Single Closed Contact for 0.18 micron Photolithography Process”, Proc. SPIE−Int. Soc. Opt.
Eng. 3998, 738−741, 2000には、ホトレジスト残留物及び単一閉鎖コンタクトの欠陥をなくすのにリンス液中でオクチル及びノニルフェノールエトキシレートなどのような、例えばTRITON(商標) X−114、X−102、x−45、及びX−15等の界面活性剤を使用することが開示されている。Cheungらによれば、リンス液において界面活性剤を用いることはあまり多くの成功をもたらさなかった。
米国特許第5977041号明細書には、水、水溶性の有機酸、及び水溶性の界面活性剤を含む水性の剥離後リンス液が記載されている。界面活性剤には、アセチレン列アルコール基を少なくとも一つ有するオリゴ(酸化エチレン)化合物が含まれる。
国際公開第00/03306号パンフレットには、溶媒と界面活性剤との混合物を含む剥離剤組成物であって、溶媒の量が全組成物の約50〜約99.9質量%の範囲、界面活性剤の量が全組成物の約0.1〜約30質量%の範囲である剥離剤組成物が記載されている。
米国特許出願第2002/0115022号明細書には、パーフルオロアルキルスルホン酸アンモニウム又はパーフルオロアルキルカルボン酸アンモニウムなどのアニオン界面活性剤をおのおのが含有している、現像液とリンス液が記載されている。これらの溶液は、パターンのつぶれを減らすために連続式に適用される。
Hienらの論文、“Collapse Behavior of Single Layer 193 and 157 nm Resists: Use of Surfactants in the Rinse to Realize the Sub 130 nm Nodes”, Advance in Resist Tech. And Processing XIX, Proceedings of SPIE, Vol. 4690(2002), pp.254−261には、現像後の基板に10%のフルオロ界面活性剤と水とのリンス液を適用してパターンのつぶれを減らすことが記載されている。Hienらによれば、使用したフルオロ界面活性剤の一部はつぶれの挙動を悪化させた。
界面活性剤は現像後リンス液として広く使用されているとはいえ、これらの溶液は動的条件下で表面張力を低下させるのには有効でなかろう。更に、これの溶液には発泡の発生という不所望の副作用のあることがある。これらの問題のために、当該技術において使用される一般的な界面活性剤を使用するリンス液は、半導体デバイスにおける欠陥の全て、特にパターンのつぶれの欠陥を、軽減するのに有効ではなかろう。
ここで言及した全ての参考文献は、参照によりそれらの全体がここに組み入れられる。
特開平7−142349号公報 米国特許第6152148号明細書 国際公開第02/23598号パンフレット 特開平8−8163号公報 国際公開第87/03387号パンフレット 米国特許第5977041号明細書 国際公開第00/03306号パンフレット 米国特許出願第2002/0115022号明細書
Tanaka, T., et al., "Mechanism of Resist Pattern Collapsed During Developer Process", Jpn. J. Appl. Phys., Vol. 32, 1993, pp.6059−64 Domke, W.D. et al., "Pattern Collapse in High Aspect Ratio DUV− and 193nm Resists", Proc. SPIE−Int. Soc. Opt. Eng. 3999, 313−321, 2000 Cheung, C. et al., "A Study of a Single Closed Contact for 0.18 micron Photolithography Process", Proc. SPIE−Int. Soc. Opt. Eng. 3998, 738−741, 2000 Hien et al., "Collapse Behavior of Single Layer 193 and 157 nm Resists: Use of Surfactants in the Rinse to Realize the Sub 130 nm Nodes", Advance in Resist Tech. And Processing XIX, Proceedings of SPIE, Vol. 4690(2002), pp.254−261
本発明の目的は、上述の問題を解決することである。
本発明は、処理溶液とそれを使用する方法を提供することにより、当該技術の必要性の、全てではないとしても、一部を満足するものである。具体的に言えば、本発明の一つの側面において、半導体デバイスの製造時の欠陥を減らすための方法が提供される。この方法は、基材を用意する工程と、この基材を次の式(I)又は(II)
(式中のR1とR4は炭素原子数3〜10の直鎖又は枝分かれしたアルキル鎖であり、R2とR3はHかあるいは炭素原子数1〜5のアルキル鎖であり、m、n、p及びqは0〜20の範囲の数である)
を有する少なくとも1種の界面活性剤を約10ppm〜約10,000ppm含む処理溶液と接触させる工程を含む。特定の好ましい態様では、処理溶液は分散剤を更に含む。
本発明の更に別の態様では、半導体デバイスの製造時の欠陥を減らすための方法が提供される。この方法は、基材を用意する工程と、この基材を下式
(式中のR1とR4は炭素原子数3〜10の直鎖又は枝分かれしたアルキル鎖であり、R2とR3はHかあるいは炭素原子数1〜5のアルキル鎖であり、m、n、p及びqは0〜20の範囲の数である)
を有する少なくとも1種の界面活性剤を約10ppm〜約10,000ppm含む処理溶液と接触させる工程を含む。特定の好ましい態様では、界面活性剤の(p+q)の値は1〜10の範囲にある。
本発明のなおもう一つの態様では、次の式(I)又は(II)
(式中のR1とR4は炭素原子数3〜10の直鎖又は枝分かれしたアルキル鎖であり、R2とR3はHかあるいは炭素原子数1〜5のアルキル鎖であり、m、n、p及びqは0〜20の範囲の数である)
を有する少なくとも1種の界面活性剤を約10〜約10,000ppm有する処理溶液が提供される。
本発明のなお更に別の態様では、下式
(式中のR1とR4は炭素原子数3〜10の直鎖又は枝分かれしたアルキル鎖であり、R2とR3はHかあるいは炭素原子数1〜5のアルキル鎖であり、m、n、p及びqは0〜20の範囲の数である)
を有する界面活性剤を約10〜約10,000ppm含む処理溶液が提供される。
本発明のなお更に別の側面では、半導体デバイス製造の際のパターンつぶれの欠陥の数を減らすための方法であって、ホトレジストコーティングを含む基材を用意する工程、この基材を放射線源に暴露してホトレジストコーティングにパターンを形成する工程、基材に現像液を適用してパターニングしたホトレジストコーティングを形成する工程、随意に基材を脱イオン水でリンスする工程、そして基材を、溶媒と次の式(III)、(IVa)、(IVb)、(V)、(VI)、(VII)、又は(VIII)
を有する少なくとも1種の界面活性剤10ppm〜約10,000ppmとを含む処理溶液と接触させる工程を含む方法が提供され、式中のR1とR4はそれぞれ独立に、炭素原子数3〜10の直鎖又は枝分かれしたアルキル基であり、R2とR3はそれぞれ独立に、水素原子かあるいは炭素原子数1〜5のアルキル基であり、R5は炭素原子数1〜10の直鎖又は枝分かれしたアルキル基であり、R6は炭素原子数4〜16の直鎖又は枝分かれしたアルキル基であり、R7、R8及びR9はそれぞれ独立に、炭素原子数1〜6の直鎖又は枝分かれしたアルキル基であり、R10は独立にH又は下式
で表される基であり、R11は炭素原子数4〜22の直鎖、枝分かれ、又は環式のアルキル基であり、Wは水素原子又はアルキニル基であり、XとYはそれぞれ独立に、水素原子又はヒドロキシル基であり、Zはハロゲン原子、ヒドロキシル基、酢酸基、又はカルボン酸基であり、m、n、p及びqはそれぞれ独立に0〜20の範囲の数であり、rとsはそれぞれ独立に2又は3であり、tは0〜2の範囲の数であり、jは1〜5の数であり、そしてxは1〜6の範囲の数である。
本発明のなお別の側面では、複数の基材の表面の現像したパターンのつぶれを回避するための方法であって、表面に現像したホトレジストパターンを含む第一の基材を用意する工程、ここに記載される式(I)、(II)、(III)、(IVa)、(IVb)、(V)、(VI)、(VII)、又は(VIII)を有する少なくとも1種の界面活性剤を10ppm〜約10,000ppm含む処理溶液を調製する工程、第一の基材をこの処理溶液と接触させる工程、処理溶液の表面張力と第一の基材上での接触角を測定する工程、その表面張力にその接触角のコサインを乗じて処理溶液の付着張力の値を得る工程、おのおのが表面に現像したホトレジストパターンを含む複数の基材を用意する工程、そしてこれらの複数の基材を、上記処理溶液の付着張力の値が30以下である場合に、当該処理溶液と接触させる工程を含む方法が提供される。
本発明のなお別の側面では、パターニングし現像した基材表面のパターンつぶれの欠陥を減らすためのリンス処理溶液であって、水性溶媒又は非水性溶媒からなる群から選ばれる少なくとも1種のキャリア媒体と、ここに記載される式(III)、(IVa)、(IVb)、(V)、(VI)、(VII)、又は(VIII)を有する界面活性剤の群から選ばれる少なくとも1種の界面活性剤とを含むリンス処理溶液が提供される。
本発明のこれら及びこのほかの側面は以下の詳しい説明から明らかとなろう。
本発明は、半導体デバイスの製造中に被る欠陥の数を減らすのに使用される処理溶液と、それを使用する方法に関するものである。この処理溶液中に少量存在する界面活性剤は、分散することにより欠陥をもたらしかねない粒子状物質を除去するのを助ける。特定の好ましい態様では、本発明の処理溶液は、パターニングしたホトレジスト層の表面の溶液の濡れを向上させることにより現像後の欠陥を減らすことができる。処理溶液の向上した濡れは、コンタクトホール内又は高密度の表面パターン中に残った残留物を除去することができる。本発明の処理溶液は、現像後のリンス液として使用される場合には、パターニングしたラインに影響を及ぼしてパターンつぶれの欠陥に関与する毛管力を低減することもできる。更に、この処理溶液は、動的なリンスの状況においてより効果的に作用して、当該技術分野で従前から使用される他の界面活性剤と比べて発生する発泡を相対的に少なくする。
本発明の処理溶液は、半導体デバイスの製造に関連する様々なプロセスにおいて、例えばリソグラフィーの処理溶液、すなわちリンス液、レジスト除去剤、エッジビード除去剤及び反射防止コーティング(ARC)の溶液として、エッチング後の処理溶液、すなわち側壁膜、剥離剤、剥離/アッシング処理後のリンス溶液として、ウエハクリーニングの処理溶液、すなわちRCA又は他の標準的クリーニング溶液及び超臨界CO2クリーニング溶液への添加剤として、そして航空宇宙用途向けのクリティカルクリーニング又は精密クリーニング用処理溶液として、使用することができる。特定の好ましい態様においては、本発明の処理溶液をリソグラフィーリンス液として使用してもよい。本発明の処理溶液中の界面活性剤は、発泡を最小限にしながら、平衡及び動的な表面張力の低減を可能にすることができる。
本発明の処理溶液は、キャリア相又は媒体として、水性溶媒及び/又は非水性溶媒を有することができる。ここで使用する「水性」という用語は、少なくとも80質量%、好ましくは90質量%、より好ましくは少なくとも95質量%の水を含む、溶媒又は液体分散媒体を表すものである。好ましい水性溶媒は脱イオン水である。処理溶液が水性である態様においては、少なくとも1種の式I〜VIIIの界面活性剤は、23℃の水中に5質量%以下の濃度、且つ参照により全体がここに組み入れられるLangmuir 1986, 2, 428−432に記載された表面張力を測定する最大気泡圧力法(maximum−bubble−pressure method)に従って1気泡/秒の条件において、45ダイン/cm未満の動的表面張力を示すことが望ましい。
水のような水性溶媒のほかにあるいはその代わりに非水性溶媒を使用する態様では、選定した非水性溶媒はそれに含有される少なくとも1種の界面活性剤、処理溶液中のその他の添加剤、あるいは基材自体と反応しない。好適な溶媒には、炭化水素類(例えばペンタン又はヘキサン)、ハロカーボン類(例えばフレオン113)、エーテル類(例えばエチルエーテル(Et2O)、テトラヒドロフラン(THF)、エチレングリコールモノメチルエーテル、又は2−メトキシエチルエーテル(ジグリム))、ニトリル類(例えばCH3CN)、あるいは芳香族化合物(例えばベンゾトリフルオライド)が含まれるが、好適な溶媒はそれらに限定されない。なお更なる溶媒の例には、ラクテート類、ピルベート類、及びジオール類が含まれる。これらの溶媒には、アセトン、1,4−ジオキサン、1,3−ジオキソラン、酢酸エチル、シクロヘキサノン、アセトン、1−メチル−2−ピロジジアノン(NMP)及びメチルエチルケトンが含まれるが、それらに限定されるわけではない。このほかの溶媒には、ジメチルホルムアミド、ジメチルアセトアミド、N−メチルピロリドン、炭酸エチレン、炭酸プロピレン、グリセロール及び誘導体、ナフタレン及び置換体、無水酢酸、プロピオン酸及び無水プロピオン酸、ジメチルスルホン、ベンゾフェノン、ジフェニルスルホン、フェノール、m−クレゾール、ジメチルスルホキシド、ジフェニルエーテル、テルフェニルなどが含まれる。なお更なる溶媒には、プロピレングリコールプロピルエーテル(PGPE)、メタノール、エタノール、3−ヘプタノール、2−メチル−1−ペンタノール、5−メチル−2−ヘキサノール、3−ヘキサノール、2−ヘプタノール、2−ヘキサノール、2,3−ジメチル−3−ペンタノール、プロピレングリコールメチルエーテルアセテート(PGMEA)、エチレングリコール、イソプロピルアルコール(IPA)、n−ブチルエーテル、プロピレングリコールn−ブチルエーテル(PGBE)、1−ブトキシ−2−プロパノール、2−メチル−3−ペンタノール、2−メトキシエチルアセテート、2−ブトキシエタノール、2−エトキシエチルアセトアセテート、1−ペンタノール、及びプロピレングリコールメチルエーテル、が含まれる。上に例示した非水性溶媒は単独で使用してもよく、あるいは2種以上の溶媒の組み合わせでもって使用してもよい。
特定の態様においては、処理溶液は、水性溶媒に混和できるかあるいは水に混和可能である少なくとも1種の非水性溶媒を含有してもよい。これらの態様では、処理溶液中の非水性溶媒の量は約1〜約50質量%の範囲でよく、処理溶液中の溶媒の残りが水性溶媒を構成する。水混和性非水性溶媒の例には、メタノール、エタノール、イソプロピルアルコール、及びTHFが含まれる。
本発明の溶液は、構造式I〜VIIIで表される少なくとも1種の界面活性剤を10〜10,000ppm含む。典型的な界面活性剤は両親媒性の特性を示し、これはそれらが同時に親水性と疎水性の両方であることができることを意味する。両親媒性界面活性剤は、水に対して強い親和性を持つ親水性の1又は2以上の先端基(head group)と、有機親和性で水をはじく長い疎水性の尾部(tail)とを有する。本発明で使用される式I〜VIIIの少なくとも1種の界面活性剤は、イオン性(すなわちアニオン性、カチオン性)でもあるいは非イオン性でもよい。
本発明の特定の態様では、処理溶液は、アセチレン列ジオール誘導体である1種以上の非イオン界面活性剤を含有することができる。本発明の界面活性剤は、下記の式I又は式IIで表すことができる。
これらの式中のR1とR4はそれぞれ独立に、炭素原子数3〜10の直鎖又は枝分かれしたアルキル鎖であり、R2とR3はそれぞれ独立に、水素原子か又は炭素原子数1〜5のアルキル鎖であり、m、n、p及びqはそれぞれ独立に、0〜20の範囲の数である。これらの界面活性剤は、本発明の譲受人である米国ペンシルバニア州Allentownのエア・プロダクツ・アンド・ケミカルズ・インコーポレイテッドから、SURFYNOL(商標)及びDYNOL(商標)の商標名で商業的に入手できる。特定の好ましい態様においては、式I又はIIの分子のアセチレン列ジオール部分は2,4,5,9−テトラメチル−5−デシン−4,7−ジオール又は2,5,8,11−テトラメチル−6−ドデシン−5,8−ジオールである。アセチレン列ジオールから誘導されたこれらの界面活性剤は、例えば、本発明の譲受人に譲渡されておりそれらの全体が参照によりここに組み入れられる米国特許第6313182号明細書及びヨーロッパ特許出願公開第1115035号明細書に記載された方法を含めて、多数の方法で調製することができる。
式IとIIにおいて、(OC24)により表される酸化アルキレン部分は(n+m)の重合した酸化エチレン(EO)分子単位であり、(OC36)により表される部分は(p+q)の重合した酸化プロピレン(PO)分子単位である。(n+m)の値は0〜30の範囲でよく、好ましくは1.3〜15、より好ましくは1.3〜10の範囲でよい。(p+q)の値は0〜30の範囲でよく、好ましくは1〜10、より好ましくは1〜2の範囲でよい。
本発明の特定の好ましい態様においては、処理溶液は次の式III〜VIIIにより表される少なくとも1種の界面活性剤を10〜10,000ppm含有する。
上記の式のおのおのにおいて、R1とR4はそれぞれ独立に、炭素原子数3〜10の直鎖又は枝分かれしたアルキル基であり、R2とR3はそれぞれ独立に、水素原子か又は炭素原子数1〜5のアルキル基であり、R5は炭素原子数1〜10の直鎖又は枝分かれしたアルキル基であり、R6は炭素原子数4〜16の直鎖又は枝分かれしたアルキル基であり、R7、R8及びR9はそれぞれ独立に、炭素原子数1〜6の直鎖又は枝分かれしたアルキル基であり、R10は独立にH又は下式
で表される基であり、R11は炭素原子数4〜22の直鎖、枝分かれ、又は環式のアルキル基であり、Wは水素原子又はアルキニル基であり、XとYは水素原子か又はヒドロキシル基であり、Zはハロゲン原子、ヒドロキシル基、酢酸基、又はカルボン酸基であり、m、n、p及びqはそれぞれ独立に、0〜20の範囲の数であり、rとsはそれぞれ独立に2又は3であり、tは0〜2の範囲の数であり、jは1〜5の範囲の数であり、そしてxは1〜6の範囲の数である。式IIIの界面活性剤の例には、3,5−ジメチル−1−ヘキシン−3−オールと2,6−ジメチル−4−ヘプタノールが含まれるが、例はそれらに限定されない。式VIaの界面活性剤の例には、N,N’−ビス(1,3−ジメチルブチル)エチレンジアミンが含まれるが、例はそれに限定されない。式Vの界面活性剤の例には、酒石酸ジイソペンチルが含まれるが、例はそれに限定されない。式VIの界面活性剤の例には、ドデシルトリメチルアンモニウムクロライドが含まれるが、例はそれに限定されない。式VIIの界面活性剤の例には、2,4,7,9−テトラメチル−4,7−デカンジオールが含まれるが、例はそれに限定されない。式VIIIの界面活性剤の例には、ジエチレントリアミンとn−ブチルグリシジルエーテルの付加物(アダクト)が含まれるが、例はそれに限定されない。
処理溶液は、随意に分散剤を含有してもよい。処理溶液に加えられる分散剤の量は、約10〜約10,000ppmの範囲であり、好ましくは約10〜約5,000ppm、より好ましくは約10〜約1,000ppmの範囲である。ここで使用する分散剤という用語は、ダスト、プロセス残留物、炭化水素類、金属酸化物、顔料又は処理溶液中のその他の汚染物質等の粒子状物質の分散を高める化合物を表す。本発明にとって好適な分散剤は、好ましくは、約10〜10,000の範囲の数平均分子量を有する。
分散剤はイオン性化合物でも非イオン性化合物でもよい。イオン性又は非イオン性化合物は、コポリマー、オリゴマー、又は界面活性剤を、単独で又は組み合わせて更に含んでもよい。ここで使用するコポリマーという用語は、2以上の高分子化合物からなるポリマー化合物、例えばブロックコポリマー、星型コポリマー、グラフトコポリマーなど、に関連するものである。非イオンコポリマー分散剤の例には、トリブロックEO−PO−EOコポリマーのPLURONIC(商標) L121、L123、L31、L81、L101及びP123(BASF社)のような高分子化合物が含まれる。ここで使用するオリゴマーという用語は、数個のモノマー単位のみからなるポリマー化合物に関連するものである。イオン性オリゴマー分散剤の例には、SMA(商標)1440及び2625オリゴマー(Elf Alfochem社)が含まれる。
あるいはまた、分散剤は界面活性剤を含んでもよい。分散剤が界面活性剤を含む場合、界面活性剤はイオン性(すなわちアニオン性、カチオン性)又は非イオン性でよい。界面活性剤の更なる例には、シリコーン界面活性剤、ポリ(酸化アルキレン)界面活性剤、及びフルオロケミカル界面活性剤が含まれる。処理溶液で使用するのに好適な非イオン界面活性剤には、TRITON(商標) X−114、X−102、X−45、X−15などのオクチル及びノニルフェノールエトキシレート類、及びBRIJ(商標) 56(C1633(OCH2CH210OH)(ICI社)、BRIJ(商標) 58(C1633(OCH2CH220OH)(ICI社)などのアルコールエトキシレート類が含まれるが、好適な非イオン界面活性剤はそれらに限定されない。界面活性剤のなお更なる例には、アルコール(第一及び第二)エトキシレート類、アミンエトキシレート類、グルコシド類、グルカミド類、ポリエチレングリコール類、ポリ(エチレングリコール−コプロピレングリコール)、あるいは米国ニュージャージー州Glen RockのManufacturers Confectioners Publishing Co.により刊行された参考文献McCutcheon’s Emulsifiers and Detergents, North American Edition for the Year 2000に提示されたそのほかの界面活性剤が含まれる。
処理溶液には、用途に応じて、種々の他の添加剤を随意に加えることができる。これらの添加剤には、安定剤、溶解助剤、着色剤、湿潤剤、消泡剤、緩衝剤、及び他の追加の界面活性剤を含めることができるが、添加剤はそれらに限定されない。一般に、特に述べない限りは、これらの添加剤のおのおのの量は、処理溶液の総質量を基にして、約0.0001〜1質量%、より好ましくは0.0001〜0.1質量%である。処理溶液に1種以上の追加の界面活性剤を加える態様では、界面活性剤はここに開示されたあるいは参考文献McCutcheon’s Emulsifiers and Detergentsに提示された界面活性剤のうちのいずれでもよい。
特定の態様では、本発明の処理溶液を非水性ホトレジストとして使用してもよい。これに関連して言えば、好ましくは処理溶液は、60〜90質量%、より好ましくは70〜90質量%の非水性溶媒、5〜40質量%、より好ましくは10〜20質量%のレジストポリマー、0.5〜約2質量%の光活性化合物、10〜10,000ppmの少なくとも1種の式1〜VIIIの界面活性剤、そして1質量%未満のその他の添加剤、例えば重合防止剤、染料、可塑剤、粘度調節剤など、を含む。ホトレジストの粘度は、ポリマー対溶媒比を変更することにより調整することができ、こうしてレジストを様々な膜厚のコーティング用に配合するのを可能にすることができる。ホトレジスト処理溶液中の好適な非水性溶媒の例には、ここに記載されたいずれの溶媒も含まれる。レジストポリマーの非限定の例には、ノボラック樹脂又はポリビニルフェノールコポリマーが含まれる。光活性化合物の非限定の例には、ジアゾナフトキノン又は光酸発生剤(PAG)が含まれる。
本発明の処理溶液は、非水性のエッジビード除去剤として使用することもできる。エッジビード除去剤は、パターニングしたホトレジスト層をベークしてその中のポリマーを架橋させる前に適用してもよく、あるいはリソグラフィーの前に適用してもよい。この態様では、処理溶液は好ましくは、99〜100質量%の非水性溶媒、10〜10,000ppmの少なくとも1種の式I〜VIIIの界面活性剤、及び1質量%未満のその他の添加剤を含む。エッジビード除去剤処理溶液中の好適な非水性溶媒の例には、ここに記載されたいずれの溶媒も含まれる。特定の好ましい態様では、溶媒はPGMEA、乳酸エチル、又はアニソールでよい。
本発明の処理溶液は、基材の上面又は底面のための反射防止コーティングとして使用してもよい。この態様では、処理溶液は好ましくは、60〜99質量%の非水性溶媒、1〜40質量%、好ましくは1〜20質量%のポリマー、10〜10,000ppmの少なくとも1種の式I〜VIIIの界面活性剤、及び1質量%未満のその他の添加剤、例えば架橋剤、界面活性剤、染料化合物など、を含む。一般に、処理溶液の固形分含有量は、処理溶液の総質量の約0.5〜約40質量%、好ましくは0.5〜約20質量%、より好ましくは2〜10質量%の範囲で変動することができる。ARC処理溶液中の好適な非水性溶媒の例には、ここに記載されたいずれの溶媒も含まれる。特定の好ましい態様では、溶媒はPGMEA又は乳酸エチルでよい。ARC処理溶液中の好適なポリマーの例には、米国特許第6410209号明細書に開示されたもののようなアクリレートポリマー又はフェニル含有ポリマー、及び米国特許第6268457号明細書及び同第6365765号明細書に開示されたもののようなメチルシロキサン、メチルシルセスキオキサン及びシリケートポリマーなどのようなスピンオンガラス材料が含まれるが、ポリマーはそれらに限定されない。
本発明の処理溶液は、現像工程後に行われる例えばRCAタイプのクリーニングのようなウエハクリーニング法で使用してもよい。この態様では、剥離工程、CMP工程、アッシュクリーニング工程及び/又はエッチング工程の完了後に基材を処理溶液で処理することができる。本発明の一つの態様においては、処理溶液は、水性溶媒中又は水中に、アミン及び/又は水酸化アンモニウム、アルキルアンモニウムヒドロキシドなどのような塩基と、H22などのような酸化剤と、随意のキレート化剤と、10〜10,000ppmの少なくとも1種の式I〜VIIIの界面活性剤を含む。キレート化剤の一部の非限定の例は、次に掲げる有機酸とその異性体及び塩類、すなわち、(エチレンジニトリロ)四酢酸(EDTA)、ブチレンジアミン四酢酸、クロロヘキサン−1,2−ジアミン四酢酸(CyDTA)、ジエチレントリアミン五酢酸(DETPA)、エチレンジアミン四プロピオン酸、(ヒドロキシエチル)エチレンジアミン三酢酸(HEDTA)、N,N,N’,N’−エチレンジアミン四メチレンホスホン酸(EDTMP)、クエン酸、酒石酸、フタル酸、グルコン酸、糖酸、カテコール、没食子酸、ピロガロール、没食子酸プロピル、及びシステイン、である。別の態様においては、処理溶液は希HF、10〜10,000ppmの少なくとも1種の式I〜VIIIの界面活性剤、及び水を含む。更に別の態様では、処理溶液は、硫酸又はHClなどのような酸と、H22などのような酸化剤と、随意のキレート化剤と、10〜10,000ppmの少なくとも1種の式I〜VIIIの界面活性剤と、水性溶媒又は水を含み、この溶液における酸対酸化剤比は1:1である。もう一つの態様では、処理溶液は、電解イオン化水のような水性溶媒と10〜10,000ppmの少なくとも1種の式I〜VIIIの界面活性剤とを含む。なおもう一つの態様では、処理溶液は、UV/オゾン、10〜10,000ppmの少なくとも1種の式I〜VIIIの界面活性剤、及び水を含む。ウエハクリーニング用途の場合は、処理溶液をメガソニック(megasonic)クリーニング用又はスプレー塗布などのような通常のクリーニング用に使用してもよい。
本発明の処理溶液は、少なくとも1種の式I〜VIIIの界面活性剤を、水性及び/又は非水性の溶媒及び任意の追加の添加剤とともに混合して調製することができる。特定の態様においては、混合は、含まれる成分を溶解させるよう約40〜60℃の温度範囲で行うことができる。得られた処理溶液を随意にフィルターにかけて、可能性として基材に悪影響を与えかねない未溶解の粒子を除去してもよい。
処理溶液は、好ましくは、現像工程中に又はその後で基材の表面を処理するのに使用される。好適な基材には、ヒ化ガリウム(GaAs)、ケイ素、タンタル、銅、セラミック、アルミニウム/銅合金、ポリイミド、そして例えば結晶性シリコン、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、二酸化ケイ素(SiO2)、窒化ケイ素、ドープされた二酸化ケイ素などのようなケイ素含有組成物、などの材料が含まれるが、基材はそれらに限定されない。基材の更なる例には、ケイ素、アルミニウム、又はポリマー樹脂が含まれる。
特定の好ましい態様において、処理溶液は、ホトレジストコーティングを塗布された基材に適用される。次に、このホトレジストをコーティングした基材を放射線に暴露して、ホトレジストコーティングにパターンを描画する。使用することができる放射線源の例には、紫外線(UV)、電子線、X線、レーザー、又はイオンビームが含まれる。一部の態様では、含まれている溶媒を除去するため、暴露(露光)工程の前にプリベーク又はソフトベーク工程を行ってもよい。このプリベーク又はソフトベーク工程は、例えば、ホットプレート上にて90〜150℃の範囲の温度で30〜120秒間行うことができる。
ホトレジストコーティングがポジ型であるかネガ型であるかに応じて、放射線は、後に適用されるアルカリ性現像液への、例えばテトラメチルアンモニウムヒドロキシド(TMAH)、水酸化カリウム、水酸化ナトリウム又はその他の塩基を含有している処理溶液への、コーティングの溶解度を増大させるかあるいは低下させる。現像溶液の更なる例には、米国特許第6455234号、同第6268115号、同第6238849号、同第6127101号、及び同第6120978号各明細書に提示されたものが含まれる。ポジ型ホトレジストコーティングにおいては、放射線からマスクされた領域が現像後に残存する一方で、露光された領域は溶解してなくなる。ネガ型ホトレジストコーティングでは、反対のことが起こる。本発明の処理溶液は、ポジ型あるいはネガ型のホトレジストコーティングを有する基材を処理するのに適している。パターニングしたホトレジスト像は、限定されることなく静置、浸漬、スプレー、又はパドル現像を含めて、様々な手段により現像することができる。例えば、静置法では、露光した基材表面に現像液を適用し、パターンを現像するのに十分な時間の後に基材表面にリンス液を適用する。現像時間と温度は、使用する方法によって変わる。
パターニングしたホトレジスト像を現像後に、基材をベークしてホトレジスト中に含まれるポリマーを硬化させる。ベーク工程は、例えば、70〜150℃の範囲の温度で30〜120秒間行うことができる。
処理溶液は、好ましくは、調製された溶液として基材表面に適用される。とは言え、別の態様では、処理溶液は、基材表面と接触する直前又はその間にリンス液の流れ中で調製することができる。例えば、所定量の1種以上の式I〜VIIIの界面活性剤を、随意に他の添加剤を含む水及び/又は非水性溶媒媒体の連続の流れに注入し、それにより処理溶液を作ることができる。本発明の一部の態様においては、少なくとも1種の式I〜VIIIの界面活性剤のうちの一部分を、処理溶液の適用後の基材に加えてもよい。この場合には、処理溶液は、基材を処理している間に複数の工程で作ることができる。本発明のなお別の態様では、当該少なくとも1種の式I〜VIIIの界面活性剤を、例えばカートリッジ又はフィルターなどのような表面積の大きな装置の材料(これは他の添加剤を含んでもよくあるいは含まなくともよい)上に付着させるか、又は界面活性剤でそれを構成することもできる。その後、水及び/又は非水性溶媒の流れがカートリッジ又はフィルターを通過して、それにより処理溶液が作られる。本発明のなおもう一つの態様では、処理溶液は接触工程の間に調製される。これについては、少なくとも1種の式I〜VIIIの界面活性剤を点滴器又は他の手段により基材の表面に供給する。その後、水及び/又は非水性溶媒媒体を基材の表面に供給し、そして基材の表面上で当該少なくとも1種の式I〜VIIIの界面活性剤と混合させて、それにより処理溶液を作る。
本発明の別の態様では、少なくとも1種の式I〜VIIIの界面活性剤を含む濃厚組成物を作り、それを水及び/又は非水性溶媒で希釈して処理溶液を提供することができる。本発明の濃厚組成物、又は「濃厚物」は、当該濃厚物を所望の濃度とpHに希釈するのを可能にする。濃厚物はまた、製品のより長い保存寿命と、より容易な輸送及び保管も可能にする。
処理溶液を基材表面と接触させるのには、種々の手段を用いることができる。接触工程の実際の条件(すなわち、温度、時間など)は、広い範囲にわたって変わることがあり、そして一般には様々な因子、例えば、限定されることなしに、基材表面の残留物の性質と量、及び基材表面の疎水性又は親水性、等の因子に依存する。接触工程は、例えば基材の表面へ処理溶液を適用するための流線(streamline)法のような動的方法でもって、あるいは例えばパドルリンス又は基材を処理液の入った浴内に浸漬するなどのような静的方法でもって、行うことができる。処理溶液はまた、動的方法で、例えば連続法でもって、基材の表面へスプレーしてもよく、あるいは表面へスプレーしそして静的方法でもってそのままそこに残らせてもよい。特定の好ましい態様においては、接触工程は静的方法で行われる。接触工程の時間、又は処理溶液が基材表面と接触する時間は、1秒未満から数百秒までいろいろでよい。好ましくは、この時間は1〜200秒の範囲、より好ましくは1〜150秒、更に好ましくは1〜40秒の範囲でよい。接触工程についての温度範囲は10〜100℃の範囲でよく、より好ましくは10〜40℃である。
接触工程が静的であるか動的であるかにかかわりなく、処理溶液又は濃厚物はまだ湿っている基材表面に適用するのが好ましい。例えば、一つの好ましい態様では、処理溶液をホトレジスト層の現像後のリンス液として使用する。これについて言うと、ホトレジストをコーティングした基材を現像液で現像する。現像後、処理溶液を、脱イオン水のリンス液のほかに、あるいはそれの代わりに、リンス液として基材表面に適用する。基材が現像液及び/又は脱イオン水でまだ濡れている間に、プロセス溶液を動的方法又は静的方法でもって、例えばそれを基材の表面へ塗布することにより、適用することができる。供給している間、基材を例えば100rpmの速度でゆっくりと回転させて、処理溶液を基材表面上に分配する。動的方法の場合、処理溶液を基材上へ連続的に供給しながら基材をゆっくり回転させる。パドル法のような静的方法の場合、基材を短時間、例えば15秒間、静止させる。処理溶液でのリンス工程の完了後、リンス処理したウエハを例えば高速回転数でのスピン乾燥により乾燥させる。
本発明の更に別の態様では、パターニングしたホトレジストをコーティングした基材のパターンつぶれの欠陥数を最小限にする、少なくとも1種の式I〜VIIIの界面活性剤を含む処理溶液を選ぶための方法が提供される。これについて言うと、この方法は、少なくとも1種の界面活性剤を10〜10,000ppm含有している処理溶液の表面張力を求め接触角を測定することを含む。最初に、試料のホトレジストをコーティングした基材の表面に処理溶液を適用する。この処理溶液の表面張力、好ましくは動的な表面張力を、この明細書で説明している最大気泡圧力法に従って測定することができる。次に、基材表面上の処理溶液の小滴のベースラインと小滴の底部における接線とのなす角度である処理溶液の接触角を測定する。特定の好ましい態様では、高速カメラを使って、1秒当たり2フレームの速度で小滴の広がるのを2分間撮影し、そして接触角を写真画像により測定することができる。
処理溶液について表面張力と接触角が得られたならば、次に表面張力に接触角の測定値のコサインをかけ算して、この明細書において「付着張力値」と呼ぶ所定の値を得る。処理溶液の付着張力値がより小さいことは、パターンつぶれの欠陥がより低減することと相関している。30以下、好ましくは25以下、より好ましくは20以下の付着張力値は、処理溶液が、従来技術の文献に記載される脱イオンしたリンス液又は他の界面活性剤を含有している処理溶液と比べて、パターンつぶれの欠陥を減らすのにより有効であることを示すものである。付着張力値が許容できる(すなわち30以下である)場合には、処理溶液を生産ロット用に使用することができる。式I〜VIIIの界面活性剤の濃度は、各界面活性剤ごとに異なる濃度で計算された最小の付着張力値により求められる。特定の好ましい態様では、処理溶液は、アスペクト比が3.0以上でピッチが1:1.4以上、あるいは標準化したアスペクト比が少なくとも0.015 1/nmのパターニングし現像したホトレジストでコーティングした基材について、脱イオン水のリンス液と比べてパターンつぶれの欠陥の数を25%以上、好ましくは50%以上、より好ましくは75%以上減少させる。
本発明を下記の例を参照してより詳しく説明するが、本発明はそれらに限定されるものでないことを理解すべきである。
例1〜5: 動的表面張力(DST)
2,4,7,9−テトラメチル−5−デシン−4,7−ジオール(例1〜3)又は2,5,8,11−テトラメチル−6−ドデシン−5,8−ジオール(例4、5)から得られたアセチレン列ジオール界面活性剤を含有している5つの処理溶液を、連続撹拌下で0.1質量%の界面活性剤を脱イオン水に加えて調製した。
各処理溶液ごとの動的表面張力(DST)データを、Langmuir 1986, 2, pp.428−432に記載された最大気泡圧力法により得た。データは、米国ノースカロライナ州CharlotteのKruss, Inc.製のKruss BP2気泡圧力張力計を使って0.1気泡/秒(b/s)から20b/sの範囲の気泡速度において得た。各例ごとのEO及びPOの分子単位と動的表面張力のデータを表Iに示す。
この動的表面張力データは、ほぼ平衡(0.1b/s)から比較的高い表面構造製作速度(20b/s)までの条件での界面活性剤の性能についての情報を提供する。半導体又はIC加工処理のような用途の場合、高い気泡速度はより速い基材回転速度、あるいは現像後のリンス処理での動的な供給に対応しよう。高い気泡速度で水の動的表面張力(すなわち20b/sで70〜72dyn/cm)未満に低下した動的表面張力は、特に、ホトレジストをコーティングした基材の濡れを良好にし、欠陥数を減少させ、そしてパターンのつぶれを防止することが望ましい。表Iに示されたように、全ての処理溶液が高い気泡速度において水の動的表面張力より小さい動的表面張力を示した。これは、本発明の処理溶液は水の表面張力を低下させるのに有効であることを示している。
例6〜8: 発泡特性
2,4,7,9−テトラメチル−5−デシン−4,7−ジオール(例6、7)又は2,5,8,11−テトラメチル−6−ドデシン−5,8−ジオール(例8)から得られたアセチレン列ジオール界面活性剤を含有している3つの処理溶液を、0.1質量%の各界面活性剤を連続撹拌下に脱イオン水に加えて調製した。
発泡は、リンス液における界面活性剤の望ましくない副作用である。例6〜8の発泡特性を、ASTM D 1173−53のRoss−Miles試験法を基にした手順を使って試験した。得られた結果を表IIに示す。この試験では、室温で200mlの量の各処理溶液を高い位置の発泡ピペットから50mlの同じ溶液を入れた発泡受け器に加えた。Ross−Miles法は、液を同じ液の入った円筒状容器へ注ぎ入れるのをシミュレーションする。得られた結果を表IIに示す。添加完了時に発泡高さを測定し(初期発泡高さ)、そして泡が消失するのに要する時間を記録する(泡がゼロになる時間)。特定の用途においては、発泡は、それが基材の表面を十分に覆うことができないために欠陥をもたらしかねないので、望ましくないことがある。表IIに示されたように、泡がゼロになる時間はおよそ1分以下である。
例6の処理溶液を、Ross−Miles試験法を使って0.1質量%のフルオロ界面活性剤(パーフルオロアルキルエトキシレート)を含有している処理溶液及びイオン性界面活性剤(ラウリル硫酸ナトリウム)を含有している処理溶液とも比較した。この比較の結果を表IIIに示す。表IIIに示したように、フルオロ界面活性剤を含有している溶液とイオン性界面活性剤を含有している溶液は、5又は10分の時間をおいてなおもかなりの発泡を示した。半導体処理加工の用途では、有意の発泡の存在は望ましくなく、プロセス上の欠陥の増加に通じかねない。
例9〜10: 接触角データ
2,4,7,9−テトラメチル−5−デシン−4,7−ジオール(例9a、9b)又は2,5,8,11−テトラメチル−6−ドデシン−5,8−ジオール(例10a、10b)から得られた界面活性剤を種々の量含有している処理溶液と、比較としての脱イオン水(比較例1)の湿潤特性を、Sessileドロップ法を使用し、米国ノースカロライナ州CharlotteのKruss USAにより供給されるG10/DSA10 Kruss液滴形状分析器(drop shape analyzer)により測定した。この方法では、ホトレジストをコーティングした基材の表面の局所領域の湿潤特性を、水性現像液の小滴のベースラインと小滴底面における接線とのなす接触角を測定することにより評価する。高速カメラにより1秒当たり2フレームの速度で小滴の広がるのを2分間撮影し、そして接触角を測定した。
2,4,7,9−テトラメチル−5−デシン−4,7−ジオールと2,5,8,11−テトラメチル−6−ドデシン−5,8−ジオールを基にした界面活性剤の処理溶液は、次のようにして調製した。室温でメスフラスコに、種々の量の界面活性剤と脱イオン水を100mlのレベルに達するまで入れた。界面活性剤が溶解して処理溶液ができるまで混合物を撹拌した。例9a、9b、10a、10bの処理溶液中の界面活性剤の量を表IVに示す。
米国カリフォルニア州San JoseのWafernet Inc.により供給されるシリコンウエハに、住友化学社により供給されるAX 4318ホトレジストコーティングを、スピンコーティング法を使用し3200rmpの回転速度で塗布した。ホトレジスト表面上の処理液の接触角を測定した。表IVに、秒で表したいろいろな時間での処理溶液と脱イオン水(比較例1)の液滴の接触角の値を示す。
一般に、約20°以下の接触角は基材表面の完全な濡れを指示する。表IVに示されたように、本発明の処理溶液で処理したホトレジストをコーティングした基材上のTMAH現像液の接触角は、脱イオン水で処理したホトレジストの接触角より小さい。更に、処理溶液中の界面活性剤の量が多くなると界面活性剤の吸着が多くなり、濡れが改善されることになる。
例11: 脱イオン水でリンス後及び処理溶液でリンス後の現像後欠陥数の対比
基材上の現像後欠陥数を、基材を脱イオン水のリンス液(比較例2)で処理後と本発明の処理溶液を含有するリンス液(例11)で処理後に比較した。この処理液は、2,5,8,11−テトラメチル−6−ドデシン−5,8−ジオールから得られた界面活性剤を50ppm、そしてElf Alfochem社により供給されるオリゴマー分散剤SMA(商標) 1440を170ppm含有していた。基材を次のようにして処理した。ホトレジストをコーティングした基材を365nmの光で露光し、およそ110℃の温度に約1分間加熱し、その後希釈TMAH溶液で現像してパターニングしたホトレジストを形成した。TMAH溶液は、基材上へ0.21NのTMAH溶液を100秒間動的に供給することにより適用した。
比較例2では、現像液ノズルを閉じる15秒前に脱イオン水を含むリンス液でリンスを開始し、7分間続けた。カリフォルニア州San JoseのKLA−Tencor Inc.により供給されるTereStar(商標) KLA−Tencor欠陥検査器を使って基材を欠陥について検査し、そして欠陥を分類して数を数えた。検査結果を表Vに示す。
同じ現像液と処理条件を使用し、比較例2と同じやり方でもって基材を処理した。しかし、100秒の現像後、アセチレン列ジオール界面活性剤を含む処理溶液(例11)を使って、パターニングしたホトレジストをコーティングした基材をリンスした。現像液と重なり合う期間は比較例2におけるのと同じであった。処理溶液で120秒のリンス後、更に7分間脱イオン水のリンス液を使用した。TereStar(商標) KLA−Tencor欠陥検査器を使って基材を欠陥について検査し、欠陥を分類して数を数えた。検査結果を表VIに示す。
表VIに示したように、本発明の処理溶液はパターニングしたホトレジストの表面からホトレジスト残留物を完全に除去することができた。対照的に、表Vは、脱イオン水でのリンス後に残留ホトレジスト及びその他の欠陥源に由来する欠陥がたくさんあったことを示している。従って、本発明の処理溶液で基材をリンスすると現像後の欠陥の数が効果的になくなり、プロセス収率が向上した。
例12: 処理溶液とフルオロ界面活性剤含有溶液の平衡表面張力及び動的表面張力の対比比較
平衡表面張力(EST)及び動的表面張力(DST)を比べるために、2,5,8,11−テトラメチル−6−ドデシン−5,8−ジオールから得られた界面活性剤を0.1質量%含有する処理溶液と、米国ミズーリ州セントルイスの3M社により供給されるフルオロ界面活性剤のカリウムパーフルオロオクタンカルボキシレートを0.1質量%含有する処理溶液を調製した。両方の溶液についてESTを、米国ノースカロライナ州CharlotteのKruss, Inc.製のKruss BP2気泡圧力張力計によりWilhemyプレート法を使用して測定した。各処理溶液のDSTを、例1〜5で使用した最大気泡圧力法により測定した。EST及びDST試験の結果を表VIIに示す。
表VIIを参照すると、フルオロ界面活性剤は本発明の処理溶液と比べて低いEST値を示す一方で、著しく大きいDST値はフルオロ界面活性剤の動的表面張力減少能力が乏しいことを示している。半導体製造で使用される動的リンスプロセスのような高い表面構造製作速度を必要とする用途にとって、本発明の処理溶液はそのDST値が小さいことからフルオロ界面活性剤を含有する溶液よりも適している。
例13〜22: 本発明の処理溶液の付着張力値の測定
式I〜VIIIを有する界面活性剤を含有している10の処理溶液を、1質量%未満の界面活性剤を連続撹拌下に脱イオン水に加えることにより調製した。各処理溶液中の界面活性剤の濃度は表VIIIに示され、界面活性剤ごとに種々の濃度で計算された最小の付着張力値により決定される。例13は、3,5−ジメチル−1−ヘキシン−3−オール(式III)を含有していた。例14は、Aldrich社により供給される2,6−ジメチル−4−ヘプタノール(式IVa)を含有していた。例15は、N,N’−ビス(1,3−ジメチルブチル)エチレンジアミン(式V)を含有していた。例16は、酒石酸ジイソペンチル(式III)を含有していた。例17は、ドデシルトリメチルアンモニウムクロライド(式IVa)を含有していた。例18は、2,4,7,9−テトラメチル−4,7−デカンジオール(式V)を含有していた。例19は、2,5,8,11−テトラメチル−6−ドデシン−5,8−ジオールから得られた界面活性剤(式II)を含有していた。例20、21、22はそれぞれ、ジエチレントリアミン(x=2)とn−ブチルグリシジルエーテル(式VIII)の、それぞれ1:3付加物(濃度0.05wt%)、1:5付加物(濃度0.012wt%)、1:5付加物(濃度0.03wt%)を含有していた。
各処理溶液ごとの動的表面張力(DST)データを、Langmuir 1986, 2, pp.428−432に記載された最大気泡圧力法により得た。これらのデータは、米国ノースカロライナ州CharlotteのKruss, Inc.製Kruss BP2気泡圧力張力計を使って0.1気泡/秒(b/s)から20b/sまでの範囲の気泡速度において得た。各処理溶液について0.1気泡/秒における表面張力値を表VIIIに示す。
カリフォルニア州San JoseのWafernet Inc.により供給されるシリコンウエハに、東京応化工業社により供給されるTOK 6063 193nmホトレジストの厚さ300nmのコーティングを施した。ホトレジスト表面上の処理溶液の接触角を、ノースカロライナ州CharlotteのKruss USAにより供給されるG10/DSA10 Kruss液滴形状分析器によりSessileドロップ法を使用して測定した。表VIIIに、液滴形成後10秒時点で測定した各処理溶液の接触角を示す。
処理溶液ごとの付着張力値を、表面張力と接触角のコサインとをかけ算して計算した。この計算の結果は表VIIIに提示される。表VIIIに示したように、全部の処理溶液の付着張力値が25未満であった。例14、15、17、20、21、及び22のおのおので、付着張力値は20未満であった。これは、これらの処理溶液がより高い付着張力値を持つ1種以上の界面活性剤を有する処理溶液よりもパターンつぶれの欠陥数をより一層減少させることができることを示している。
パターンつぶれの低減
例13、15、18の処理溶液を、それぞれ、0.9質量%の3,5−ジメチル−1−ヘキシン−3−オール、0.095質量%のN,N’−ビス(1,3−ジメチルブチル)エチレンジアミン、0.05質量%の2,4,7,9−テトラメチル−4,7−デカンジオールを連続撹拌下で脱イオン水に加えて調製した。基材を、次のようにして処理した。Wafernet Inc.により供給され、反射防止コーティングを被覆したシリコンウエハにTOK 6063 193nmホトレジストを塗布し、ASML PAS 5500/1100スキャナを用いて193nmの光に露光し、およそ115℃の温度に約1分間加熱し、次いで希TMAH溶液で現像してパターニングしたホトレジストを形成した。TMAH現像液は、0.26NのTMAH溶液を基材上へ動的供給し45秒間静置して適用した。次に、処理溶液を基材表面へ動的供給しながら、ウエハ基材を500rpmでゆっくり回転させて溶液を基材表面に分配した。この供給処理を15秒間続けた。その後、基材を3,500rpmで回転して乾燥させた。
比較例では、パターニングしたホトレジストコーティングを現像後の基材表面に脱イオン水のリンス液を上記の例13、15、18の処理溶液と同じ処理条件下で適用した。
本発明の処理溶液の現像後リンス液及び脱イオン水の現像後リンス液で処理したシリコンウエハを、走査型電子顕微鏡で検査して比較した。図1(a)と図1(b)は、それぞれ脱イオン水のリンス液と例15の処理溶液を利用したリンス液を使用した1:1ピッチ、80nmの高密度ラインの断面SEM像を示している。図1(b)を参照すれば、脱イオン水のほかに又はその代わりに本発明の処理溶液を現像後リンス液として使用すると、パターンのつぶれの発生率が最小限になるか又は減少し、ラインの鮮明度が維持される。
各ウエハの表面形状のクリティカルディメンション(CD)を、日立製作所のCD−SEM装置を用いウエハ当たり37の部位で測定し、そしてパターンのつぶれをトップダウンSEM像により目視観察した。ウエハは、16.6mJ/cm2の同じドーズエネルギー条件下で露光した。目視観察の結果を表IXに示す。
表IXに示したように、本発明の処理溶液はつぶれた部位を少なくとも半分まで減少させる一方で、アスペクト比を3から3.3に上昇させた。従って、基材を脱イオン水でなく本発明の処理溶液でリンスすると、高アスペクト比の表面形状をパターニングする際のパターンのつぶれが効果的に低減される。
本発明を詳細に、且つその具体例を参照して説明したけれども、本発明の精神と範囲から逸脱することなしに本発明に様々な変更や改変を行うことができることは当業者に明らかであろう。
ピッチが1:1でアスペクト比が3.75の高密度な80nmのラインを有する193nmホトレジストを塗布した基材の断面走査型電子顕微鏡(SEM)写真を示す図であり、(a)は脱イオン水のリンス液で処理したものの写真、(b)は本発明の処理溶液で処理したものの写真である。

Claims (25)

  1. 複数の基材の表面の現像したパターンのつぶれを回避するための方法であって、
    表面に現像したホトレジストパターンを含む第一の基材を用意する工程、
    溶媒と、次の式(I)又は(II)
    (式中のR1とR4は炭素原子数3〜10の直鎖又は枝分かれしたアルキル鎖であり、R2とR3は水素原子かあるいは炭素原子数1〜5のアルキル鎖であり、m、n、p及びqは0〜20の範囲の数である)
    を有する、10ppm〜10,000ppmの少なくとも1種の界面活性剤からなる処理溶液を調製する工程、
    第一の基材をこの処理溶液と接触させる工程、
    当該処理溶液の表面張力と第一の基材上での接触角を測定する工程、
    当該表面張力に当該接触角のコサインをかけ算して当該処理溶液の付着張力の値を得る工程、
    おのおのが表面に現像したホトレジストパターンを含む複数の基材を用意する工程、そして
    これらの複数の基材を、上記処理溶液の付着張力の値が30以下である場合に、当該処理溶液と接触させる工程、
    を含む、現像パターンのつぶれ回避方法。
  2. (n+m)の値の範囲が0〜30である、請求項1記載の方法。
  3. (n+m)の値の範囲が1.3〜15である、請求項2記載の方法。
  4. (p+q)の値の範囲が0〜30である、請求項1記載の方法。
  5. (p+q)の値の範囲が1〜10である、請求項4記載の方法。
  6. 前記複数の基材を処理溶液と接触させる工程が、処理溶液を動的に供給することにより基板をリンスするものである、請求項1記載の方法。
  7. 前記リンスのための処理溶液が、23℃及び最大気泡圧力法による1気泡/秒において45dyn/cmの動的表面張力を示す、請求項6記載の方法。
  8. 前記リンスのための処理溶液が、Ross−Miles試験法で測定して60秒を超える時点において実質的にゼロの発泡を示す、請求項6記載の方法。
  9. 複数の基材の表面の現像したパターンのつぶれを回避するための方法であって、
    表面に現像したホトレジストパターンを含む第一の基材を用意する工程、
    溶媒と、a)10〜10,000ppmの、少なくとも1種の分散剤、及びb)次の式(I)又は(II)
    (式中のR1とR4は炭素原子数3〜10の直鎖又は枝分かれしたアルキル鎖であり、R2とR3は水素原子かあるいは炭素原子数1〜5のアルキル鎖であり、m、n、p及びqは0〜20の範囲の数である)
    を有する、10ppm〜10,000ppmの、少なくとも1種の界面活性剤、からなる処理溶液を調製する工程、
    第一の基材をこの処理溶液と接触させる工程、
    当該処理溶液の表面張力と第一の基材上での接触角を測定する工程、
    当該表面張力に当該接触角のコサインをかけ算して当該処理溶液の付着張力の値を得る工程、
    おのおのが表面に現像したホトレジストパターンを含む複数の基材を用意する工程、そして
    これらの複数の基材を、上記処理溶液の付着張力の値が30以下である場合に、当該処理溶液と接触させる工程、
    を含む、現像パターンのつぶれ回避方法。
  10. 前記少なくとも1種の分散剤が非イオン性化合物、イオン性化合物及び界面活性剤のうちの少なくとも1つを含む、請求項9記載の方法。
  11. (n+m)の値の範囲が0〜30である、請求項9記載の方法。
  12. (n+m)の値の範囲が1.3〜15である、請求項11記載の方法。
  13. (p+q)の値の範囲が0〜30である、請求項9記載の方法。
  14. (p+q)の値の範囲が1〜10である、請求項13記載の方法。
  15. 前記複数の基材を処理溶液と接触させる工程が、処理溶液を動的に供給することにより基板をリンスするものである、請求項9記載の方法。
  16. 前記リンスのための処理溶液が、23℃及び最大気泡圧力法による1気泡/秒において45dyn/cmの動的表面張力を示す、請求項15記載の方法。
  17. 前記リンスのための処理溶液が、Ross−Miles試験法で測定して60秒を超える時点において実質的にゼロの発泡を示す、請求項15記載の方法。
  18. 半導体デバイス製造の際のパターンつぶれの欠陥数を低減するための方法であって、
    ホトレジストコーティングを含む基材を用意する工程、
    当該基材を放射線源に暴露してホトレジストコーティングにパターンを形成する工程、
    当該基材に現像液を適用してパターン化したホトレジストコーティングを形成する工程、
    当該現像液を適用後に、当該基材を脱イオン水でリンスする工程、及び
    表面に現像したホトレジストパターンを含む当該基材を、溶媒と、次の式(I)又は(II)
    (式中のR1とR4は炭素原子数3〜10の直鎖又は枝分かれしたアルキル鎖であり、R2とR3は水素原子かあるいは炭素原子数1〜5のアルキル鎖であり、m、n、p及びqは0〜20の範囲の数である)
    を有する、10ppm〜10,000ppmの少なくとも1種の界面活性剤からなる処理溶液と接触させる工程、
    を含む、半導体デバイス製造の際のパターンつぶれ欠陥数の低減方法。
  19. (n+m)の値の範囲が0〜30である、請求項18記載の方法。
  20. (n+m)の値の範囲が1.3〜15である、請求項19記載の方法。
  21. (p+q)の値の範囲が0〜30である、請求項18記載の方法。
  22. (p+q)の値の範囲が1〜10である、請求項21記載の方法。
  23. 前記複数の基材を処理溶液と接触させる工程が、処理溶液を動的に供給することにより基板をリンスするものである、請求項18記載の方法。
  24. 前記リンスのための処理溶液が、23℃及び最大気泡圧力法による1気泡/秒において45dyn/cmの動的表面張力を示す、請求項23記載の方法。
  25. 前記リンスのための処理溶液が、Ross−Miles試験法で測定して60秒を超える時点において実質的にゼロの発泡を示す、請求項23記載の方法。
JP2006103543A 2002-08-12 2006-04-04 現像パターンのつぶれ回避方法及び半導体デバイス製造の際の欠陥低減方法 Expired - Fee Related JP4354964B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/218,087 US20040029395A1 (en) 2002-08-12 2002-08-12 Process solutions containing acetylenic diol surfactants
US10/339,709 US20040029396A1 (en) 2002-08-12 2003-01-09 Process solutions containing surfactants
US10/616,662 US7129199B2 (en) 2002-08-12 2003-07-10 Process solutions containing surfactants

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003292481A Division JP4272013B2 (ja) 2002-08-12 2003-08-12 半導体デバイス製造の際の欠陥低減方法及び処理溶液

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009122006A Division JP4843068B2 (ja) 2002-08-12 2009-05-20 半導体デバイス製造の際のパターンつぶれ欠陥数の低減方法

Publications (3)

Publication Number Publication Date
JP2006201809A JP2006201809A (ja) 2006-08-03
JP2006201809A5 JP2006201809A5 (ja) 2006-09-28
JP4354964B2 true JP4354964B2 (ja) 2009-10-28

Family

ID=30773450

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003292481A Expired - Fee Related JP4272013B2 (ja) 2002-08-12 2003-08-12 半導体デバイス製造の際の欠陥低減方法及び処理溶液
JP2006103543A Expired - Fee Related JP4354964B2 (ja) 2002-08-12 2006-04-04 現像パターンのつぶれ回避方法及び半導体デバイス製造の際の欠陥低減方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2003292481A Expired - Fee Related JP4272013B2 (ja) 2002-08-12 2003-08-12 半導体デバイス製造の際の欠陥低減方法及び処理溶液

Country Status (5)

Country Link
US (4) US7129199B2 (ja)
EP (1) EP1389746A3 (ja)
JP (2) JP4272013B2 (ja)
KR (1) KR20040030253A (ja)
TW (1) TWI247799B (ja)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7459005B2 (en) * 2002-11-22 2008-12-02 Akzo Nobel N.V. Chemical composition and method
JP4045180B2 (ja) * 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
WO2005017617A1 (en) 2003-07-17 2005-02-24 Honeywell International Inc. Planarization films for advanced microelectronic applications and devices and methods of production thereof
US7592201B2 (en) * 2003-09-09 2009-09-22 Csg Solar Ag Adjustments of masks by re-flow
CN100546006C (zh) * 2003-09-09 2009-09-30 Csg索拉尔有限公司 蚀刻硅的改进方法
JP2007505487A (ja) * 2003-09-09 2007-03-08 シーエスジー ソーラー アクチェンゲゼルシャフト 有機樹脂材料に開口部を形成する方法の改良
EP1733421B1 (de) * 2004-03-30 2016-08-10 Basf Se Wässrige lösung und verwendung dieser lösung zur entfernung von post-etch residue von halbleitersubstraten
DE102004017440A1 (de) 2004-04-08 2005-11-03 Enthone Inc., West Haven Verfahren zur Behandlung von laserstrukturierten Kunststoffoberflächen
JP4759311B2 (ja) * 2004-05-17 2011-08-31 富士フイルム株式会社 パターン形成方法
ATE450813T1 (de) 2004-05-17 2009-12-15 Fujifilm Corp Verfahren zur erzeugung eines musters
WO2005119372A1 (en) * 2004-05-27 2005-12-15 E.I. Dupont De Nemours And Company Developer for a photopolymer protective layer
US7312152B2 (en) * 2004-06-28 2007-12-25 Intel Corporation Lactate-containing corrosion inhibitor
US7611825B2 (en) * 2004-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography method to prevent photoresist pattern collapse
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
WO2006054996A1 (en) * 2004-11-19 2006-05-26 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US7732123B2 (en) 2004-11-23 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion photolithography with megasonic rinse
US20060115774A1 (en) * 2004-11-30 2006-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing wafer charging during drying
KR100663354B1 (ko) * 2005-01-25 2007-01-02 삼성전자주식회사 포토레지스트 스트리퍼 조성물을 이용한 포토레지스트 제거공정을 갖는 반도체소자 제조방법들
JP4237184B2 (ja) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20070087951A1 (en) * 2005-10-19 2007-04-19 Hynix Semiconductor Inc. Thinner composition for inhibiting photoresist from drying
JP2007219009A (ja) * 2006-02-14 2007-08-30 Az Electronic Materials Kk レジスト基板用処理液とそれを用いたレジスト基板の処理方法
JP4531726B2 (ja) * 2006-06-22 2010-08-25 Azエレクトロニックマテリアルズ株式会社 微細化されたレジストパターンの形成方法
JP4866165B2 (ja) * 2006-07-10 2012-02-01 大日本スクリーン製造株式会社 基板の現像処理方法および基板の現像処理装置
JP5000260B2 (ja) * 2006-10-19 2012-08-15 AzエレクトロニックマテリアルズIp株式会社 微細化されたパターンの形成方法およびそれに用いるレジスト基板処理液
JP2008102343A (ja) 2006-10-19 2008-05-01 Az Electronic Materials Kk 現像済みレジスト基板処理液とそれを用いたレジスト基板の処理方法
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
US8530148B2 (en) * 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US8637229B2 (en) * 2006-12-25 2014-01-28 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US8603733B2 (en) 2007-04-13 2013-12-10 Fujifilm Corporation Pattern forming method, and resist composition, developer and rinsing solution used in the pattern forming method
JP4562784B2 (ja) 2007-04-13 2010-10-13 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられるレジスト組成物、現像液及びリンス液
US8034547B2 (en) * 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
US8476001B2 (en) 2007-05-15 2013-07-02 Fujifilm Corporation Pattern forming method
JP4558064B2 (ja) * 2007-05-15 2010-10-06 富士フイルム株式会社 パターン形成方法
KR101457927B1 (ko) * 2007-06-12 2014-11-07 후지필름 가부시키가이샤 네가티브 톤 현상용 레지스트 조성물 및 이것을 사용한 패턴형성방법
JP4617337B2 (ja) * 2007-06-12 2011-01-26 富士フイルム株式会社 パターン形成方法
US8632942B2 (en) 2007-06-12 2014-01-21 Fujifilm Corporation Method of forming patterns
US8617794B2 (en) 2007-06-12 2013-12-31 Fujifilm Corporation Method of forming patterns
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
CN201219685Y (zh) * 2008-04-16 2009-04-15 韩广民 组装结构产品及庭院椅
JP5306755B2 (ja) * 2008-09-16 2013-10-02 AzエレクトロニックマテリアルズIp株式会社 基板処理液およびそれを用いたレジスト基板処理方法
KR101521066B1 (ko) 2008-10-09 2015-05-18 아반토르 퍼포먼스 머티리얼스, 인크. 산화구리 에칭 잔여물 제거 및 구리 전착 방지용 수성 산성 배합물
JP2010128464A (ja) 2008-12-01 2010-06-10 Az Electronic Materials Kk レジストパターン形成方法
US8216384B2 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for wet removal of high dose implant photoresist
EP2391700A4 (en) 2009-01-28 2016-08-31 Entegris Inc IN SITU CLEANING FORMULATIONS OF LITHOGRAPHIC APPARATUS
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
JP5193121B2 (ja) * 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
JP5159738B2 (ja) 2009-09-24 2013-03-13 株式会社東芝 半導体基板の洗浄方法および半導体基板の洗浄装置
US20110253171A1 (en) * 2010-04-15 2011-10-20 John Moore Chemical Composition and Methods for Removing Epoxy-Based Photoimageable Coatings Utilized In Microelectronic Fabrication
JP5591623B2 (ja) * 2010-08-13 2014-09-17 AzエレクトロニックマテリアルズIp株式会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
KR101266620B1 (ko) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리방법 및 기판처리장치
JP5771035B2 (ja) * 2011-03-29 2015-08-26 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5657318B2 (ja) * 2010-09-27 2015-01-21 富士フイルム株式会社 半導体基板用洗浄剤、これを利用した洗浄方法及び半導体素子の製造方法
JP5659873B2 (ja) 2010-12-16 2015-01-28 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
SG191738A1 (en) * 2011-01-25 2013-08-30 Basf Se Use of surfactants having at least three short-chain perfluorinated groups for manufacturing integrated circuits having patterns with line-space dimensions below 50nm
JP5708071B2 (ja) 2011-03-11 2015-04-30 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
WO2012127336A1 (en) * 2011-03-21 2012-09-27 Basf Se Aqueous, nitrogen-free cleaning composition, preparation and use thereof
JP5705607B2 (ja) * 2011-03-23 2015-04-22 メルクパフォーマンスマテリアルズIp合同会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
US20130040246A1 (en) * 2011-08-09 2013-02-14 Tokyo Electron Limited Multiple chemical treatment process for reducing pattern defect
US8987181B2 (en) * 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
US9097977B2 (en) 2012-05-15 2015-08-04 Tokyo Electron Limited Process sequence for reducing pattern roughness and deformity
KR102107367B1 (ko) * 2012-07-10 2020-05-07 바스프 에스이 제미니 첨가제를 포함하는 항 패턴 붕괴 처리용 조성물
KR101993360B1 (ko) 2012-08-08 2019-06-26 삼성전자주식회사 포토 리소그래피용 린스액
JP6106990B2 (ja) * 2012-08-27 2017-04-05 富士通株式会社 リソグラフィ用リンス剤、レジストパターンの形成方法、及び半導体装置の製造方法
JP6012377B2 (ja) 2012-09-28 2016-10-25 東京応化工業株式会社 レジストパターン形成方法
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US9660745B2 (en) * 2012-12-12 2017-05-23 At&T Intellectual Property I, L.P. Geocast-based file transfer
JP6246830B2 (ja) * 2012-12-14 2017-12-13 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 50nm以下のライン間寸法を有するパターン化材料を処理する際におけるアンチパターン崩壊を回避するための、界面活性剤及び疎水剤を含む組成物の使用
US10170297B2 (en) 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
KR20150051478A (ko) 2013-11-04 2015-05-13 삼성디스플레이 주식회사 포토레지스트 조성물 및 이를 이용한 박막 트랜지스터 표시판의 제조 방법
US10073351B2 (en) 2014-12-23 2018-09-11 Versum Materials Us, Llc Semi-aqueous photoresist or semiconductor manufacturing residue stripping and cleaning composition with improved silicon passivation
US9873833B2 (en) 2014-12-29 2018-01-23 Versum Materials Us, Llc Etchant solutions and method of use thereof
US9482957B1 (en) * 2015-06-15 2016-11-01 I-Shan Ke Solvent for reducing resist consumption and method using solvent for reducing resist consumption
US9652841B2 (en) * 2015-07-06 2017-05-16 International Business Machines Corporation System and method for characterizing NANO/MICRO bubbles for particle recovery
CN106559086B (zh) * 2015-09-30 2019-02-15 努比亚技术有限公司 移动终端和无线通信方法
KR102507301B1 (ko) 2015-12-23 2023-03-07 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법
KR102152665B1 (ko) * 2016-03-31 2020-09-07 후지필름 가부시키가이샤 반도체 제조용 처리액, 및 패턴 형성 방법
WO2018095885A1 (en) * 2016-11-25 2018-05-31 Az Electronic Materials (Luxembourg) S.A.R.L. A lithography composition, a method for forming resist patterns and a method for making semiconductor devices
JP6880085B2 (ja) 2017-02-20 2021-06-02 富士フイルム株式会社 薬液、薬液収容体、及び、パターン形成方法
JP2019121795A (ja) * 2017-12-27 2019-07-22 花王株式会社 シリコンウェーハの製造方法
KR20190138743A (ko) * 2018-06-06 2019-12-16 도오꾜오까고오교 가부시끼가이샤 기판의 처리 방법 및 린스액
CN112292637A (zh) * 2018-06-22 2021-01-29 默克专利有限公司 光致抗蚀剂组合物、用于制造光致抗蚀剂涂层、经蚀刻的光致抗蚀剂涂层和经蚀刻的含硅层的方法以及制造使用其的器件的方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US115022A (en) * 1871-05-23 Improvement in pulley-blocks
US55660A (en) * 1866-06-19 Improvement in gang-plows
US4711917A (en) * 1985-02-07 1987-12-08 Ppg Industries, Inc. Cationic coating compositions for electrodeposition over rough steel
JPS6232453A (ja) 1985-08-06 1987-02-12 Tokyo Ohka Kogyo Co Ltd ポジ型ホトレジスト用現像液
WO1987003387A1 (en) 1985-11-27 1987-06-04 Macdermid, Incorporated Thermally stabilized photoresist images
US4824763A (en) * 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
JPS6488547A (en) 1987-09-30 1989-04-03 Toshiba Corp Production of semiconductor device
JP2670711B2 (ja) 1990-05-29 1997-10-29 富士写真フイルム株式会社 ネガ型感光性樹脂組成物用現像液
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5127571A (en) * 1991-10-31 1992-07-07 International Business Machines Corporation Water soluble soldering preflux and method of application
JPH07142349A (ja) 1993-11-16 1995-06-02 Mitsubishi Electric Corp 現像工程におけるフォトレジストパターンの倒れを防止する方法
CA2136373A1 (en) 1993-11-29 1995-05-30 Steven W. Medina Ethoxylated acetylenic glycols having low dynamic surface tension
US5474877A (en) 1994-02-24 1995-12-12 Nec Corporation Method for developing a resist pattern
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
JPH088163A (ja) 1994-06-21 1996-01-12 Sony Corp パターン形成方法
JP3121743B2 (ja) 1994-08-10 2001-01-09 日立造船株式会社 プラズマ式溶融方法
JPH098163A (ja) 1995-06-22 1997-01-10 Nippon Avionics Co Ltd 半導体気密封止パッケージ
JP2911792B2 (ja) * 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US5948464A (en) 1996-06-19 1999-09-07 Imra America, Inc. Process of manufacturing porous separator for electrochemical power supply
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH10171128A (ja) 1996-12-10 1998-06-26 Tokuyama Corp 濃厚テトラメチルアンモニウムハイドロキサイド水溶液
US5977041A (en) * 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6261466B1 (en) * 1997-12-11 2001-07-17 Shipley Company, L.L.C. Composition for circuit board manufacture
CN100370360C (zh) 1998-05-18 2008-02-20 马林克罗特有限公司 用于清洗微电子衬底的含硅酸盐碱性组合物
JP3606738B2 (ja) 1998-06-05 2005-01-05 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法
US6368421B1 (en) 1998-07-10 2002-04-09 Clariant Finance (Bvi) Limited Composition for stripping photoresist and organic materials from substrate surfaces
US6152148A (en) 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US6864395B2 (en) 1999-05-04 2005-03-08 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6313182B1 (en) 1999-05-04 2001-11-06 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6455234B1 (en) * 1999-05-04 2002-09-24 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
US20040029395A1 (en) 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
JP2001033988A (ja) 1999-07-15 2001-02-09 Tokyo Ohka Kogyo Co Ltd ホトリソグラフィー用リンス液およびこれを用いた基板の処理方法
US6251745B1 (en) * 1999-08-18 2001-06-26 Taiwan Semiconductor Manufacturing Company Two-dimensional scaling method for determining the overlay error and overlay process window for integrated circuits
US6369146B1 (en) * 1999-10-26 2002-04-09 Air Products And Chemicals, Inc. Malic acid diester surfactants
JP2001215690A (ja) 2000-01-04 2001-08-10 Air Prod And Chem Inc アセチレン列ジオールエチレンオキシド/プロピレンオキシド付加物および現像剤におけるその使用
US6268115B1 (en) * 2000-01-06 2001-07-31 Air Products And Chemicals, Inc. Use of alkylated polyamines in photoresist developers
TW558736B (en) 2000-02-26 2003-10-21 Shipley Co Llc Method of reducing defects
US6310019B1 (en) 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
WO2002023598A2 (en) 2000-09-15 2002-03-21 Infineon Technologies North America Corp. A method to reduce post-development defects without sacrificing throughput
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6451510B1 (en) 2001-02-21 2002-09-17 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
US6413914B1 (en) 2001-03-29 2002-07-02 Air Products And Chemicals, Inc. Low foam N,N′-dialkylmalamide wetting agents
JP2003010774A (ja) 2001-06-27 2003-01-14 Nippon Paint Co Ltd 金属材の塗装方法
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US6656977B2 (en) * 2001-07-20 2003-12-02 Air Products And Chemical, Inc. Alkyl glycidyl ether-capped polyamine foam control agents
US6762208B2 (en) * 2001-10-01 2004-07-13 Air Products And Chemicals, Inc. Alkane diol foam controlling agents
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
JP4045180B2 (ja) 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
JP4085262B2 (ja) * 2003-01-09 2008-05-14 三菱瓦斯化学株式会社 レジスト剥離剤
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
DE602004009595T2 (de) * 2003-08-19 2008-07-24 Mallinckrodt Baker, Inc. Ablös- und reinigungszusammensetzungen für die mikroelektronik
TWI417683B (zh) * 2006-02-15 2013-12-01 Avantor Performance Mat Inc 用於微電子基板之穩定化,非水性清潔組合物
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
KR20100051839A (ko) * 2007-08-02 2010-05-18 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 장치로부터 잔사를 제거하기 위한 플루오라이드 비-함유 조성물
US7976638B2 (en) * 2007-11-13 2011-07-12 Sachem, Inc. High negative zeta potential polyhedral silsesquioxane composition and method for damage free semiconductor wet clean
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US8765653B2 (en) * 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning

Also Published As

Publication number Publication date
JP2006201809A (ja) 2006-08-03
EP1389746A3 (en) 2007-05-30
JP2004078217A (ja) 2004-03-11
US7591270B2 (en) 2009-09-22
US20070010412A1 (en) 2007-01-11
KR20040030253A (ko) 2004-04-09
JP4272013B2 (ja) 2009-06-03
US20070010409A1 (en) 2007-01-11
US7129199B2 (en) 2006-10-31
US20100304313A1 (en) 2010-12-02
TWI247799B (en) 2006-01-21
US20040053800A1 (en) 2004-03-18
EP1389746A2 (en) 2004-02-18
TW200408700A (en) 2004-06-01
US8227395B2 (en) 2012-07-24

Similar Documents

Publication Publication Date Title
JP4354964B2 (ja) 現像パターンのつぶれ回避方法及び半導体デバイス製造の際の欠陥低減方法
JP4842982B2 (ja) 基材表面のパターンつぶれ欠陥を低減するためのリンス処理溶液
JP4227112B2 (ja) 界面活性剤を含有する処理溶液
JP4041037B2 (ja) アセチレンジオール界面活性剤溶液及びその使用方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060814

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071009

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080108

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080111

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080409

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080520

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080820

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080825

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090120

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090420

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090630

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090730

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120807

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130807

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees