TWI247799B - Process solutions containing surfactants - Google Patents

Process solutions containing surfactants Download PDF

Info

Publication number
TWI247799B
TWI247799B TW092121575A TW92121575A TWI247799B TW I247799 B TWI247799 B TW I247799B TW 092121575 A TW092121575 A TW 092121575A TW 92121575 A TW92121575 A TW 92121575A TW I247799 B TWI247799 B TW I247799B
Authority
TW
Taiwan
Prior art keywords
process solution
substrate
surfactant
carbon atoms
straight
Prior art date
Application number
TW092121575A
Other languages
English (en)
Other versions
TW200408700A (en
Inventor
Peng Zhang
Danielle Megan King
Eugene Joseph Karwacki Jr
Leslie Cox Barber
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/218,087 external-priority patent/US20040029395A1/en
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW200408700A publication Critical patent/TW200408700A/zh
Application granted granted Critical
Publication of TWI247799B publication Critical patent/TWI247799B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Description

1247799 玖、發明說明: [0001 ]本申叫案是延續美國專利申請案第18, 號 (2〇02年8月12日提出申請)及第10/339, 709號(2003年1 月9日提出中請)的—部分,其中所揭示的所有參考文獻的 全部内容被加入作為參考。 發明所屬之技術領域 [0002]本發明大體上是有關於一種半導體元件的製造方 法,更具體來說,本發明是有關於一種以不犧牲生產量情 形下減少半導體元件製程中之缺陷(特別是圖案崩潰)的方 法。 先前技術 [0003] 缺陷是製造良率及元件功能主要的限制因子,特別 是當元件的尺寸減小而且晶圓放大到3〇〇mm時。本文所謂 的”缺陷”是關於會減少半導體元件良率或造成良率損失 的缺陷’例如基材表面光阻圖案的崩潰;因為微影、蝕刻、 剝膜等製程及化學機械平坦化(CMp)的殘留物導入基材表 面的微粒;原本就存在的或因為製造程序所產生的微粒;圖 案缺點,例如封密或部分開放,或是阻礙的接點或是微孔; 線寬改變;以及光阻與基材表面黏著不良所引起的缺陷。, [0004] 減少缺陷並因而提高良率的需求引起了半導體元 件製造中製造步驟新的挑戰,亦即是微影、_、剝膜及 化學機械平坦化(CMP)等製程。微影製程通常包含利用正型 1247799 或負型光阻塗佈於基材上,再將此基材暴露在放射線源並 提供一種圖像,並將此基材顯影並在基材上形成一層圖案 光阻層。此種圖案光阻層當作後績基材圖案化製程(例如蝕 刻、摻雜,及/或塗佈金屬、其他半導體材料或絕緣材料) 之遮蔽物。蝕刻製程通常包含用化學或電漿蝕刻劑去除基 材表面沒有被圖案光阻保護的部分,並對暴露下層的表面 供進一步的製程。剝膜的製程通常包含經由濕性剝膜或氧 氡電漿姓刻去除基材上交聯的光阻。CMP製程通常包含研磨 基材表面以維持製程中的平坦。上述的所有製程的特色是 利用一個沖洗步驟去除任何這些製程所產生的微粒或其一 種副產物。 [ 0005 ]圖案崩潰已成為一種製造半導體元件新興的問 題,因為新世代的元件具有高的縱橫比,圖案光阻層的厚 度及縱橫比是在微顯之後後績姓刻步驟重要的參數。在 130 nm的交叉點,具有500 nm厚度的光阻層的縱橫比的值可 以達到4,此數值可能是顯影劑及/或沖洗溶液之毛細力的 點’並且可能導致圖案光阻層的崩潰。除了毛細力,圖案 崩潰的問題可能進一步受其他因素所影響,例如光阻的機 械強度、其他塗層的應用(亦即抗反射塗層,ARC)、喷嘴形 式以及光阻層的旋轉塗佈時的離心力。 [0006]造成圖案崩潰的一個主要因素是在後顯微乾燥階 段時之水的毛細力(參見Tanaka,T.等人的,,Mechanism oi Resist Pattern Collapsed During Developer Process,, Jpn· J_ Appl· Phy·,第 32 冊,1993 年,第 6059一64 頁), 1247799 在圖案顯微之後減小或消除沖洗液體的表面張力可以被用 來減小施加在圖案光阻層上的毛細力。減小或消除沖洗液 體的表面張力兩個常見的方法是將圖案光阻的正片進行冷 凍-乾燥,或是利用超臨界流體在顯影後將圖案光阻層乾 燥。這兩種方法都可能需要額外的製造步驟及特別的設 備,此種特別的設備並不常用於半導體元件的製造。 [ 0007]有一個更常見的方法來減小表面張力,就是在沖洗 液體加入界面活性劑。減小水在空氣及液體界面之的表面 張力的能力對種種應用是非常重要的,因為降低表面張力 通常有關於水在基材表面的潤濕。降低以水為主之系統的 表面張力通常藉由添加界面活性劑來達成。儘管減小表面 張力的能力對於使用高表面建立速率的應用(亦即旋轉塗 佈、旋轉的喷灑塗佈及同樣的應用)在動態條件下減小表面 張力的能力是非常重要的,當系統是靜止時,均衡的表面 張力性能是重要的。動態的表面張力提供降低溶液表面張 力能力的量測且在高速應用之條件下提供潤濕能力。另 外,對某些如喷灑的應用中,界面活性劑減小配方的表面 張力之方法的優點是將泡沫的產生及起泡減到最低。起泡 及泡沫的產生可能會導致缺陷,因此半導體工業盡力很大 的努力來解決起泡的問題。 [0008]日本專利JP95142349A描述在顯影劑溶液或沖洗液 體中添加一種以氟為主的界面活性劑,例如全氟烴基磺酸 銨或全氟烴基乙氧酸酯。 [0 0 0 9 ]美國專利第6,15 2,14 8號描述添加一種界面活性劑 1247799 (例如一種含氟界面活性劑)以及一種四烷基四級氫氧化銨 化合物到一種用來清潔具有聚(亞芳基醚)之介電膜之半導 體晶圓的水溶液中,其中的介電膜塗層是在Cjjp之後塗佈 的 。 [0010]在 Domke,W· D 等人的” Pattern Col lapse in
High Aspect Ratio DUV and 193nm Resists”,Proc· SPIE-Int· Soc. Opt. Eng· 3999, 313-321, 2000 (Domke )文章中描述在顯影劑溶液中添加界面活性 劑’可減少丙婦系及環稀烴—馬林酸酐光阻之圖案崩潰的可 能性。在顯影劑溶液中所添加的,,界面活性劑,,是溶劑(異 丙醇)。根據Domke的文章記載,顯影劑中所添加的界面活 性劑與圖案崩潰的影響並不一致。 [0011 ] PCT申請案w〇 02/23598描述添加界面活性劑月桂 基硫酸錢到去離子(DI)水沖洗及顯影劑中,並將它們用在 圖案光阻以減少或消除後顯影的缺陷。 [0012] 日本專利申請案jP 96〇〇8163a描述添加熱水、一 種有機溶劑以及一種界面活性劑到後顯影沖洗以避免圖案 崩潰,沒有提到特定的界面活性劑。 [0013] PCT申請案87/03387描述保護光阻影像預防變形 或因為在姓刻及其他製程中所產生的熱而裂解,這是藉由 在後顯影烘烤影像之前,在基材上塗一種熱安定的保護 膜’用於此種膜的材料包括碳氟化合物的界面活性劑、成 膜的聚合物、硫酸鉻、三氣醋酸、鉻變酸及其鹽類。 [0014] Cheung, C·等人的文章,’ A Study of a Single 1247799
Closed Contact for 0. lg micron Photolithography Process Proc. SPIE-Int. Soc. Opt. Eng. 3998, 738-741, 2000年(“Cheung”)中揭示在沖洗溶液中使用界面活性 劑(例如辛基及壬基紛乙氧酸酯,例如TRI TON X-114, X-102, X-45及X-15)以消除光阻殘餘及單一封密的接觸缺 陷。根據Cheung的文章,在沖洗溶液中使用界面活性劑並 沒有多大的成功。 [0015] 美國專利第5, 977, 041描述一種後剝膜的水性沖洗 溶液,它含有水、一種水溶性有機酸以及一種水溶性表面 活化劑。此種表面活化劑包括具有至少一個乙炔醇基團的 寡(環氧乙烷)化合物。 [0016] W0 00/03306描述一種剝膜劑的成分,它包含一種 溶劑及一種界面活性劑的一種混合物,其中溶劑量的範圍 佔所有成分大於50到大約99· 9個重量百分率之間,而界 面活性劑量的範圍佔所有成分大於〇 · 1到大約3 〇個重量百 分率之間。 [0017] 美國專利第2002/01 15022描述一種顯影劑以及一 種沖洗溶液,每一種都含有一種陰離子的界面活性劑(例如 全氟烴基磺酸銨或全氟烴基羧酸酯),這些溶液被接續地用 來減少圖案崩潰。 [0018] Hien 等人 “Collapse Behavior of Single Layer 193 and 157 nm Resists: Use of Surfactants in the Rinse to Realize the Sub 130 nm Nodes: Advances in Resist Tech, And Processing XIX, Proceedings of SPIE,第 4690 冊 1247799 (2002),第254-261頁(“Hien”)文章中,在顯影後使用一 種10%的一種含氟界面活性劑及水的沖洗溶液處理一種基 材,以減少圖案崩潰。根據Hien的文章,某些被使用的含 氟界面活性劑使崩潰的現象惡化。 [0019] 雖然通常界面活性龍用來#作—種後顯影沖洗 溶液,但這些溶液無法有效地減小動態條件下的表面張 力。再者,這些溶液可能具有令人討厭之泡沫產生的副作 用。因為這些問題,使用此技術典型的界面活性劑的沖洗 溶液無法有效地減少所有缺陷,特別是發生在半導體元件 的圖案崩潰缺陷。 [0020] 在此所引用的所有參考文獻的全部内容被加入作 發明内容 [0021]纟發明藉由提供―種製程溶液及其使用方法來滿 足此技術某些(如果不是全部)的需求。明確地說,本發明 的-個觀點提供-種減少半導體元件製造之缺陷,此方法 包括以下步驟:提供-種基材,並將基材與-種包含大約 〇PPm到大約1〇,咖卿之至少_種具有分子式⑴或⑴) 的界面活性劑之製程溶液接觸, 1247799
其中L及R4是具有3到10個碳原子之直的或分歧的烷基 鏈;R2及R3是Η或是一種具有1到5個碳原子的烷基鏈; 而m,η,ρ及q是介於〇到20之間的數字。在某些具體實 例中’製程溶液另外包含一種分散劑。 [ 0022]本發明另一個具體實例提供一種減少半導體元件 製造之缺陷的方法,此方法包括以下的步驟··提供一種基 材’並將基材與一種包含大約lOppm到大約1〇,〇〇〇ρρπι之 至少一種具有下面分子式之界面活性劑的製程溶液接觸,
Rt 其中R!及R4是具有3到1 〇個碳原子之直的或分歧的烷基 鏈;R2及R3是Η或是一種具有1到5個碳原子的烷基鏈;而 m,η,ρ及q是介於〇到2〇之間的數字,在某些具體實例 中’界面活性劑的(p+q)值介於1到1〇之間。 [0023]本發明的另一個具體實例提供一種具有大約1〇到 10, ΟΟΟρρπι之至少一種具有分子式(1)或(11)之界面活性劑 12 1247799 的製程溶液,
其中Rl及R4是具右Q , Λ $ 3到10個碳原子之直的或分歧的烷基 鏈’ R2及R3疋Η或是-種具有1到5個碳原子的烷基鏈,·
而仏η,Ρ及Q疋介於0到20之間的數字。 [0024]本發明還有另^ 义为另一個具體實例提供一種包含大約i〇 到10, OOOppm之至少絲曰士 夂夕一種具有下面分子式之界面活性劑的 製程溶液,
Rt 其中Ri及R4是具有3到10個碳原子之直的或分歧的烷基 鍵;R2及R3是Η或是一種具有1到5個碳原子的烷基鏈;而 m,η,ρ及q是介於〇到20之間的數字。 [0025]本發明還有另一個觀點提供一種減少半導體元件 製造中圖案崩潰的數目,包括:提供一種包含一種光阻塗 層的基材;將此基材暴露在放射線源中讓光阻塗層形成圖 案;以顯影劑溶液處理此基材以形成一種圖案光阻塗層;選 13 1247799 擇性地以去離子水沖洗基材;並將基材與包含一種溶劑及 lOppm到大約10, OOOppm之至少一種具有分子式(III), (IVa),(IVb),(V),(VI),(VII),或(VIII)之界面活性 劑的製程溶液接觸,
Rt
iva
Rt R4 V R1
R5--(OCH2CH2)tOH
W
III (〇Η2)γ -NH 、NH-R4 IVb
Re R7—卜 +一 T Re VI R2--(OCHiCHaJmOH (QHa)| R3--(OCH2CH2)n〇H R4 VII
其中1及1各自是一個具有3到10個碳原子之直的或分 歧的烧基鍵;R2及R3各自是氫原子或是一種具有1到5個 碳原子的烷基;R5是一個具有1到10個碳原子之直的或分 歧的烧基;R6是一個具有4到16個碳原子之直的或分歧的 1247799
• A 烧基;R7,Rs及R9各自是一個具有1到6個碳原子之直的 或分歧的烷基;Ri〇獨自是Η或是一種以分子式 . : 代表的基團;Rn是一種具有4到22個碳 原子之直的、分歧的或環狀的烷基;w是一個氫原子或是一 * 種炔基基團;X及Y各自是一個氫原子或羥基基團;z是一 個鹵素原子、一個羥基基團、一個醋酸鹽基團或是一個羧 酸基團;m,n,p及q各自是介於q到2〇之間的數字;『及 s各自是2或3; t是介於〇到2之間的數字;j是介於i _ 到5之間的數字;而X是介於丨到6之間的數字。 [0026]本發明還有另一個觀點提供一種避免多數基材表 面上之顯影圖案崩潰的方法,包括:提供一種包含一種已顯 影之光阻圖案在其表面的第一基材;製備一種包含介於 1(^0»1到大約10, 〇〇〇之至少一種具有本文描述之具有分子 式⑴,(II),(III),(IVa),(IVb),⑺,(VI),(νπ), 或(VI11)的界面活性劑的製程溶液;將此種第一基材與製 程溶液接觸;決定第一基材上之製程溶液的表面張力及接 · 觸角;將表面張力乘以接觸角的餘弦以提供製程溶液的黏 著張力值;提供多個基材,其中每一個基材表面包含已顯影 的光阻圖案;以及如果製程溶液的黏著張力值是30或低於 30時,將多個基材與製程溶液接觸。 [0027]本發明還有另一個觀點提供一種製程沖洗溶液,以 減少基材表面圖案崩潰的缺陷,其中該基材已被圖案化且 顯影,此種製程沖洗溶液包含至少一種選自由一種水性溶 15 1247799 則或種非水性溶劑所組成之族群的載運媒介,以及至少 一種選自由本文描述之具有分子式(πι),(IVa),(IVb), )(v H),或(v i11)之界面活性劑所組成之族 群的界面活性劑。 [〇_树明的這些及其他觀點將由以下的詳細描述變 得明顯。 實施方式 [ 0031 ]本發明針對製程溶液及其使用方法,此製程溶液用 於減少半導體元件製造時所遭受之缺陷的數目。在此製程 溶液中的界面活性劑(以較少量存在)有助於經由分散,將 會導致缺陷的微粒移除,在某些具體實例中,本發明的製 程溶液可提高圖案光阻層表面的潤濕性來減少後顯影的缺 陷。長:南製程溶液的满濕性可以移除任何留在接觸孔洞内 或在松集正片中的殘留物。當本發明的製程溶液被用作後 顯影沖洗時,也可以減少施加在圖案化線路上的毛細力, 此種毛細力會促成圖案崩潰缺陷。另外,製程溶液在動態 沖洗情形下能有效操作,而且相較於其他現今用於此技術 的界面活性劑有比較少的泡沫產生。 [0032 ]本發明的製程溶液可以被用於各種有關半導體元 件的製造的製程,例如微影製程溶液(亦即沖洗、光阻、邊 緣水滴去除劑)以及抗反射塗佈(ARC)溶液;後蝕刻製程溶 液(亦即側壁膜、剝膜劑、後剝膜/灰沖洗溶液);晶圓清洗 製程溶液(亦即RCA的添加劑或其他標準的清洗溶液,超臨 1247799 月洗/谷液);以及用於航太應用之關鍵性清洗或精確 清洗的製程、、史、为 . 、 往岭液。在更具體的實例中,本發明的製程溶液 可乂被用來當作微影沖洗溶液。在製程溶液中的界面活性 劑可以在減少起泡的同時減小平衡及動態表面張力。 [0033] 本發明的製程溶液可以具有以水性為主的溶劑及/ 或非X 14為主之溶劑當作載運相或介質,本文所謂,,水 ^疋描述一種溶劑或液體分散媒介,它包含至少80個重 量刀率的水,優選的是90個重量百分率,更優選的是至 少95個重量百分率,優選之水性為主的溶劑是去離子水。 在以水性為主之製程溶液的具體實例中,最好是至少有一 種分子式I到VIII之界面活性劑,在23r下且水中界面 活性劑濃度低於或等於5個重量百分率時所顯示的動態表 面張力小於45達因/公分,這是依據Zay?沿1986,2, 428-432 (列為本文參考文獻並參考其全部内容)所描述測 量表面張力之最大泡沫壓力方法(1個泡沫/秒)。 [0034] 在以一種非水性溶劑與水性溶劑一起使用或以一 種非水性溶劑取代一種水性溶劑的具體實例中,所選用的 非水性溶劑將不與下列物質進行反應:其中所含的此種至 少一種界面活性劑、製程溶液中的其他添加劑,或是基材 本身。合適的溶劑包括(但不限定是)碳氳化合物(例如戊烷 或己烧);鹵化碳(例如氟利昂113);醚[例如乙醚(Et2〇)], 四氫呋喃(THF),乙二醇單甲基醚,或2-甲氧基乙基醚 (diglyme);腈(例如CHaCN);或芳香族化合物(例如三敦化 苯)。仍有另外溶劑的例子包括乳酸酯、丙_酸酿及二醇。 17 1247799 k些溶劑包括(但不限定)丙酮、1,4-二口咢烷、1,3-二口 可烷、醋酸乙酯、環己酮、丙酮、丨一甲基—2 —吡咯酮(NMp) 及甲基乙基酮。其他的溶劑包括二甲基甲醯胺、二甲基乙 醯胺、N-甲基吡咯酮、碳酸乙稀、碳酸丙烯、甘油及衍生 物萘及含取代基的形式、醋酸酐、丙酸及丙酸酐、二甲 砜笨甲酮、二苯砜、酚、間-甲酚、二甲亞颯、二苯基醚、 聯三苯及相似物。仍有另外的溶劑包括丙二醇丙醚 (PGPE)、甲醇、乙醇、3一庚醇、2一甲基一卜戊醇、5一甲基一2一 己醇、3-己醇、2-庚醇、2-己醇、2, 3-二甲基-3-戊醇、乙 酸甲氧基丙醋(PGMEA),乙二醇、異丙醇(IpA)、正丁醚、 丙二醇正丁醚(PGBE)、卜丁氧基—2 —丙醇、2—甲基1 —戊醇、 2一甲氧基醋酸乙醋、2 —丁氧基乙醇、2一乙氧基乙婦乙酸醋、 卜戊醇及丙二醇甲醚。以上列舉的非水性溶劑可以單獨或 以兩種或更多的溶劑混合使用。 [0035] 在某些具體實例中,製程溶液可以包含至少一種非 水性溶劑,它是可以與—種水性溶劑相溶混或是水可、容 的。在這些具體實例中,在製程溶液中之非水性溶劑的量 可以介於大約i到大約50% (重量百分率)並且與包含一種 水性溶劑之製程溶液中的溶劑達平衡1可溶之非水 劑的例子包括甲醇、乙醇、異丙醇及THf。 彳 [0036] 目前的溶液包含介於1〇到1〇,嶋卿之至少 以結構的分子式丨到νιπ所代表的界面活性,血 活性劑具有兩性的本性,f ^ 、 1面 …, 匕們可以同時是親水性及 疏水性。兩性界面活㈣丨具有—個親水性前端基圏或基^ 18 1247799 們(它們對水有強的親和力)以及一個具有長的疏水尾端, 此尾端是有機性且對水排斥。本發明之此種至少一種分子 式I到V I I I的界面活性劑可以是離子的(亦即陰離子的、 陽離子的)或非離子的。 [0037]本發明的某些具體實例中,製程溶液含有一種或多 種非離子的快二醇衍生物的界面活性劑。本發明的界面活 性劑可以下面分子式I或分子式11來代表:
其中1及R4各自是具有3到10個碳原子之直的或分歧的 烷基鏈;R2及R3各自是一個氫原子或是一種具有丨到5個 碳原子的烷基鏈;而m,n,p&q各自是介於〇到2〇之間 的數字。界面活性劑是商業化產品(美國賓州艾倫鎮的八“ Products and Chemicals公司,亦即本發明的受讓人所製 _ 造,商品名SURFYN0L及DYN0L )。在某些較具體的實例 中,分子式I或π的炔二醇部分的分子是2,4,5,9一四甲基 一癸炔-4,7-二醇或2,5,8,u一四曱基一6一十二炔一5,8一二 醇本發月炔一醇所衍生的界面活性劑可由以下例子所描 述之一些方法製備,例如美國專利第6, 313, 182號及EP 1 1 15035A1 ’這些專利讓渡給本發明受讓人並且將它們的全 部併入本文當作參考。 19 1247799 [〇〇38]在分子式1及II中,以(0CM4)所代表的烷氧成分 疋聚合(n+m)個莫耳單位的環氧乙烷(E〇),而以(〇C3H6)所代 表的成分是聚合(P+Q)個莫耳單位的環氧丙烷(P0)。(n+m) 的值介於0到30之間,優選的是在1.3到15之間,更優 選的疋在1· 3到1〇之間。(p+q)的值介於〇到3〇之間,優 選的是在1到1 〇之間,更優選的是在1到2之間。 [0039 ]本發明的某些具體實例中,此製程溶液包含介於 10到1 0,000ppm之至少一種以下列分子式(Ιπ)到(VIII) 所代表的界面活性劑, 1247799
Rt
在上述每個分子式中,R!及R4各自是一個具有3到10個碳 原子之直的或分歧的烷基鏈;R2及R3各自是氫原子或是一 種具有1到5個碳原子的烧基;Rs是一個具有1到1 〇個破 原子之直的或分歧的烷基;R6是一個具有4到16個碳原子 之直的或分歧的烷基;R7,R8及R9各自是一個具有1到6 個碳原子之直的或分歧的烷基;R1G獨自是Η或是一種以分 子式
代表的基團;Rn是一種具有4到22 21 1247799 :碳原子之直的、分歧的或環狀的院基;¥是一個氫原子或 疋:種炔基基團;X及γ是—個氫原子或是—個減基團;-疋個鹵素原子、-個羥基基團、一個醋酸鹽基團或是 - -個羧酸基團;m,η,!)及q各自是介於〇到2〇之間的數· 字;r及3各自是2或3; t是介於〇到2之間的數字;)· =介於1到5之間的數字;而χ是介於lfiJ 6之間的數字。 刀子式111界面活性劑的例子包括(但不限定是)3,5 —二甲 基—1-乙炔-3-醇及2, 6-二甲基—4 —庚醇。分子式IVa界面活 性劑的一個例子包括(但不限定是)N,N,—雙(ι,3 —二甲基鲁 丁基)乙二胺。分子式V界面活性劑的一個例子包括(但不 限疋疋)一異戊基酒石酸酯。分子式v丨界面活性劑的一個 例子包括(但不限定是)十二基三曱基氣化銨。分子式vn 界面活性劑的一個例子包括(但不限定是)2, 4, 7, 9 —四甲基 -4, 7-癸烷二醇。分子式νιπ界面活性劑的一個例子包括 (但不限疋疋)一乙烯三胺及正-丁基縮水甘油醚的加成物。 [〇〇40]製程溶液可以選擇性地含有一種分散劑,加入製程 溶液之分散劑的量介於大約1〇到大約1〇〇〇〇ppm,優選的· 是介於大約10到大約5, 000ppm之間,更優選的是介於大 約10到大約l,〇〇〇ppm之間。本文所謂的分散劑是描述可 以提高存在於製程溶液之微粒(例如灰塵、加工的殘餘物、-碳氫化合物、金屬氧化物、顏料或其他污染物)分散的化合 物。適合用於本發明的分散劑最好具有介於大約10到大約 10, 000之間的數目平均分子量。 [0041 ]分散劑可以是一種離子的或非離子的化合物,此種 22 1247799 離子的或非離子的化合物可以另外包含單獨一種共聚合 物、一種寡聚物、或-種界面活性劑或是其組合。本文所 謂的共聚合物是有關一種含有一種以上之聚合物化合物的 聚合物化合物,例如嵌段、星形、或接枝共聚合物…種 非離子的共聚合物分散劑的例+包括聚合物的化合物,例 如三-嵌段 EO-PO-EO 共聚合物 pluronic L121, L123, L31,L81,1^01及{>123(以即,Inc.)。本文所謂寡聚物是 有關於一種只有少許單體單元所組成的聚合物化合物。離 子的寡聚物分散劑的例子包括SMA 144〇及2625寡聚物 (Elf Alfochem) 〇 [0042 ]另外,为散劑可包含一種界面活性劑,如果分散劑 包含一種界面活性劑,則此界面活性劑可以是離子的(亦即 陰離子的、陽離子的)或非離子的。界面活性劑其他的例子 包括矽的界面活性劑、聚(亞烴基氧化物)界面活性劑及含 氟化學品的界面活性劑。適合用於製程溶液之非離子的界 面活性劑包括(但不限定是)辛基及壬基酚乙氧酸酯(例如 TRITON X一U4,X-102,X-45,X-15)及乙氧化醇[例如籲 BRIJ 56 (CwIKOCIhCHOuOIOUCI)及 BRIJ 58 (ChKOCI^CH^oOIOCICI)]。仍有另外的界面活性劑例 子,包括(一級或二級)醇的乙氧化物、乙氧化胺、配糖體、 · 葡糖酿胺、聚乙二醇、聚(乙二醇-共—丙二醇),或其他在 , 參考 X 氟 McCutcheon,s Emulsifiers and Detergents {矣 國新澤西之Glen Rock的糖果製造商出版公司在2000年所 出版的北美版)中所提供的界面活性劑。 23 1247799 _ m [0043]丨他各種不同的添加劑可以選擇性地依應用而加 到裝程/合液中,這些添加劑可以包括(但不限定是)安定 劑助办劑、著色劑、潤濕劑、消泡劑、緩衝劑及其他額 外的界面活性劑。除非另外註明,通常每一種添加劑的量 大約佔製程溶液的總重0.0001到i個重量百分率,更優選 的是0.0001到〇· 1個重量百分率。具體實例中將一種或多 種額外的界面活性劑加入製程溶液中,此種界面活性劑可 认 k本 參考叉敗 McCutche〇n, s Emulsifiers and
加烈&中所揭示的任何一種界面活性劑。 [0044]在某些具體實例中,本發明的製程溶液可以被用來 當作-種非水性光阻,因為這種關係,此種製程溶液最好 包括介於60到90(優選的是介於7〇到9〇)個重量百分率的 非水性溶劑;介於5到40(優選的是介於1〇到2〇)個重量
百分率的光阻聚合物;介於〇· 5到大約2個重量百分率的 光活性化合物;10到10,000ppm之至少一種分子式4彳VIII 的界面活性劑;以及低於i個重量百分率的其他添加劑(例 如聚口抑制劑、染料、塑化劑、黏度控制劑以及類以的物 質)。光阻的黏度可以藉由改變聚合物與溶劑的比例來調 整,然後配製出塗佈不同厚度的光阻。在光阻製程溶液中 所含之合適的非水性溶劑包括本文包括的任何溶劑。非限 定的光阻聚合物例子包括酚醛樹脂或聚乙烯酚共聚合物。 非限定的光活性化合物例子包括重氮萘酉昆或光酸產生劑 CPAG) ° [0045 ]本發明的製程溶液可以被用來當作一種非水性邊 24 1247799 緣水滴去除劑,邊緣水滴去除劑可以在將圖案光阻層烘乾 成交聯的聚合物之前使用或在微影之前使用。在此具體實 例中,製程溶液最好包含介於99到1〇〇個重量百分率的非 水性溶劑;10到io,〇〇〇ppm之至少一種分子式j到νιπ的 界面活性劑;以及低於1個重量百分率的其他添加劑。邊緣 水滴去除劑製程溶液中合適之非水性溶劑包括本文包括的 任何溶劑,在某些具體實例中’此種溶劑可以是p(JMEA、乙 基乳酸鹽或苯甲謎。 [0046]本發明的製程溶液可以被用來當作抗反射塗層,用 # 來塗在基材頂部或底部。在具體實例中,製程溶液最好包 含介於60到99個重量百分率的非水性溶劑;介於1到4〇 個重量百分率(優選的是介於丄到2〇個百分率)的一種聚合 物j介於10到10,000ρριη之至少一種分子式!到νιπ的界 面活性劑;以及小於1個重量百分率的其他添加劑例如交聯 劑、界面活性劑、染料化合物以及相似的物質。通常,製 程4液的固體成分在所有製程溶液總重中所佔的比例可在 大、’勺0. 5到大約40(優選的是〇· 5到大約2〇,且更優選的_ 疋2到10個重量百分率)之間變化。在ARC製程溶液中之 合適非水性溶劑的例子包括本文所含的任何溶劑。在某些 較具體的實例中,此種溶劑可以是pG腿或乳酸乙醋。在. ARC裝程♦液中之合適的聚合物例子包括(但不限定是)丙 烯酸酯聚合物或含苯基的聚合物(例如在美國專利第 6,41。,209號中所揭示的聚合物)以及旋轉塗佈在玻璃上物 質’例如甲基娃氧烧、甲基倍半氧矽垸 25 1247799 (methylsilsesquioxane)、矽酸鹽聚合物(例如在美國專利 第6, 268, 457及6, 365, 765號中所揭示的)。 ” [〇〇47]本發明的製程溶液可以被用在晶圓清洗的方法,例 , 如RCA型清洗,它是在顯影步驟之後進行的。在此具體實 例中,在剝膜、CMP、灰清洗、及/或蝕刻步驟完成後,可 _ 用此種製程溶液處理基材。在本發明製程溶液的一個具體 實例中’此製程溶液包含一種驗(例如一種胺及/或氫氧化 銨,烷基氫氧化銨);一種氧化劑(例如Η"2); 一種螯合劑(選 擇性的);從10到10, OOOppm之至少一種分子式I到VI丨J φ 的界面活性劑;一種水性溶劑或水。某些螯合劑之非限制的 例子是下列有機酸及其異構物和鹽類以乙二硝基)四醋酸 (EDTA)、丁二胺四醋酸、環己烷-1,2-二胺四醋酸(CyDTA)、 二乙三胺戊醋酸(DETPA)、乙二胺四丙酸、(羥甲基)乙二胺 三醋酸(HEDTA) 、N,N,N,N,-乙二胺四(甲二麟 酸)(EDTMP)、檸檬酸、酒石酸、苯二酸、葡萄酸、醣二酸、 兒茶酚、掊酸、焦掊酚、沒食子酸三酯以及半胱氨酸。在 一個替代的具體實例中,製程溶液包含稀釋的HF ;從1 〇到 鲁 10,00Oppm之至少一種分子式I到Vπ I的界面活性劑;以及 水。在另一個具體實例中,製程溶液包含一種酸(例如硫酸 或HC1)及一種氧化劑(例如jJ2〇2) ’ 一種螯合劑(選擇性的); 從10到10,00〇ppm的至少一種分子式I到V111的界面活 · 性劑;一種水性溶劑或水,其中酸對氧化劑的比例為1 : i。
在另一個具體實例中,製程溶液包含一種水性溶劑(例如電 解質的離子水)以及從1〇到10, OOOpprn之至少一種分子式I 26 1247799 到V111的界面活性劑。在另一個具體實例中,製程溶液包 含UV/臭氧;從10到10, OOOppm之至少一種分子式J到 VIII的界面活性劑;以及水。對晶圓清洗的應用,製程溶液 被用在兆音波或一般的清洗(例如喷灑的應用)。 [0048]本發明之製程溶液的製備可以藉由將至少一種分 子式I到VIII的界面活性劑與水性及/或其他非水性溶劑 以及任何額外的添加劑混合。在某些具體實例中,在溫度 範圍大約40到60°C之間可以將其中所含的原料溶解並進 行混合。最終的製程溶液可以選擇性地以過濾去除任何未 溶解且可能會傷害基材的微粒。 [0049]在顯影步驟或之後,本發明的製程溶液被用來處理 基材表面,合適的基材包括(但不限定)以下材料:例如砷化 鎵(GaAs)、矽、鉅、銅、陶竞、鋁/銅合金、聚醯亞胺、及 含有梦的成分[如結晶的矽、聚矽、無定形的矽、取向附生 的梦、二氧㈣(Si〇2)、氮切、已摻雜的:氧切及相 似物],其他基材的例子包括碎、銘、或聚合物樹脂。 [0050]在某些較佳的具趙實例中,製程溶液被應用在塗過 光阻之基材,此種塗過光阻的基材暴露在放射線源中,讓 放射線加在光阻塗層上以提供—種圓案。放射線源的例子 包括(但不限定是)紫外⑽光、電子束或χ1射線、雷射或 離子束。在某些具體實例中,_種預烘烤或溫和烘烤步驟 可以在曝光步驟前進行,以去除内部所含的任何溶劑。此 種預洪烤或溫和棋烤步驟的例子是在—個熱板上,且溫度 範圍從_到靴下進行一段時間(從3〇到12〇秒)。 27 1247799 [〇〇51]取決於光阻塗層是正型或負型,放射線不是增加就 是減少對後續所使用驗性顯影劑溶液[❹含有四甲基氯 氧化錄(TMH)、氫氧,化鉀、氫氧化納或其他驗的製程溶液] 的溶解度。其他的顯影劑溶液例子包括美國專利6,455,234 6, 268, 1 15; 以及6, 120, 978號中 6, 238, 849; 6, 127, 1〇1; 所提出的。對正型光阻塗層而言,遮蔽放射線的區域在顯 影後會保冑’而暴露的區域會被溶掉,對負型光阻塗層而 言,情況相反。本發明之製程溶液可以用來處理具有正型 或負型光阻塗層的基材。圖案光阻影像可利用不同的方法 顯影’包括(但不限定是)非活動性、浸沒、喷灑或授渾顯 影。例如在非活動性顯影中,顯影溶液被用在暴露的基材 表面,經過m將此圖案顯影的時間後,再沖洗基材 表面。顯影時間及溫度將視使用的方法而變化。 [_]當®案光阻影像被_後’將基材烘烤使光阻中所 含的聚合物變硬’例如烘烤步驟可以在溫度範圍7『c到 150°C之間進行一段時間(從3〇秒到ι2〇秒)。
[0053]製程溶液最好當作準備溶㈣來處理基材表面,然 而,另—個具體實例中,在與基材表面接觸前或接觸時, 製程溶液可以在沖洗流中製備。例如,某m種或多 種分子式I到VIII之界面活性劑可以被注射到連續的水流 及/或非水性溶劑介質中以形成製程溶液,其中的非水性溶 液介質可選擇性地含有其他添加劑。在本發明某些具體實 例中’-部分至少-種分子式u VIII之界面活性劑可以 在應用製程溶液後加入基材,在這個情形中,製程溶液在 28 1247799 處理基材時會以多重步驟形成。在本發明其他的具體實例 中’至少一種分子式I到VI II之界面活性劑也可以沈積在 (或包含)咼表面積裝置的物質(例如匣或濾、心),它可以或 可不包含其他添加劑。然後水流或水及/或非水性溶劑介質 經過此匣或濾心因而形成製程溶液。本發明仍有另一種具 體實例是在接觸的步驟製備製程溶液,因為這種關係,至 少一種分子式I到VI11之界面活性劑經由一種滴管或其他 的方法被導入基材的表面,然後水及/或其他的溶劑介質被 導入基材的表面並與在基材表面的至少一種分子式I到 VI11之界面活性劑混合,因而形成此種製程溶液。 [0054] 本發明的另一種具體實例提供一種包含至少一種 分子式I到VI11之界面活性劑的濃縮成分,它可以在水及 /或非水性溶劑中稀釋成製程溶液。本發明的一種濃縮的成 分(或濃縮物)允許此種濃縮物稀釋到所需的強度及ρίΙ。一 種濃縮物也可容許更長的耐儲時間以及容易運輸及儲存產 品。 [0055] 有種種的方法可以將製程溶液與基材表面接觸,接 觸步驟實際的條件(亦即溫度、時間及類似的條件)可以在 很寬的範圍内變化,通常是取決於種種的因素,例如(但不 限定是)基材表面的殘餘物的性質及量以及基材表面的疏 水性或親水性等等。接觸步驟可以動態的方法進行(例如一 種應用製程溶液於基材表面的流線型程序)或是以靜態的 方法,例如攪渾沖洗或將基材浸在含有製程溶液的洗滌液 中。製程溶液也可以動態方法喷灑在基材表面上(例如連續 29 1247799 式程序),或喷灑到表面後以靜態的方法使其保留在那裏。 在某些較具體實例中,接觸步驟是以動態方法進行。接觸 步驟持續的時間或是製程溶液接觸基材表面的時間可以在 一秒到數百秒之間變化。優選地,持續時間範圍為i到2〇〇 移之間,優選的是1到150秒之間,更選優的是i到4〇秒 之間。接觸步驟的溫度範圍在10到l〇(rc之間變化,更優 選的是在10到40°c之間。 [0〇56]不論接觸步驟是靜態或動態,製程溶液或濃縮物最 好被應用在還是濕的基材表面。例如在一個較具體實例 中製程溶液被用來當作光阻層顯影後的沖洗溶液。因為 廷樣的關係,塗過光阻的基材利用顯影劑溶液顯影,在顯 影之後,除了以去離子水沖洗,也可用製程溶液沖洗基材 表面,製程溶液也可取代離子水來沖洗基材表面。當基材 還是濕的(顯影劑溶液及/或去離子水)時,製程溶液可以動 態的方式或靜態的方式(例如在基材表面將之攪渾)來應 用。在分散時,基材以慢速(例如每分鐘100轉,亦即1〇〇 rpm) 旋轉將製程溶液散佈在基材表面。對動態程序而言,當製 程溶液被連續地分散在緩慢旋轉的基材上。對靜態程序而 s (例如攪渾程序),可允許基材靜止一段時間,例如丨5秒。 在利用製程溶液沖洗的步驟完成後,再將沖洗後的晶圓乾 燥,例如以較高的rpm來乾燥。 [0057]本發明的另一個具體實例提供一種選擇包含至少 一種分子式I到VI11之界面活性劑的製程溶液的方法,其 中此種製程溶液將減少囷案化之塗過光阻基材的圖案崩潰 30 1247799 缺陷數目’因為這樣的關係,此種方法包括確定含有10到 1 0,000ppm之至少一種界面活性劑的製程溶液之表面張力 並測量接觸角。首先用製程溶液來處理塗過光阻之基材的 樣品表面’製程溶液的表面張力(最好是動態表面張力)可 依據本文所描述之最大氣泡壓力的方法來決定,然後再測 董製程溶液的接觸角,接觸角是基材表面的一滴製程溶液 之基線及液滴基部的切線之間的角度。某些較具體實例用 一種咼速照相機在2分鐘内以每2秒拍攝一個鏡頭的速度 拍攝液滴的散開,並且以攝影的影像量測接觸角。 鲁 [0058] —旦得到製程溶液的表面張力及接觸角,表面張力 乘以接觸角的餘弦得到一種在本文稱之為,,黏著張力值” 的某種數值。製程溶液的黏著張力值愈低,圖案崩潰的缺 陷的減少愈多。黏著張力值為3〇或低於3〇(優選的是25 或低於25,或更優選的是高於2〇或低於20)時,代表製程 溶液比去離子沖洗溶液或含有先前技術所描述之界面活性 劑的製程溶液能更有效地減少圖案崩潰缺陷的數目。如果 黏著張力值是可接受的(亦即3〇或低於3〇),製程溶液將可 · 用於批製造。分子式Ϊ到V111之界面活性劑的滚度是以 每種界面活性劑不同濃度下計算出來的最小黏著張力值來 決定。在某些較具體實例中,對圖案化及已顯影之塗過光 · 阻的基材而言,製程溶液較去離子水沖洗減少25%或更多 -(優選的是50%或更多,而更優選的是75%或更多)的圖案崩 潰缺陷數目,其中基材的縱橫比為3· 〇或更高,而線寬線 距比為1:1·4或更大,或是一種至少為〇 〇15 1/nm的標準 31 1247799 縱橫比。 []以下列實施例將更詳細地說明本發明,但必須知道 本發明不想被這些實施例所限制。 實施例 實施例1到5··動態表面張力(DST) [〇] 3有由2, 4, 7, 9-四甲基—5-十二炔—4, 7-二醇(實施 例1到3)或2, 5, 8, 11-四甲基一6一十二炔一5, 8一二醇(實施例 4及5 )所衍生之炔二醇界面活性劑之製程溶液的製備,是 籲 在連續的攪拌下將〇· 1個重量百分率之界面活性劑添加到 去離子水中。 [0061 ]每一種製程溶液的動態表面張力(DST)數據是以
Langmuir 1986,2,第428-432頁中所描述的最大氣泡壓 力方法來收集,數據的收集是使用Kruss BP2氣泡壓力張 力計(它是由美國北卡羅來納州夏洛特市的Kruss公司所製 造)並以0.1個氣泡/秒(b/s)到20(b/s)的氣泡速率來收 集’每一種樣品E0及P0的莫耳單元及動態表面張力數據 _ 列在表I。 [0062]動態表面張力數據所提供的資訊是有關於在接近 平衡(〇.lb/s)到比較高表面創造速率(20b/s)的條件下,界 · 面活性劑的表現。例如半導體或I c加工的應用中,高的氣 · 泡速率相當於較高的基材旋轉速度或在後顯影沖洗製程的 一種動態分散。令人滿意的是在南泡泳速率下,降低動態 表面張力值到水的表面張力值(亦即在20b/s時為7〇-72達 32 1247799 因/公分)以下可提供更潤濕之塗過光阻的基材,且減少缺 陷的數目並避免圓案崩潰。如表I的說明,所有製程溶液 在高氣泡速率下具有較水低的動態表面張力,這代表本發 明的製程溶液對減小水的表面張力是有功效的。 表I:動態表面張力 實 Ε0莫耳數 ---- P0莫耳數 DST (達 DST (達 DST (達 DST (達 DST (達 施 (m+n) (p+q) 因/公分) 因/公分) 因/公分) 因/公分) 因/公分) 例 0· 1 b/s 1 b/s 6 b/s 15 b/s 20 b/s 1 5 2 34.0 35.3 37.6 41.5 44.3 2 5 0 35.1 35.2 38.1 42· 0 44.4 3 0 0 32.1 33.1 34.2 36.1 40.3 4 0 0 34.1 43.6 58.1 68.3 69.8 5 4 0 26.8 26.8 31.5 35.9 39.1 實施例5到7 :起泡性質 [0063] 含有由2,4,7,9-四甲基_5一十二炔_47_二醇(實施 例5及6)或2,5,8,1卜四甲基-6_十二炔_5,8_二醇(實施例 7)所衍生之块二醇界面活性劑之三種製程溶液的製備,是 在連續的攪拌下將0.1個重量百分率之界面活性劑添加到 去離子水中。 [0064] 起泡是界面活性劑在沖洗溶液中不理想的副作 用,實施例5到7的起泡性質的量測是依據astmd1173 — 53 且利用Ross-Miles測試方法,其結果列在表π中。在此 33 1247799 項測試中,每一種製程溶液(2〇〇ml的量)在室溫下由提高起 泡移液管加入一種起泡接受器中,此接受器中含有5〇蚪相 同的溶液。R〇SS-Miles方法是促進將一種液體倒入一種含 有相同液體的圓柱形容器中的動作。結果列在表π中。= 加完成後量測起泡的高度(“起始起泡高度”),並記錄泡 沫消散所需的時間(“到零泡沬的時間,,)。在某些應用 中,泡沫是不受歡迎的,因為它會因為缺乏充分塗佈基材 表面而造成缺陷。如表II所示,達到零泡沫的時間幾乎是 一分鐘或更短的時間。 [0065]實施例5之製程溶液也跟含有〇1個重量百分率之 一種含氟界面活性劑(全氟烴基乙氧酸酯)及一種離子的界 面活性劑(月桂基硫酸鈉)的製程溶液使用R〇ss—Miles測試 來作比較。比較的結果列在表UI中,如表ΙΠ所示,含 有含氟界面活性劑及離子的界面活性劑的溶液在間隔5或 10分鐘後仍然具有顯著的起泡。在半導體加工應用中,泡 沫的存在是不理想的而且導致加工缺陷的增加。 表II:起泡性質 實施例 Ε0莫耳數 (m+n) P〇莫耳數 (p+q) 起始起泡高度 _(公分) 到零泡泳的時間 (秒) 5 5 2 0.6 6 6 0 0 2.0 3 7 4 0 2.5 60 34 1247799 表π i ··與含有其他界面活性劑溶液之起泡性質的比較 沖洗成分 起始起泡高 度 (公分) 在6秒時的 起泡高度 (公分) 在5分鐘時 的起泡而度 (公分) 在5分鐘時 的起泡兩度 (公分) 實施例5 0.6 0 0 0 含氟界面活性劑((hi個 重量百分率)(1) 14.5 14 5 不適用 13· 5 離子的界面活性劑 (0.25個重量百分率)(2) 22.0 22.0 20.0 不適用 (1)資料來源:DuPont ZONYL 市場文獻。 (2)資料來源:Weil, J· Κ·等人,“Synthetic Detergents from Animal Fats: the Sulfonation of
Tallow Alcohols” , J· Am· Oil Chem· Soc· 31,第 444-47 頁(1954)。 實施例8到9:接觸角數據 [ 0066]含有不同量之由2,4,7,9-四曱基-5-十二炔-4,7- 二醇(實施例8a及8b)或2, 5, 8, 1卜四甲基—6一十二快-5,8一 二醇(實施例9a及9b)所衍生之界面活性劑之製程溶液以 及用來比較之去離子水(比較用實施例1)的潤濕性質是以 G10/DSA10 Kruss滴狀分析儀(由美國北卡羅來納州夏洛特 市的Kruss所提供)並使用無柄液滴方法來量測。在此種方 法中,在塗過光阻之基材表面局部區域的潤濕性質是以量 測含水顯影劑溶液液滴的基線及液滴基部的切線之間的& 觸角來估計。一種高速照相機在2分鐘内以每2秒拍攝一 35 1247799 • > 個鏡頭的速度拍攝液滴的散開,並且量測接觸角。 [0067]以2, 4, 7, 9-四甲基—5一十二炔一4, 7一二醇及 2, 5, 8, U-四甲基-6-十二炔-5, 8-二醇為主之界面活性劑 的製程溶液是以下面的方式製備。於室溫下,在一個量瓶 中加入不同量的界面活性劑及DI水達1〇〇ml的量,將此混 合物攪動直到界面活性劑溶解在其中並形成製程溶液。實 施例8a,8b,9a及9b中,在製程溶液之界面活性劑的量 列在表IV中。 [0068]利用一種旋轉塗佈程序(旋轉速度為32〇〇rpm)在由鲁 美國加州聖荷西的Wafernet公司所提供的矽晶圓上塗佈 一種AX 4318光阻塗層(由日本大阪的住友化學公司提 供),量測製程溶液在光阻表面的接觸角。表丨v列出製程 溶液及去離子水(比較用的實施例丨)在數秒内不同時間的 接觸角數值。 [0069 ]通常,大約20。或更低的接觸角可以代表基材表 面完全潤濕,如表IV所示,以本發明之製程溶液處理過後, TMAH顯影劑在塗過光阻之基材的接觸角比只用去離子水處 φ 理塗過光阻之基材的接觸角小。另外,製程溶液中存在的 界面活性劑愈大量會導致更多界面活性劑的吸附且提高潤 濕0 36 1247799
表IV 實施例 界面活性 劑的量 接觸角 (0秒) 接觸角 (5秒) -— 接觸角 (10 秒) 接觸角 (30 秒) 比較用實施例 1-去離子水 一一 61.8 61.7 61.5 61.1 實施例8a 125ppm 47.3 46.9 46. 5 45.4 實施例8b 600ppm 47.3 42.6 40.6 36.4 實施例9a lOOppm 50.0 46.8 45.0 41.6 實施例9b 350ppm 40.0 29.4 25.3 17.2 實施例1 (h分別以去離子水沖洗與製程溶液沖洗後的後顯 影缺陷數目 [0070 ]在分別以去離子水沖洗(比較用的實施例2)以及含 有本發明製程溶液沖洗(實施例10)後,比較基材上後顯影 缺陷的數目。製程溶液含有50ppm之由2, 5, 8, 1卜四甲基-6 -十二炔-5,8-二醇衍生之界面活性劑及17(^0111之31^144〇 募聚物分散劑(Elf A1 f ochem提供)。以下面方式處理基材: 將一個塗過光阻的基材暴露在365nm的光源下,加熱到大 約110C的溫度大約1分鐘並以稀釋的TMAH溶液顯影以形 成一種圖案光阻。此種TMAH溶液的應用是將一種〇· 21N TMAH溶液以動態分散到基材表面達1 〇〇秒的時間。 [0 0 71 ]在比較用的實施例2中,一種含有去離子水的沖洗 在顯影劑喷嘴關掉前15秒開始進行,並持續進行7分鐘。 使用TereStar KLA-Tenc〇r缺陷檢測工具(美國加州聖荷 37 1247799 西的KLA-Tencor公司提供)來檢測基材的缺陷,並將缺陷 分類及計算,檢測的結果列在表V。 [0072]以比較用實施例2相同的方法及相同的顯影劑及 處理條件來處理基材,但是在顯影丨〇〇秒後,使用一種包 含一種炔二醇界面活性劑(實施例1〇)的製程溶液來沖洗圖 案化之塗過光阻表面。使用顯影劑的重疊時間與比較用實 施例2相同。在以製程溶液沖洗12〇秒後,再使用去離子 水冲洗7为鐘。使用TereStar KLA-Tencor缺陷檢測工 具(美國加州聖荷西的KLA-Tencor公司提供)來檢測基材的 缺陷’並將缺陷分類及計算,檢測的結果列在表v j。 [ 0073]如表VI所示,本發明的製程溶液可以從圖案光阻 表面完全去除光阻殘餘物。相較之外,表V顯示在以去離 子水沖洗後’有許多因為殘餘光阻及其他來源造成的缺 陷。所以,以本發明之製程溶液沖洗基材可有效消除後顯 影缺陷的數目並改進製程產量。 表V: α去離子水沖洗後的後顯影缺陷 缺陷種類 小的 中間的 大的 特大的 總和 圖案缺陷 0 55 35 1 91 針孔/點狀物 0 148 2 0 150 總和 0 203 37 1 241 1247799 . 表V I :以製程溶液沖洗後的後顯影缺陷 缺陷種類 小的 中間的 大的 特大的 總和 圖案缺陷 0 0 0 0 0 針孔/點狀物 0 0 0 0 0 總和 0 0 0 0 0 實施例1 1 :製程溶液及含有含氟界面活性劑之溶液的平衡 表面張力及動態表面張力的比較 [0074] 為了比較平衡表面張力(EST)及動態表面張力(DST) 而製備一種含有〇·1個重量百分率之由2,5,8,11-四甲基 -6-十二炔-5, 8-二醇衍生的界面活性劑及一種含氟界面活 性劑’以及全氟辛烷羧酸鉀(由美國密蘇里st· Louis的 3M所提供)的製程溶液。兩種溶液的est都以Wilhemy板子 方法並使用Kruss BP2氣泡麼力張力計(它是由美國北卡羅 來納州夏洛特市的Kruss公司所製造)。每一種製程溶液的 DST是以實施例1到5所使用的最大氣泡壓力方法來測量, DST及DST測試的結果列在表νπ。 [0075] 參照表VII,含氟界面活性劑較本發明之製程溶液 具有較低的EST,明顯較低的DST代表含氟界面活性劑具有 較差的動態表面張力減少能力。對於需要高表面建立速率 的應用(例如半導體製造時所用的動態沖洗製程),本發明 的製程溶液將比含氟界面活性劑的溶液更合適,因為含氟 界面活性劑溶液具有較低的DST值。 39 1247799
表VII 沖洗成分 (0·1個重量百分率) EST(達因/公分) DST (公分/公分) 實施例11 25.8 28.4 含氟界面活性劑 21.2 72.4 實施例12到18 :決定本發明之製程溶液的黏著張力值 [0076]七種含有具有分子式I到VIII之界面活性劑的製 程溶液是在連績攪拌下,將小於1個重量百分率之界面活 性劑加入去離子水中所製備而成的。每一種製程溶液中的 界面活性劑濃度列在表V111,並且計算每一種界面活性劑 在不同濃度下的最小黏著張力值以決定其濃度。實施例12 含有3, 5-二曱基-1-己炔-醇(分子式hi),實施例13含有 2, 6-二甲基-4-庚醇(由Aldrich提供,分子式iva),實施 例14含有N,Ν’ -雙(1,3-二甲基丁基)乙二胺(分子式v), 實施例15含有二異戊基酒石酸酯(分子式丨丨丨),實施例i 6 含有十二基三甲基氣化銨(分子式IVa),實施例17含有 2, 4, 7, 9-四甲基-4, 7-癸烷二醇(分子式v),實施例18含有 2, 5, 8, 11-四曱基-6-癸炔-5, 8-二醇所衍生的界面活性劑 (分子式II),實施例19,20及21分別含有二乙二胺(x=2) 及正丁基縮水甘油醚(分子式VIII)的1:3加成物(〇· 〇5個 重量百分濃度),1:5加成物(〇·〇ΐ2個重量百分漢度)以及 1: 5加成物(0· 03個重量百分濃度)(分子式][丨丨)。 [ 0077]以 Langmuir 1986,2,第 428-432 頁中所描述的最 1247799 大氣泡壓力方法來收集每一種製程溶液的動態表面張力 (DST) ’數據的收集是利用βρ2氣泡壓力張力計(由 美國北卡羅來納州夏洛特市的公司所製造),且氣泡 速率範圍為0.1個氣泡/秒(b/s)flJ 20b/s之間,每一種製 程溶液以〇· 1個泡氣/秒的表面張力值列在表VIII。 [0078]在美國加州聖荷西的Wafernet公司所提供的石夕晶 圓上塗佈300⑽厚的TOK 6063 1 93nm光阻塗層(由日本東 =Tokyo Ohka Kogyo 公司提供),以 G1〇/DSA1〇 Kruss 滴狀 分析儀(由美國北卡羅來納州夏洛特市的Kruss所提供)量 測製程溶液在光阻表面的接觸角。表VIn列出每一種製程 溶液之液滴在1 0秒時的接觸角。 [79 ]將表面張力乘以接觸角的餘弦計算出每一種製程 溶液的黏著張力值,計算結果列在表VIII中。如表VIII 所示,所有製程溶液的勘著張力值低於25。實施例ι3,ΐ4, 19 2〇及21的黏著張力值皆低於20,這代表這些製 程溶液減小圖案崩潰缺陷數目的程度比含有一種或更^界 面活性劑且具有較高黏著張力值的製程溶液程度大。 1247799 表V111 ·•黏著張力值 實施例 一 12 13 14 15 一 16 17 18 19 20 21 濃度(重量百分率) 0.9 0.12 0.095 0. 05 4 0. 05 0. 045 0. 05 0.012 0. 03 表面張力(ST) (達因/公分) 36.6 41.4 32.0 35.4 41.5 38.4 25.8 38.7 37.9 35.6 接觸角(Θ) 55.0 70.7 53.1 45.5 62.7 56.1 28.1 59 59.2 59.7 黏著張力值 21.0 13· 6 19.2 24.8 19.0 21.4 22.8 19.9 19.4 17.9 減少圖案崩潰 [ 0080 ]實施例12, 14及17之製程溶液的製備是在連續攪 · 拌下分別將0.9個重量百分率之3,5-二甲基-1-己炔一3-醇,0.095個重量百分率之N,N,-雙(1,3-二甲基丁基)乙 二胺,以及0.05個重量百分率的2, 4, 7, 9-四甲基-4, 7-癸 烷二醇加入去離子水中。以下列的方式處理一個基材:在 一個由Wafernet公司所提供之塗有抗反射塗層的矽晶圓 上塗佈TOK 6063 193nm光阻塗層並暴露在一種19 3ηιη光中 (使用一種ASML PAS 5500/1 100掃瞄器),再加熱到大約 115°C的溫度大約1分鐘的時間,並以稀釋的TMAH溶液顯 _ 影形成一種圖案光阻。TMAH顯影溶液的使用是將〇. 26N TMAH溶液動態分散到基材上處理45秒,然後再將製程溶液 動態分散到基材表面,此時的晶圓基材是以5〇〇rpm緩慢旋 · 轉’將此溶液分散到基材表面。分散的程序持續1 5秒鐘之 後,基材以350rpm旋轉進行乾燥。 [0081 ]在一個比較用的實施例中,在以一種ΤΜΑΙί顯影溶 液將圖案光阻塗層顯影(與實施例12,14及17相同的製 42 1247799 程條件)後’利用去離子水沖洗溶液處理基材表面。 [0082]以本發明製程溶液的一種後顯影沖洗以及去離子 水的後顯影沖洗處理矽晶圓後,在掃描式電子顯微鏡下進 行比較。圓1 a及1 b顯示分別以去離子水沖洗及一種利用 實施例14之製程溶液沖洗之80 nm密集線路(線寬線距 1:1)的SEM橫斷面影像。參見圖ib發現,除了去離子水並 使用本發明的製程溶液,或以製程溶液代替去離子水當作 後顯影冲洗溶液,可以減少或降低圖案崩潰的發生率並且 保存線路清晰。 _ [0083] 每一個晶圓的關鍵尺寸(Cd)特徵都是以一個 Hi tachi CD-SEM工具來量測,每一個晶圓量37個位置,以 綜合的SEM影像藉由視覺觀測圖案崩潰。將此晶圓暴露在 相同的能量劑量16· 5mJ/cm2,表IX列出視覺觀測的結果。 [0084] 如表IX所示,本發明的製裎溶液在縱橫比為3到 3· 3之間時’可降低崩潰位置至少一半。因此當製作高縱橫 比圖形的圖案時,以本發明的製程溶液沖洗基材而非去離 子水可有效地降低圖案崩潰。 _ 43 1247799 表I X :圖案崩潰數據 沖洗溶液 去離子水 縱橫比 ^7〇 — 實施例12 ^ 一 實施例14 3. 2 實施例17 —----- 3. 1 %崩潰位置 97 48 3 [0085]雖然本發明被詳細地描述並舉例具體的實施例,但 明顯地,對於此技術的一種技巧可以在不達反其精神及範 _ 圍下做不同的改變及修改。 圖式簡單說明 [0029]圖la提供一種塗過i93nm光阻之基材的橫斷面之 掃描式電子顯微鏡(SEM)圖像,此基材具有80nm密集之線 (1:1的線寬線距)以及3. 75的縱橫比,而且此基材已經利 用去離子水沖洗過。 [0030 ]圖lb提供一種塗過193nm光阻之基材的橫斷面SEM 圖像,此基材具有80nm密集之線(1:1的線寬線距)以及 3. 75的縱橫比,而且此基材已經利用製程溶液沖洗過。 44

Claims (1)

  1. 拾、申請專利範圍: (2005年7月修正) 一種減少半導體元件製造時之缺陷的方法,此方法包 括: 提供一種包含一種光阻塗層的基材; 將此基材暴露在一種放射線源中使光阻塗層上形成 案;
    以一種顯影溶液處理此基材以形成圖案光阻塗層; 選擇性地以去離子水沖洗此基材;並 將此基材與一種包含lOppm到i〇,〇〇〇ppm之至少一種 具有分子式(I)或(II)的界面活性劑之製程溶液接觸,
    其中心及汉4是具有3到10個碳原子之直的或分歧的烷基 鏈,R2及R3疋Η或是一種具有i到5個碳原子的烷基鏈; 而m,n,P及q是介於〇到2〇之間的數字。 2. 如申請專利範圍第Μ的方法,其中的製程溶液另外 包含10到l〇,〇〇〇ppm之至少一種分散劑。 3. 如申請專利範圍第2項的方法,其中的至少一種分散 劑包含一種非離子的化合物。 其中的至少一種分散 4·如申請專利範圍第2項的方法, 劑包含一種離子的化合物。 45 1247799 (2005年7月修正) 5· 如申請專利範圍第4項的方法,其中的至少一種分散 劑包含一種界面活性劑。 6. 如申請專㈣圍第Μ的方法,其中的(n+m)的數值介 於〇到3 0之間。 如申請專利範圍第6項的方法,其中的(n+m)的數值介 於1 · 3到1 5之間。 8· 如申請專利範圍第1項的方法,其中的(P+q)的數值 介於0到3 0之間。 9. 如申請專利範圍第6項的方法,其中的(p+q)的數值介 於1到10之間。 如中請專利範圍第Μ的方法,其中的接觸角在30秒 時是60°或小於60。。 U.如申請專利範圍第10項的方法,其中的接觸角在3〇 秒時是50°或小於50。。 12.如申請專利範圍第11項的方法,其中的接觸角在30 秒時是40°或小於40。。 I3·如申請專利範圍第1項的方法,其ψ沾拉細止 再中的接觸步驟包含 一種動態的沖洗。 14·如申請專利範圍第13項的方法,1中匍护、々、六a /、〒製私溶液的動態 表面張力依據最大氣泡壓力方法, 瓦社23 C及1個氣谈/ 秒下是45達因/公分2或更低。 、 1S·如申請專利範圍第13項的方法,其中 π再中的製程溶液在時 間大於60秒後大體上是具有零泡沬的。 I6· —種減少半導體元件製造時之缺 j万忐,此方法包 46 1247799 (2005年7月修正) 括: 提供一種包含一種光阻塗層的基材; 將此基材暴露在一種放射線源中使光阻塗層上形成 圖案; 以一種顯影溶液處理此基材以形成圖案光阻塗層; 選擇性地以去離子水沖洗此基材;並 將此基材與一種包含l〇ppm到10,000ppm之至少一種 具有下面分子式之界面活性劑的製程溶液接觸,
    其中心及R4是具有3到10個碳原子之直的或分歧的 烷基鏈;R2及R3是Η或是一種具有i到5個碳原子的烷基 鏈;而m,η,p及q是介於〇到2〇之間的數字。 17· -種製程溶液,此溶液不含四甲基錄1氧化物且在圖 案光阻塗層被顯影完成後才使用,其包含: lOppm到l0,000ppm之至少一種具有分子式⑴或⑴) 之界面活性劑, 47 1247799 (2〇05年7月修正)
    一八中Rl及R4疋具有3到1 0個碳原子之直的或分歧的 烷基鏈’ R2及R3是H或是一種具有j到5個碳原子的烷基 鏈;而m,η,p及q是介於〇到2〇之間的數字。 籲 1S·如申凊專利範圍第17項的製程溶液,其中的製程溶液 另外包含10到l〇,〇〇〇ppm之至少一種分散劑。 19·如申請專利範圍第18項的製程溶液,其中的至少一種 分散劑包含一種非離子的化合物。 2〇·如申請專利範圍第18項的製程溶液,其中的至少一種 分散劑包含一種離子的化合物。 21.如申請專利範圍第17項的製程溶液,其中的(n+m)的 數值介於〇到30之間。 儀 22·如申請專利範圍第21項的製程溶液,其中的(n+m)的 數值介於1·3到15之間。 认如中請專利範圍第17項的製程溶液,其中的(p+q)的 數值介於〇到3 0之間。 如申明專利|&圍第23項的製程溶液,其中的(p + q)的 數值介於1到1 〇之間。 25·如申研專利範圍第17項的製程溶液,另外包含一種光. 活性化合物。 48 1247799 (2005年7月修正) 26·如申請專利範圍第17項的製程溶液,另外包含一種溶 劑。 27·如申請專利範圍第17項的製程溶液,另外包含一種聚 合物。 28·如申請專利範圍第17項的製程溶液,另外包含一種 驗。 29·如申請專利範圍第17項的製程溶液,另外包含一種 酸。 3〇· —種製程溶液,不含四甲基銨氳氧化物且在圖案光阻 塗層被顯影完成後才使用,此溶液包含: 10到io,oooppm之至少一種具有下面分子式之界面活 性劑,
    其中Ri及R4是具有3到1 〇個碳原子之直的或分歧的 烷基鏈;R2及R3是Η或是一種具有i到5個碳原子的烷基 鏈;而m,n,p及q是介於〇到20之間的數字。 31· 一種減少半導體元件製造時之圖案崩潰缺陷數目的方 法,此方法包括: 提供一種包含一種光阻塗層的基材; 將此基材暴露在一種放射線源中使光阻塗層上形成 49 1247799 (2005年7月修正) 圖案; 以一種顯影溶液處理此基材以形成圖案光阻塗層; 選擇性地以去離子水沖洗此基材;並 將此基材與一種包含至少一種溶劑及 lOppm到 10,000ppm 之至少一種具有分子式(III),(IVa),(IVb),(V), (VI),(VII)或(VIII)之界面活性劑的製程溶液接觸,
    Ri R5--(〇CH2CH2)t〇H w •NH (C^)r (CHsJs HN——R4 III iva
    R1 R4 V R1 (CHWi Rt-NH 、l IVb
    R2--(0CH2CH2)m0H Re R7-—Rg im K (QHa), R3--(〇CH2QH2)n〇H FU VI
    VII 其中I及R4各自是一個具有3到10個碳原子之直的 或分歧的烷基鏈;R2及R3各自是氫原子或是一種具有1到 50 1247799 (2005年7月修正) 5個碳原子的烷基;Rs是一個具有1到1 〇個碳原子之直的 或分歧的烧基;R6是一個具有4到16個碳原子之直^戈^ 歧的烧基;R7, Rs及R9各自是一個具有i到6個碳原子之 直的或分歧的烷基;R1G獨自是Η或是一種以分+ <
    代表的基困;Ru是一種具有4到22個碳 原子之直的、分歧的或環狀的烷基;|是一個氫原子或是一 種炔基基團;X及Y各自是一個氫原子或羥基基團;z是一 個氤素原子、一個醋酸鹽基團或是一個羧酸基團;瓜,I 及q各自是介於0到20之間的數字;Γ及δ各自是2或^^ 是介於0到2之間的數字;j是介於i到5之間的數字;而X 是介於1到6之間的數字。 ’ 32. 如申請專利範圍第31項的方法’其中的接觸步驟包含 一種動態沖洗。 33. 如申請專利範圍第31項的方法,其中的接觸步驟包含 一種靜態沖洗。 ^ β 34· ΙΓΓ/:範圍第31項的方法,其中的基材表面在接 觸步驟中疋以顯影劑溶液潤濕。 35.如申請專利範圍第31項的方法,其中的 觸步驟中是以去離子水沖洗潤渴。 在接 π =編31項的方法’其中的溶劑包含-種 37·如申請專利範圍第刊 ^ 万去其中的溶劑包含一絲 非水性溶劑,其中的非水性 種 4齊i在水性溶劑中是相容 51 1247799 (2005年7月修正) 的。 38·如申請專利範圍第31項的方法,其中的製程水流是注 射10到10,000ppm之至少-種界面活性劑到溶劑中形 成的。 39. 如申請專利範圍f31帛的方法,其中的製程水流是提 供10到10,000Ppm之至少一種界面活性劑到基材表面 並提供此種溶劑到基材表面所形成的。 40. 如申請專利範圍帛31㈣方法,其中的製程水流是讓# 此種溶劑經過包含至少一種界面活性劑之E所形成 的。 41•如申請專利範圍帛31項的方法,其中接觸步驟的時間 介於1到200秒之間。 42·如申請專利範圍第40項的方法,其中接觸步驟的時間 介於1到150秒之間。 43. 如申請專利範圍帛41帛的方法,其中接觸步驟的時間 介於1到40秒之間。 春 44. 如申請專利範圍第31項的方法,其中至少一種接觸步 驟的溫度介於10到100。〇之間。 45. 一種避免多數個基材表面上之顯影圖案崩潰的方法, 此方法包括: 提供一種包含一種已顯影之光阻圖案在其表面的第一 基材; 製備一種包含lOppm到10,000之至少一種具有分子式 (IVa)5(IVb)5(V),(VI),(V„)\ ^ (VIII) 52 1247799 (2005年7月修正) 的界面活性劑的製程溶液,
    Rt
    R5--(〇CH2CH2)tOH W ^CHa)r (CH2)s IH HN——R4 H III (CH2)r Rt-NH 、IH-R4 IVb Re R7——Rg 1' Re
    «1 FU V Ri R2--(〇CH2CH2)mOH (CHa), R3--(〇CH2CH2)nOH FU
    VI VII
    其中Ri及R4各自是一個具有3到10個碳原子之直的或分 歧的烷基鏈;R2及R3各自是氫原子或是一種具有1到5個 53 1247799 (2005年7月修正) 碳原子的烧基;Rs是一個具有1到1〇個碳原子之直的或分 歧的烧基;R6疋一個具有4到16個礙原子之直的或分歧的 烧基;R7,Rs及R9各自是一個具有1到6個碳原子之直的 或分歧的烧基;R10獨自是Η或是一種以分子式 \H 代表的基團;Rl 1是一種具有4到22個碳 原子之直的、分歧的或環狀的烷基;W是一個氫原子或是一 種炔基基團;X及Y各自是一個氫原子或羥基基團;z是一 _ 個齒素原子、-個醋酸鹽基團或是一個羧酸基團;% & p 及q各自是介於0到20之間的數字;r及s各自是2或3;t 是介於0到2之間的數字;j是介於5之間的數字;而X 是介於1到6之間的數字; 將該第一基材與該製程溶液接觸; 量測該製程溶液在該第一基材上的表面張力及接觸 角; 將表面張力乘以接觸角的餘弦以提供製程溶液的黏著 張力值; 提供多個基材,其中多個中的每一個基材表面包含已 顯影的光阻圖案;以及 如果製程溶液的黏著張力值是3()或低於%時,將多 個基材與製程溶液接觸。 46·如申請專利则45項的製程,其中的製備、第 觸、量測、以及相 力值是30或低於30 步驟一直被重覆,直到黏著 接張 54 1247799 (2〇〇5年7月修正) Ο.如申請專利範圍第45項的製程,其中的多數基材表面 在第二接觸步驟中是以去離子水沖洗潤濕。 4S.如申請專利範圍第45項的製程,其中的多數基材表面 是以顯影劑溶液潤濕。 49·〆種減少已圖案化且顯影之基材表面的圖案崩潰缺陷 的製程沖洗溶液,此溶液包含至少一種選自由水性溶 劑或一種非水性溶劑以及至少一種選自由具有分子式 σιη,(iva),(IVb),(v), (VI),(VII),或(vm)所 φ 組成之的界面活性劑族群的界面活性劑,
    55 1247799 (2005年7月修正) Ri Rs- III -(OCH2CH2)tOH (C^)r (CHaJs JH HN—R4
    . 0 •NH NH—FU IVb V Ri r2- -(OCHgCH^mOH (QHa), Ry- .¾ ·Ζ· Ra- -(OCH2CH2)n〇H Re FU VII
    其中心及I各自是一個具有3到1〇個碳原子之直的或分 歧的燒基鍵;R2及R3各自是氫原子或是—種具有Μ 5個 碳原子的烷基;R5是一個具有!到1〇個碳原子之直的或分 歧的院基;R6是-個具有4到16個碳原子之直的或分歧: 烷基’ R7’ r8及119各自是一個具有i到6個碳原子之直的 或分歧的烧基;R1G獨自是Η咬是 4疋一種以分子式
    代表的基團;R"是一種具有“,"2個碳 56 1247799 原子之直的、分歧( 5年7月修正) 種炔基勝: 燒基,是一個氫原子或是- 、二土 ’ 各自是-個氫原子或羥基基團;z是一 個鹵素原子、一個醋酸鹽 自是介於〇到2〇之間的數字V 基及η各 間的數子;r及S各自是2或3; t是介 於0到2之間的數字;j是介於W數字…是介 於1到0之間的數字。 疋" 50.如申請專利範圍第49項的製程溶液,其中的至少一種 載體介質包含一種水性溶劑。 51·如申請專利範圍第50項的製程溶液,其中的至少一種 載體介質包含-種非水性溶劑,其中的非水性溶劑在 水性溶劑中是相容的。 如申請專利範圍第49項的製程溶液,其中的至少一種 載體介質是-種水性溶劑’而且此種至少一種界面活 性劑是一種具有下面分子式(ΠΙ)的界面活性劑, Ri tv i〇CH2CH2)t〇H w III 其中其中Rl是-個具有3至1〇個碳原子之直的或分歧的 烷基鏈;R5是-個具有i至,"〇個碳原子之直的或分歧的烷 基;w是一個氫原子或是一種炔基基團;t是介於〇到2之 間的數字。 53·如申請專利範圍第49項的製程溶液,其中的至少一種 載體介質是一種水性溶劑,而且此種至少一種界面活 57 1247799 (2005年7月修正) 性劑是一 種具有下面分子式(IVa)的界面活性劑:
    其中1及R4各自是一個具有3到1〇個碳原子之直的或分 歧的烷基鏈,而且r&s各自是2或3。 54·如申請專利範圍第49項的製程溶液,其中的至少一種 _ 載體介質是一種水性溶劑,而且此種至少一種界面活 性劑是一種具有下面分子式(IVb)的界面活性劑, R1-NH NH~R4 IVb 其中Rl及R4各自是一個具有3到l〇個碳原子之直的或分 歧的烷基鏈,而且Γ*2或3。 55·如申μ專利乾圍第Μ項的製程溶液,其中的至少一種鲁 載體介質是一種水性溶劑,而且此種至少一種界面活 性劑是一種具有下面分子式(V)的界面活性劑,
    58 v 1247799 其中Ri及R4各自是一個 歧的烷基鏈,而且又及丫、 ' 0個碳原子之直的或分 从如中請專利範圍第各自是—個氫原子❹基基團。 恭駚入激0 項的製程溶液’其中的至少一種 載體介質是一種水 禋 14〉谷劑,而且此種至少一種異 性劑是一種具有下;v 、 乂種界面活 为子式(VI)的界面活性劑, Re
    R7 卜+^*R9 Ζ· Re νι 其中R6是一個具有4到16個碳原子之直的或分歧的烷基; 、7:,及I各自是-個具有1到6個碳原子之直的或分歧 、一土,而且Z疋一個鹵素原子、一個醋酸鹽基團或是一個 羧酸基團。 S7·如申請專利範圍第49項的製程溶液,其中的至少一種 載體;丨質疋一種水性溶劑,而且此種至少一種界面活 性劑是一種具有下面分子式(νπ)的界面活性劑, 59 1247799 (2005年7月修正) Ri R2---(OCHgCH^mOH R3---(OCH2CH2)nOH «4 VII 其中心及尺4各自是一個具有3到1〇個碳原子之直的或分 歧的烷基鏈;R2及&各自是氫原子或是一種具有1到5個 碳原子的烷基;m及n各自是介於〇到2〇之間的數字;而】 是介於1到5之間的數字。 S8.如申請專利範圍第49項的製程溶液,其中的至少一種 載體介質是一種水性溶劑,而且此種至少-種界面活 性劑是一種具有下面分子式(¥111)的界面活性劑,
    其中Rl。是-個氫原子或是—種以分子式 代表的基團;R"是—種具有4到22個碳原子之直的' 分】 的或環狀的烷基;r及$义△ β , s各自疋2或3;而χ是介於1到6. 間的數字。
TW092121575A 2002-08-12 2003-08-06 Process solutions containing surfactants TWI247799B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/218,087 US20040029395A1 (en) 2002-08-12 2002-08-12 Process solutions containing acetylenic diol surfactants
US10/339,709 US20040029396A1 (en) 2002-08-12 2003-01-09 Process solutions containing surfactants
US10/616,662 US7129199B2 (en) 2002-08-12 2003-07-10 Process solutions containing surfactants

Publications (2)

Publication Number Publication Date
TW200408700A TW200408700A (en) 2004-06-01
TWI247799B true TWI247799B (en) 2006-01-21

Family

ID=30773450

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092121575A TWI247799B (en) 2002-08-12 2003-08-06 Process solutions containing surfactants

Country Status (5)

Country Link
US (4) US7129199B2 (zh)
EP (1) EP1389746A3 (zh)
JP (2) JP4272013B2 (zh)
KR (1) KR20040030253A (zh)
TW (1) TWI247799B (zh)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7459005B2 (en) 2002-11-22 2008-12-02 Akzo Nobel N.V. Chemical composition and method
JP4045180B2 (ja) * 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
KR101020164B1 (ko) 2003-07-17 2011-03-08 허니웰 인터내셔날 인코포레이티드 진보된 마이크로전자적 응용을 위한 평탄화 막, 및 이를제조하기 위한 장치 및 방법
WO2005024920A1 (en) * 2003-09-09 2005-03-17 Csg Solar, Ag Improved method of forming openings in an organic resin material
US7592201B2 (en) * 2003-09-09 2009-09-22 Csg Solar Ag Adjustments of masks by re-flow
JP2007505485A (ja) * 2003-09-09 2007-03-08 シーエスジー ソーラー アクチェンゲゼルシャフト シリコンをエッチングする方法の改良
KR20070015558A (ko) * 2004-03-30 2007-02-05 바스프 악티엔게젤샤프트 에칭후 잔류물의 제거를 위한 수용액
DE102004017440A1 (de) * 2004-04-08 2005-11-03 Enthone Inc., West Haven Verfahren zur Behandlung von laserstrukturierten Kunststoffoberflächen
JP4759311B2 (ja) * 2004-05-17 2011-08-31 富士フイルム株式会社 パターン形成方法
EP1598704B1 (en) 2004-05-17 2009-12-02 FUJIFILM Corporation Pattern forming method
US20050282094A1 (en) * 2004-05-27 2005-12-22 Kim Young H Developer for a photopolymer protective layer
US7312152B2 (en) * 2004-06-28 2007-12-25 Intel Corporation Lactate-containing corrosion inhibitor
US7611825B2 (en) * 2004-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography method to prevent photoresist pattern collapse
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
CN101163776A (zh) * 2004-11-19 2008-04-16 霍尼韦尔国际公司 用于半导体应用的选择性去除化学物质,其制备方法和用途
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US7732123B2 (en) 2004-11-23 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion photolithography with megasonic rinse
US20060115774A1 (en) * 2004-11-30 2006-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing wafer charging during drying
KR100663354B1 (ko) * 2005-01-25 2007-01-02 삼성전자주식회사 포토레지스트 스트리퍼 조성물을 이용한 포토레지스트 제거공정을 갖는 반도체소자 제조방법들
JP4237184B2 (ja) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20070087951A1 (en) * 2005-10-19 2007-04-19 Hynix Semiconductor Inc. Thinner composition for inhibiting photoresist from drying
JP2007219009A (ja) * 2006-02-14 2007-08-30 Az Electronic Materials Kk レジスト基板用処理液とそれを用いたレジスト基板の処理方法
JP4531726B2 (ja) * 2006-06-22 2010-08-25 Azエレクトロニックマテリアルズ株式会社 微細化されたレジストパターンの形成方法
JP4866165B2 (ja) * 2006-07-10 2012-02-01 大日本スクリーン製造株式会社 基板の現像処理方法および基板の現像処理装置
JP5000260B2 (ja) * 2006-10-19 2012-08-15 AzエレクトロニックマテリアルズIp株式会社 微細化されたパターンの形成方法およびそれに用いるレジスト基板処理液
JP2008102343A (ja) 2006-10-19 2008-05-01 Az Electronic Materials Kk 現像済みレジスト基板処理液とそれを用いたレジスト基板の処理方法
US8530148B2 (en) * 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US8637229B2 (en) * 2006-12-25 2014-01-28 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
JP4562784B2 (ja) 2007-04-13 2010-10-13 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられるレジスト組成物、現像液及びリンス液
US8034547B2 (en) * 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
US8603733B2 (en) 2007-04-13 2013-12-10 Fujifilm Corporation Pattern forming method, and resist composition, developer and rinsing solution used in the pattern forming method
WO2008140119A1 (ja) * 2007-05-15 2008-11-20 Fujifilm Corporation パターン形成方法
US8476001B2 (en) 2007-05-15 2013-07-02 Fujifilm Corporation Pattern forming method
JP4617337B2 (ja) * 2007-06-12 2011-01-26 富士フイルム株式会社 パターン形成方法
WO2008153110A1 (ja) * 2007-06-12 2008-12-18 Fujifilm Corporation ネガ型現像用レジスト組成物及びこれを用いたパターン形成方法
US8617794B2 (en) 2007-06-12 2013-12-31 Fujifilm Corporation Method of forming patterns
US8632942B2 (en) 2007-06-12 2014-01-21 Fujifilm Corporation Method of forming patterns
JP4590431B2 (ja) * 2007-06-12 2010-12-01 富士フイルム株式会社 パターン形成方法
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
CN201219685Y (zh) * 2008-04-16 2009-04-15 韩广民 组装结构产品及庭院椅
JP5306755B2 (ja) * 2008-09-16 2013-10-02 AzエレクトロニックマテリアルズIp株式会社 基板処理液およびそれを用いたレジスト基板処理方法
JP5476388B2 (ja) 2008-10-09 2014-04-23 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 酸化銅エッチ残渣除去および、銅電着の防止のための水性の酸性洗浄用組成物
JP2010128464A (ja) 2008-12-01 2010-06-10 Az Electronic Materials Kk レジストパターン形成方法
US8216384B2 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for wet removal of high dose implant photoresist
JP2012516380A (ja) 2009-01-28 2012-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド リソグラフツールの原位置(insitu)清浄化用配合物
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
JP5448536B2 (ja) * 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
JP5193121B2 (ja) * 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
JP5159738B2 (ja) 2009-09-24 2013-03-13 株式会社東芝 半導体基板の洗浄方法および半導体基板の洗浄装置
US20110253171A1 (en) * 2010-04-15 2011-10-20 John Moore Chemical Composition and Methods for Removing Epoxy-Based Photoimageable Coatings Utilized In Microelectronic Fabrication
JP5591623B2 (ja) * 2010-08-13 2014-09-17 AzエレクトロニックマテリアルズIp株式会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
KR101266620B1 (ko) 2010-08-20 2013-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리방법 및 기판처리장치
JP5771035B2 (ja) * 2011-03-29 2015-08-26 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5657318B2 (ja) * 2010-09-27 2015-01-21 富士フイルム株式会社 半導体基板用洗浄剤、これを利用した洗浄方法及び半導体素子の製造方法
JP5659873B2 (ja) 2010-12-16 2015-01-28 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
US9236256B2 (en) * 2011-01-25 2016-01-12 Basf Se Use of surfactants having at least three short-chain perfluorinated groups RF for manufacturing integrated circuits having patterns with line-space dimensions below 50 NM
JP5708071B2 (ja) 2011-03-11 2015-04-30 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
EP2688688A4 (en) * 2011-03-21 2014-12-31 Basf Se AQUEOUS CLEANING COMPOSITION WITHOUT NITROGEN, PREPARATION AND USE THEREOF
JP5705607B2 (ja) 2011-03-23 2015-04-22 メルクパフォーマンスマテリアルズIp合同会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
US20130040246A1 (en) * 2011-08-09 2013-02-14 Tokyo Electron Limited Multiple chemical treatment process for reducing pattern defect
US8987181B2 (en) * 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
US9097977B2 (en) 2012-05-15 2015-08-04 Tokyo Electron Limited Process sequence for reducing pattern roughness and deformity
KR102107367B1 (ko) * 2012-07-10 2020-05-07 바스프 에스이 제미니 첨가제를 포함하는 항 패턴 붕괴 처리용 조성물
KR101993360B1 (ko) 2012-08-08 2019-06-26 삼성전자주식회사 포토 리소그래피용 린스액
JP6106990B2 (ja) * 2012-08-27 2017-04-05 富士通株式会社 リソグラフィ用リンス剤、レジストパターンの形成方法、及び半導体装置の製造方法
JP6012377B2 (ja) 2012-09-28 2016-10-25 東京応化工業株式会社 レジストパターン形成方法
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US9660745B2 (en) * 2012-12-12 2017-05-23 At&T Intellectual Property I, L.P. Geocast-based file transfer
MY181266A (en) * 2012-12-14 2020-12-21 Basf Se Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
US10170297B2 (en) 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
KR20150051478A (ko) 2013-11-04 2015-05-13 삼성디스플레이 주식회사 포토레지스트 조성물 및 이를 이용한 박막 트랜지스터 표시판의 제조 방법
US10073351B2 (en) 2014-12-23 2018-09-11 Versum Materials Us, Llc Semi-aqueous photoresist or semiconductor manufacturing residue stripping and cleaning composition with improved silicon passivation
US9873833B2 (en) 2014-12-29 2018-01-23 Versum Materials Us, Llc Etchant solutions and method of use thereof
US9482957B1 (en) * 2015-06-15 2016-11-01 I-Shan Ke Solvent for reducing resist consumption and method using solvent for reducing resist consumption
US9652841B2 (en) * 2015-07-06 2017-05-16 International Business Machines Corporation System and method for characterizing NANO/MICRO bubbles for particle recovery
CN106559086B (zh) * 2015-09-30 2019-02-15 努比亚技术有限公司 移动终端和无线通信方法
KR102507301B1 (ko) 2015-12-23 2023-03-07 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법
KR102152665B1 (ko) * 2016-03-31 2020-09-07 후지필름 가부시키가이샤 반도체 제조용 처리액, 및 패턴 형성 방법
WO2018095885A1 (en) * 2016-11-25 2018-05-31 Az Electronic Materials (Luxembourg) S.A.R.L. A lithography composition, a method for forming resist patterns and a method for making semiconductor devices
TWI742246B (zh) * 2017-02-20 2021-10-11 日商富士軟片股份有限公司 藥液、藥液收容體及圖案形成方法
JP2019121795A (ja) * 2017-12-27 2019-07-22 花王株式会社 シリコンウェーハの製造方法
US20190374982A1 (en) * 2018-06-06 2019-12-12 Tokyo Ohka Kogyo Co., Ltd. Method for treating substrate and rinsing liquid
KR102475952B1 (ko) * 2018-06-22 2022-12-09 메르크 파텐트 게엠베하 포토레지스트 조성물, 및 포토레지스트 코팅, 에칭된 포토레지스트 코팅 및 에칭된 Si 함유 층(들)의 제조방법, 및 이들을 사용하는 디바이스의 제조방법

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US55660A (en) * 1866-06-19 Improvement in gang-plows
US115022A (en) * 1871-05-23 Improvement in pulley-blocks
US4711917A (en) * 1985-02-07 1987-12-08 Ppg Industries, Inc. Cationic coating compositions for electrodeposition over rough steel
JPS6232453A (ja) * 1985-08-06 1987-02-12 Tokyo Ohka Kogyo Co Ltd ポジ型ホトレジスト用現像液
WO1987003387A1 (en) 1985-11-27 1987-06-04 Macdermid, Incorporated Thermally stabilized photoresist images
US4824763A (en) * 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
JPS6488547A (en) 1987-09-30 1989-04-03 Toshiba Corp Production of semiconductor device
JP2670711B2 (ja) * 1990-05-29 1997-10-29 富士写真フイルム株式会社 ネガ型感光性樹脂組成物用現像液
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5127571A (en) 1991-10-31 1992-07-07 International Business Machines Corporation Water soluble soldering preflux and method of application
JPH07142349A (ja) 1993-11-16 1995-06-02 Mitsubishi Electric Corp 現像工程におけるフォトレジストパターンの倒れを防止する方法
CA2136373A1 (en) * 1993-11-29 1995-05-30 Steven W. Medina Ethoxylated acetylenic glycols having low dynamic surface tension
US5474877A (en) 1994-02-24 1995-12-12 Nec Corporation Method for developing a resist pattern
US5466389A (en) * 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
JPH088163A (ja) 1994-06-21 1996-01-12 Sony Corp パターン形成方法
JP3121743B2 (ja) 1994-08-10 2001-01-09 日立造船株式会社 プラズマ式溶融方法
JPH098163A (ja) 1995-06-22 1997-01-10 Nippon Avionics Co Ltd 半導体気密封止パッケージ
JP2911792B2 (ja) * 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US5948464A (en) * 1996-06-19 1999-09-07 Imra America, Inc. Process of manufacturing porous separator for electrochemical power supply
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH10171128A (ja) 1996-12-10 1998-06-26 Tokuyama Corp 濃厚テトラメチルアンモニウムハイドロキサイド水溶液
US5977041A (en) 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6261466B1 (en) * 1997-12-11 2001-07-17 Shipley Company, L.L.C. Composition for circuit board manufacture
ATE436043T1 (de) 1998-05-18 2009-07-15 Mallinckrodt Baker Inc Alkalische, silikat enthaltende reinigungslösungen für mikroelektronische substrate
JP3606738B2 (ja) * 1998-06-05 2005-01-05 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法
US6368421B1 (en) 1998-07-10 2002-04-09 Clariant Finance (Bvi) Limited Composition for stripping photoresist and organic materials from substrate surfaces
US6152148A (en) * 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
US6313182B1 (en) * 1999-05-04 2001-11-06 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6455234B1 (en) * 1999-05-04 2002-09-24 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US20040029395A1 (en) 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US6864395B2 (en) 1999-05-04 2005-03-08 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
JP2001033988A (ja) 1999-07-15 2001-02-09 Tokyo Ohka Kogyo Co Ltd ホトリソグラフィー用リンス液およびこれを用いた基板の処理方法
US6251745B1 (en) * 1999-08-18 2001-06-26 Taiwan Semiconductor Manufacturing Company Two-dimensional scaling method for determining the overlay error and overlay process window for integrated circuits
US6369146B1 (en) * 1999-10-26 2002-04-09 Air Products And Chemicals, Inc. Malic acid diester surfactants
JP2001215690A (ja) 2000-01-04 2001-08-10 Air Prod And Chem Inc アセチレン列ジオールエチレンオキシド/プロピレンオキシド付加物および現像剤におけるその使用
US6268115B1 (en) * 2000-01-06 2001-07-31 Air Products And Chemicals, Inc. Use of alkylated polyamines in photoresist developers
TW558736B (en) 2000-02-26 2003-10-21 Shipley Co Llc Method of reducing defects
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
WO2002023598A2 (en) 2000-09-15 2002-03-21 Infineon Technologies North America Corp. A method to reduce post-development defects without sacrificing throughput
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6451510B1 (en) 2001-02-21 2002-09-17 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
US6413914B1 (en) 2001-03-29 2002-07-02 Air Products And Chemicals, Inc. Low foam N,N′-dialkylmalamide wetting agents
JP2003010774A (ja) 2001-06-27 2003-01-14 Nippon Paint Co Ltd 金属材の塗装方法
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
US6656977B2 (en) * 2001-07-20 2003-12-02 Air Products And Chemical, Inc. Alkyl glycidyl ether-capped polyamine foam control agents
US6762208B2 (en) * 2001-10-01 2004-07-13 Air Products And Chemicals, Inc. Alkane diol foam controlling agents
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
JP4045180B2 (ja) 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 リソグラフィー用リンス液およびそれを用いたレジストパターン形成方法
JP4085262B2 (ja) * 2003-01-09 2008-05-14 三菱瓦斯化学株式会社 レジスト剥離剤
US6951710B2 (en) * 2003-05-23 2005-10-04 Air Products And Chemicals, Inc. Compositions suitable for removing photoresist, photoresist byproducts and etching residue, and use thereof
JP4522408B2 (ja) * 2003-08-19 2010-08-11 マリンクロッド・ベイカー・インコーポレイテッド マイクロエレクトロニクス用のストリッピングおよび洗浄組成物
TWI417683B (zh) * 2006-02-15 2013-12-01 Avantor Performance Mat Inc 用於微電子基板之穩定化,非水性清潔組合物
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
JP5244916B2 (ja) * 2007-11-13 2013-07-24 サッチェム,インコーポレイテッド 損傷のない半導体の湿式洗浄のための高い負のゼータ電位の多面体シルセスキオキサン組成物および方法
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
US8765653B2 (en) * 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning

Also Published As

Publication number Publication date
JP4354964B2 (ja) 2009-10-28
KR20040030253A (ko) 2004-04-09
US20100304313A1 (en) 2010-12-02
US8227395B2 (en) 2012-07-24
US20040053800A1 (en) 2004-03-18
EP1389746A3 (en) 2007-05-30
EP1389746A2 (en) 2004-02-18
JP4272013B2 (ja) 2009-06-03
TW200408700A (en) 2004-06-01
US20070010412A1 (en) 2007-01-11
JP2006201809A (ja) 2006-08-03
JP2004078217A (ja) 2004-03-11
US7129199B2 (en) 2006-10-31
US7591270B2 (en) 2009-09-22
US20070010409A1 (en) 2007-01-11

Similar Documents

Publication Publication Date Title
TWI247799B (en) Process solutions containing surfactants
JP4842981B2 (ja) 現像パターンのつぶれ回避方法
KR101202860B1 (ko) 계면활성제를 함유하는 공정액
JP4041037B2 (ja) アセチレンジオール界面活性剤溶液及びその使用方法
WO2010047196A1 (ja) 表面処理液及び表面処理方法、並びに疎水化処理方法及び疎水化された基板
WO2005103832A1 (ja) レジストパターン形成方法及び複合リンス液
JP2004029088A (ja) 現像欠陥防止プロセスおよびそれに用いる組成物

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees