KR20010078247A - 금속질화물/금속 스택의 처리 방법 - Google Patents

금속질화물/금속 스택의 처리 방법 Download PDF

Info

Publication number
KR20010078247A
KR20010078247A KR1020010004834A KR20010004834A KR20010078247A KR 20010078247 A KR20010078247 A KR 20010078247A KR 1020010004834 A KR1020010004834 A KR 1020010004834A KR 20010004834 A KR20010004834 A KR 20010004834A KR 20010078247 A KR20010078247 A KR 20010078247A
Authority
KR
South Korea
Prior art keywords
nitride layer
layer
nitride
metal
interface
Prior art date
Application number
KR1020010004834A
Other languages
English (en)
Other versions
KR100748371B1 (ko
Inventor
지-팡 장
다비드엠. 퐁
니틴 커라나
홍메이 장
로더릭크래이그 모슬리
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010078247A publication Critical patent/KR20010078247A/ko
Application granted granted Critical
Publication of KR100748371B1 publication Critical patent/KR100748371B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation

Abstract

0.18㎛ 이하의 장치 제조에서 배리어/라이너로서 사용하기에 적합한 금속질화물/금속 스택의 형성을 포함하는 기판 처리 방법이 개시된다. 금속질화물층이 금속층 위에 증착된 후, 금속질화물층은 플라즈마와 같은 질소를 함유한 분위기에의 처리 단계에 노출된다. 플라즈마 처리는 금속질화물층의 전영역과 그 아래의 금속층의 상면부를 변화시킨다. 플라즈마가 금속층의 상면부에 질소를 가함으로써, 질화금속층이 형성된다. 질화물-금속 계면에 걸쳐서 미세 구조의 불일치를 감소시키는 것 이외에, 플라즈마 처리는 또한 증착된 질화물층의 밀도를 높이고, 이로부터 불순물을 감소시킨다. 이에 따른 질화물/금속 스택은 강화된 점착 및 배리어특징을 포함하는 향상된 막특성을 나타낸다. 더 얇은 질화물층들의 증착 및 처리 사이클을 반복함으로써 원하는 두께를 가지는 합성 질화물층이 또한 형성되어질 수 있다.

Description

금속질화물/금속 스택의 처리 방법{TREATMENT OF A METAL NITRIDE/METAL STACK}
본 발명은 반도체장치제조를 위한 기판처리방법에 관한 것으로, 더욱 상세하게는 금속질화물/금속 스택의 막특성을 개선하기 위한 방법에 관한 것이다.
VLSI(very large scale integration) 및 ULSI(ultra large scale integration) 집적회로의 제조에 있어, 다중 레벨 금속화 기술의 공정 능력 및 신뢰성에 대한 엄격한 요구들이 증가되고 있다. 콘택(contact) 및 비아(via)를 포함하는 여러 레벨에서의 금속화 기술에서 알루미늄(Al)의 대체물로서 텅스텐(W)이 대두되어 왔다.
집적 배리어(barrier)/라이너(liner)구조는 금속전도층(텅스텐 또는 알루미늄)과 그 아래의 재료층 사이의 높은 점착성을 제공하기 위해 전형적으로 이용될 뿐만 아니라, 그 하부층 내로의 바람직하지 못한 금속 확산을 방지하기 위해 이용된다. 이들 배리어/라이너 구조는 예를 들어, 티타늄 나이트라이드(titanium nitride, TiN)/티타늄(Ti) 등의 내화성 금속질화물/내화성 금속의 화합물로 보통 이루어진다.
예를 들어, 티타늄(Ti)은 실리콘(Si) 또는 실리콘 다이옥사이드(SiO2)와 알루미늄(Al) 또는 텅스텐(W)으로 이루어지는 금속층 사이의 점착층으로 사용되어 왔다. 예를 들어, 티타늄 나이트라이드(TiN)로 이루어지는 배리어층은 그 아래 기판내로의 금속 확산을 피하기 위해 금속 증착(metal deposition) 이전에 티타늄(Ti) 점착층위에 증착된다.
티타늄 나이트라이드는 PVD(physical vapor deposition)와 CVD(chemical vapor deposition)에 의해 증착될 수 있다.
그러나, CVD TiN은 예를 들어, 더 잘 정렬된 PVD Ti층 또는 PVD TiN층과 대비하여, 금속-유기 티타늄 전구체(precursor)로부터 증착될 때, 비결정질 구조를 가진다. 미세구조에서의 이러한 차이는 집적 CVD TiN/PVD Ti 스택이 PVD TiN/PVD Ti 스택보다 더 약한 계면 결합을 가지는 결과를 초래한다. 약한 층점착은 제외하더라도, TiN층과 Ti층사이의 구조상의 불연속성은 또한 높은 층간응력과 계면상의 결함을 초래한다. 이러한 배리어/라이너구조는 텅스텐(W) 증착, 화학적 세척 및 화학적 기계적 연마(CMP, chemical mechanical polishing)와 같은 연속적인 공정단계에서의 화학적 및/또는 기계적 작업에 자주 취약성을 나타낸다.
더욱이, 계속해서 증착되는 알루미늄은 TiN/Ti 스택의 격자 또는 미세구조내의 결함을 통해 확산되어 그 아래의 재료들과 반응할 수도 있다.
따라서, 다중 레벨 금속화 공정동안 금속간 확산을 방지하고, 층간 점착과 화학적 저항을 향상시킬 수 있도록 금속층과 금속질화물층사이에 개선된 계면상의 구조를 제공하는 공정의 필요성이 존재한다.
본 발명은 금속층위에 질화물층을 형성하고, 질화물층을 질소 함유 분위기에 노출시킴으로써 질화물층과 그 아래의 금속층의 적어도 일부를 변화시키거나 처리하는 방법에 관한 것이다.
본 발명의 실시예들에 따라 형성된 금속질화물/금속 스택은 강화된 점착성, 감소된 계면상의 응력 및 감소된 저항성과 같은 향상된 특성을 가진다. 이러한 구조는 0.18㎛ 이하의 각기 다른 금속화기술들에서 배리어/라이너 적용에 매우 적합하다.
질소 함유 분위기는 그 중에서도 특히, 질소(N2) 또는 암모니아(NH3)와 같은 가스들로 이루어진다. 또한, 질소 함유 분위기는 수소로 이루어질 수 있다. 금속질화물/금속층들의 변화는 플라즈마 또는 열 어닐링(thermal annealing)을 이용하여 수행될 수 있다. 본 발명의 일실시예에서, 질소 함유 플라즈마는 N2와 수소(H2)의 혼합물, 또는 NH3로 이루어지는 가스로부터 생성된다.
금속층은 티타늄(Ti), 탄탈(Ta), 텅스텐(W) 또는 이들의 화합물과 같은 내화성 금속으로 이루어질 수 있고, PVD(physical vapor deposition) 또는 CVD(chemical vapor deposition)에 의해 증착될 수 있다. 금속질화물층은 바람직하게는 그 아래의 내화성 금속과 동일한 금속으로 이루어진다.
본 발명의 일실시예에서, 티타늄 나이트라이드(TiN)는 금속-유기 전구체로부터 증착된다. TiN 증착후에, TiN층과 그 아래의 Ti층은 층 스택을 질소 함유 분위기에 노출시킴으로써 변화되는데, 이 때 활성종(active species)이 TiN층을 관통하여 그 아래의 Ti층에 도달할 수 있을 정도의 충분히 긴 시간동안 노출된다. 증착된 TiN층이 질소와 수소로 이루어지는 분위기내에서 처리될 때, 이에 따른 TiN층은 불순물의 감소와 시트저항(sheet resistance)의 저하를 나타낸다. 본 발명에 따르면, 얇은 질화Ti층이 처리된 TiN층과 Ti층사이에 또한 형성된다. 이러한 질화Ti층은 Ti층의 미처리된 부분과 처리된 TiN층사이에서 더 나은 격자매칭을 제공하고, 집적 TiN/Ti구조가 향상된 배리어 특성과 감소된 층간응력을 가지도록 한다.
다른 실시예에 있어서, 상대적으로 얇은 금속질화물층들을 원하는 질화물 두께가 얻어질 때까지 추가의 사이클동안 반복적으로 증착하고 처리함으로써, 합성 금속질화물층이 형성된다. 각 플라즈마 처리단계동안, 최상단 질화물층의 전영역과 그 아래의 재료층의 상면부가 변화되고, 이에 의해 화학적 조성 및/또는 격자구조가 변화된다. 그 결과, 층 계면에 걸쳐서 더 나은 격자 매칭이 얻어짐으로써, 점착성이 강화되고 계면상의 응력이 감소된다.
선택적으로, 본 발명의 실시예들은 금속질화물층의 증착 이전에 증착된 금속층을 제 1 질소함유 분위기내에서 처리하는 단계를 더 포함할 수 있다. 이러한 처리는, 예를 들어, 얇은 질화금속층을 형성시키고, 이는 금속층의 미처리된 부분과 계속해서 증착되는 금속질화물층 사이에서 더 나은 격자 매칭을 제공한다. 이에 따른 금속질화물/금속 스택은 강화된 점착성과 감소된 응력을 가진다. 증착된 금속질화물층은 선택적으로 수소를 포함할 수도 있는 제 2 질소 함유 분위기내에서 계속해서 처리된다. 이 처리는 바람직하게는 플라즈마내에서 수행되며, 금속질화물층과 그 아래의 질화금속층의 적어도 일부분을 변화시킴으로써 막특성과 계면상의 특성을 향상시킨다.
도 1은 본 발명의 공정들을 수행하기에 적합한 다중 챔버 장치의 개략적인 평면도이다.
도 2는 본 발명에 따른 공정들을 수행하기에 적합한 CVD 챔버의 개략적인 단면도이다.
도 3은 본 발명에 따른 공정들을 수행하기 위한 정화 능력을 구비한 CVD 챔버의 개략적인 단면도이다.
도 4는 도 3의 챔버 내부에서 받침대 주위를 전개한 부분단면도이다.
도 5a 내지 5e는 본 발명의 일실시예에 따른 공정의 각기 다른 단계에서의 기판 구조를 개략적으로 보인 부분단면도이다.
도 6은 다른 재료층들에 대한 시트저항 대 플라즈마 처리 시간의 플롯을 보인 것이다.
도 7a 내지 7d는 본 발명의 다른 실시예에 따른 합성 금속질화물/금속 스택의 형성을 보인 기판의 개략적인 부분단면도이다.
도 8a 내지 8f는 본 발명의 다른 실시예를 예시한 기판 구조의 개략적인 부분단면도이다.
도 9는 본 발명의 공정들을 수행하기 위해 사용되는 컴퓨터 프로그램의 제어계통 구조를 간단하게 보인 블록도이다.
*도면의 주요부분에 대한 부호의 설명*
100: 다챔버 공정 장치 200: TxZ챔버
300: HP TxZ챔버 400: 모서리 링 조립체
500: 기판구조 502: 기판
503: 절연층 505: 비아
506: 금속층 507: 질화금속층
508: 금속질화물층 509: 배리어층
515: 계면 530: 배리어/라이너 스택
550: 플라즈마
본 발명은 금속층위에 질화물층을 형성하고, 이후 질소 함유 분위기내에서 질화물층과 금속층의 일부를 처리함으로써 질화물/금속 스택을 형성하는 방법이다. 바람직하게, 이 방법은 질화물/금속 스택의 계면상의 특성을 향상시킨다. 이 방법은 예를 들어, 금속화 계획에서 배리어/라이너 구조로서 금속질화물/금속 스택을 형성하기 위해 사용될 수 있다.
본 발명의 일실시예에서, 내화성 금속층이 PVD에 의해 기판 구조 위에 형성되고, 이후 열화(thermal) CVD에 의해 금속질화물층이 증착된다. 금속질화물층은질소 함유 분위기에 노출되고, 그동안 금속질화물층의 전체 두께가 처리되어 물리적/화학적 특성이 향상된다. 처리 공정은 플라즈마 또는 열 어닐링(thermal annealing) 중 하나를 사용하여 수행될 수 있는데, 플라즈마 어닐링이 일반적으로 선호된다. 본 발명의 처리단계는 그 아래의 내화성 금속층을 또한 부분적으로 처리하기에 충분한 시간동안 적용됨으로써, 그 아래의 금속층의 적어도 상면부내로 질소(N)가 혼입되게 된다. 금속층과 금속질화물층 사이의 구조상의 불연속성은 감소되고, 이 두 층들간의 계면이 개선되는 결과를 가져온다.
다른 실시예에서, 금속질화물 증착과 플라즈마 어닐링 단계들은 원하는 두께를 가지는 합성 금속질화물층을 형성하기 위해 추가의 사이클동안 반복된다. 각 플라즈마 처리단계는 최상단의 금속질화물층은 물론 그 하부층의 상면부를 변화시키기 때문에, 각 구성 재료층들 사이에서 개선된 계면구조가 얻어진다.
대신, PVD 금속층의 플라즈마 처리는 금속질화물층의 증착 이전에 행해짐으로써 PVD 금속층 위에 얇은 질화금속층이 형성된다. 계속해서, 금속질화물층은 질화금속층 위에 증착되고, 본 발명에 따른 플라즈마처리를 받게 된다. 따라서, 금속질화물층과 그 아래의 질화금속층의 상면부는 변화되고, 연속적인 공정단계에서 개선된 배리어 특성과 화학적 저항을 나타낸다.
장치
본 발명의 공정들은 PVD 및 CVD 챔버(chamber)들을 모두 가지는 다챔버 공정장치(예를 들어, 클러스터 툴(cluster tool)) 혹은 개별적인 단일챔버 시스템내에서 행해질 수 있다. 공정 단계와 단계 사이에서의 오염을 방지하기 위해 기판을 진공 분위기내에 둘 수 있기 때문에 다챔버 장치의 사용이 선호된다. 클러스터 툴의 예들로서, 벡트라(Vectra) IMP, 간섭 및 표준 PVD챔버, TxZ 또는 HP TxZ CVD 챔버와 같은 공정 챔버들과 함께 사용되는 P5000, 엔듀라(Endura) 및 센튜라(Centura) 플랫폼(platform)들이 포함된다. 이들 클러스터 툴은 캘리포니아 산타클라라 어플라이드 머티어리얼스사(Applied Materials, Inc., Santa Clara, California)로부터 상업상 얻을 수 있다.
도 1은 본 발명의 CVD 및 PVD 공정들을 수행하기 위해 적합한 엔듀라시스템(Endura system)과 같은 다챔버 공정 장치(100)를 개략적으로 도시한 것이다. 유사한 다단 진공 웨이퍼 공정 시스템은 발명의 명칭이 "Staged-Vacuum Wafer Processing System and Method"이고, 텝맨 등(Tepman et al.)이 출원하여 1993년 2월 16일에 등록된 미국 특허 번호 5,186,718에 개시되어 있고, 이는 참조로 포함된다. 여기에 개시된 장치(100)의 특정 실시예는 반도체 기판과 같은 평면기판처리에 적합하고, 본 발명을 예증하기 위해 제공되나, 본 발명의 범위를 한정하기 위해 사용되는 것은 아니다. 장치(100)는 CVD 챔버(102)와 PVD 챔버(104)와 같은 상호 연결된 공정챔버들의 클러스터를 전형적으로 포함한다.
컴퓨터 시스템
본 발명의 공정들은 종래의 컴퓨터 시스템상에서 실행되는 컴퓨터 프로그램제품 또는 마이크로프로세서 컨트롤러를 사용하여 이행될 수 있다. 도 1에 도시한바와 같이, 제어장치(110)는 중앙처리장치(112, CPU), 지지 회로(114), 그리고 관련 제어 소프트웨어(118)를 포함하는 메모리(116)로 이루어져 있다. 제어 장치(112)는 웨이퍼 운송, 가스 유동 제어, 온도 제어 및 챔버 배출 등과 같은 웨이퍼 공정에 필요한 수많은 단계의 자동 제어를 위해 사용된다. 제어 장치(112)와 장치(100)의 여러 구성요소들 간의 양방향성 연결은 도 1에서 몇개 정도 도시된 신호버스(120)로 총괄되어 언급되는 다수의 신호 케이블을 통해 처리된다.
공정들을 수행하기 위한 컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 또는 파스칼과 같은 어떠한 종래의 컴퓨터 판독가능한 프로그래밍 언어로도 기입될 수 있다. 이후 프로그램 코드는 컴퓨터 이용가능 매체에 저장되거나 구체화된다.
도 9는 제어 장치(110)의 제어 계통 구조의 블록 다이어그램이다. 사용자가 공정 세트와 공정 챔버의 숫자를 공정 선택기 서브루틴(942, subroutine)에 입력한다. 공정 세트들은 특정의 공정 챔버내에서 정해진 공정들을 수행하기 위해 필요한 소정의 공정 파라미터 또는 방법들(예를 들어, 가스유동율, 온도, 압력, ..., 등등)이다.
공정 시퀀서(sequencer) 서브루틴(943)은 공정 선택기 서브루틴(942)으로부터 확인된 공정 챔버와 공정 파라미터 세트를 받아들이고, 여러 공정 챔버들의 작동을 제어하기 위한 프로그램코드를 구성한다. 바람직하게는, 시퀀서 서브루틴(943)은 (ⅰ) 챔버들이 사용되고 있는지 결정하기 위해 공정 챔버들의 작동을 모니터링하는 단계, (ⅱ) 사용되고 있는 챔버들내에서 어떤 공정들이 수행되는지를 결정하는 단계, 그리고 (ⅲ) 공정 챔버와 수행되는 공정 타입의 효용성에 근거한 소정의 공정을 실행하는 단계를 수행하는 프로그램 코드를 포함한다.
시퀀서 서브루틴(943)이 어떤 공정 챔버와 공정 세트의 조합이 다음에 수행될 것인지를 일단 결정하면, 다른 공정 챔버들내에서 다중 공정작업을 제어하는 챔버 관리기 서브루틴(944a 내지 944c)으로 특정의 공정 세트 파라미터들이 전달된다. 챔버 관리기 서브루틴(944)은 선택된 공정 세트를 달성하기 위해 필요한 챔버요소들의 작동을 제어하는 여러 챔버 요소 서브루틴들 또는 프로그램 코드 모듈들의 실행을 또한 제어한다. 챔버 요소 서브루틴의 예로는, 기판 위치 결정 서브루틴(945), 공정 가스 제어 서브루틴(946), 압력 제어 서브루틴(947), 히터 제어 서브루틴(948) 및 플라즈마 제어 서브루틴(949)이 있다. 당해기술의 당업자라면 어떤 공정들이 공정 챔버(102)에서 수행되도록 요구되는가에 따라 다른 챔버 제어 서브루틴들이 포함될 수 있음을 충분히 인식할 것이다.
PVD챔버
PVD 증착 챔버(104)는 챔버(104) 내부의 금속 타켓을 스퍼터링(sputtering)함으로써 금속층, 예컨대 티타늄(Ti)을 증착한다. PVD챔버, 예컨대 모델 벡트라 아이엠피(Model Vectra IMP)에 대한 상세한 설명은 1999년 11월 1일에 출원되고, 발명의 명칭이 "IMP Technology with Heavy Gas Sputtering"인 포괄양도된 미국특허출원(Docket No. 3495)에 개시되었고, 이는 참조로 포함한다. 스퍼터링 공정 동안 아르곤(Ar) 또는 크세논(Xe)과 같은 불활성 가스는 챔버(104)내로 유입된다.DC 바이어스 전류는 스퍼터링 타겟에 인가되고, 챔버 실드(shield)는 전기적으로 접지된다. RF 바이어스 전압은 기판 지지체에 인가된다. 대략 100-20,000W, 더욱 전형적으로는 대략 100-10,000W의 DC 전압을 스퍼터링 타겟에 인가함으로써 플라즈마가 불활성 가스로부터 생성된다. 타겟 물질들은 플라즈마에 의해 타겟으로부터 스퍼터링되고, 기판 표면 위에 증착된다. 챔버(104)는 증착된 금속막을 플라즈마 처리하는데 또한 사용될 수 있다. 예를 들어, 금속층을 증착 후, 질소(N2) 또는 다른 질소 함유 가스를 챔버 내부로 유입시키고, 대략 10-10000W, 더욱 전형적으로는 대략 600-2000W의 RF 전력을 인가함으로써 질소 함유 플라즈마가 생성될 수 있다.
CVD챔버
a. TxZ챔버
도 2는 본 발명의 공정을 수행하기에 적절한 CVD 플라즈마 리액터(102, reactor)의 일례를 개략적으로 보인 단면도이다. 이 구체적인 리액터, 즉 TxZ 챔버(200)는 캘리포니아 산타클라라의 어플라이드 머티어리얼스사로부터 상업상 얻을 수 있다. 이러한 챔버의 세부사항은, 예를 들어, 1998년 12월 8일에 등록되고, 발명의 명칭이 "Thermally Floating Pedestal Collar in a Chemical Vapor Deposition Chamber"인 포괄양도된 미국특허 5,846,332와, 1999년 11월 30일에 등록되고, 발명의 명칭이 "Method for Substrate Processing with ImprovedThroughput and Yield"인 포괄양도된 미국특허 5,993,916에 개시되어 있고, 이들은 여기에 참조로 포함된다. TxZ 챔버(200)는 펌핑 채널(208)을 통한 진공 펌프(280)로의 연결에 의해 감압된 환경에서의 작동에 맞춰져 있다. 챔버(200)는 챔버 본체(202)와, 기판(290)이 가공되도록 지지하는 받침대(204)로 이루어져 있다. 기판(290)은 슬릿 밸브(206, slit valve)를 통해 챔버(200)의 내외부로 이송되고, 센터링링(212, centering ring)에 의해 받침대(204)위에 중심이 맞춰진다. 적절한 로봇 공학의 이송 장치는 1990년 8월 28일에 등록되고, 발명의 명칭이 "Multi-chamber Integrated Process System"인 포괄양도된 미국특허 4,951,601에 기재되어 있고, 이는 여기서 참조로 포함된다.
공정 동안, 기판(290)은 가스 배분 면판, 즉 샤워헤드(240, showerhead)에 아주 근접하여 위치하고, 이 샤워헤드는 공정가스가 가스 유입구(244)로부터 챔버(200)내부의 공정 영역(250)내로 유동할 수 있게 하도록 다수의 유로(242)를 포함한다. 막 증착은 공정 가스가 가열된 기판(290)에 반응할 때 기판(290)의 표면상에 발생된다. 모든 여분의 공정 가스와 부산물들은 펌핑 플레넘(270, pumping plenum)과 연결된 환형상의 펌핑 채널(208)을 통해 챔버(200)의 외부로 계속 펌핑된다.
도 2의 CVD 챔버(200)는 열화(thermal) 및 플라즈마 강화의 두 가지 모드로 작동될 수 있다. 열화모드에서, 전원(214)은 받침대(204)의 저항성 히터(205)에 전력을 공급한다. 받침대(202)와 기판(290)은 CVD 반응을 열적으로 활성화하기에 충분한 상승된 온도로 유지된다. 플라즈마 강화 모드에서는 RF 소오스(216)로부터의 RF 전력이 샤워헤드(240)에 인가되어, 상부 전극으로 작용한다. 샤워헤드(240)는 보통 비전도성 세라믹으로 만들어지는 환형상의 절연링(264)에 의해 챔버(200)의 나머지 부분으로부터 전기적으로 절연된다. 충분한 전압과 전력이 RF 소오스(216)에 의해 인가되어 공정 영역(250) 내부에서 공정 가스들로부터 플라즈마를 생성시킨다. 챔버(200)는 여러 챔버 요소들위에 바람직하지 못한 증착을 최소화하도록 설계되는데, 예를 들어 센터링 링(212)은 받침대(204)보다 낮은 온도로 유지됨으로써, 센터링 링 상의 막 증착이 최소화될 수 있다.
CVD TxZ 챔버(200)는 금속-유기 전구체(precursor)들(예컨대, 테트라키스-(다이알킬아민) 티타늄(tetrakis-(dialkylamino) titanium) 화합물) 또는 티타늄 테트라핼라이드(titanium tetrahalides)를 포함하는 각기 다른 전구체 가스들을 가지고 열화 또는 플라즈마 강화 CVD공정에 사용될 수 있다.
예컨대, 테트라키스-(다이메틸아민) 티타늄(tetrakis-(dimethylamino) titanium), Ti(N(CH3)2)4, 또는 TDMAT와 같은 금속-유기 전구체는 샤워헤드(240)를 통해 챔버(200)내로 주입된다. 챔버 압력은 대략 0.01 Torr 내지 대략 50 Torr의 범위내에서 유지되고, 받침대(204)는 기판(290)을 적어도 대략 100℃, 바람직하게는 대략 300℃-500℃의 온도로 유지시킨다. TDMAT의 열분해에 의해, 전도성 및 등각의 TiN층이 기판(290)위에 증착된다.
b. HP TxZ챔버
본 발명의 CVD 공정은 HP TxZ챔버(300)내에서도 수행될 수 있으며, 도 3에 그 단면도가 도시되어 있다. 표준 TxZ챔버와는 달리, HP TxZ챔버(300)의 웨이퍼 히터 받침대(304)는 정화 링/모서리 링 조립체(380)가 구비되어 정화 가스가 웨이퍼 받침대(304)의 저면과 모서리주위로 유동하도록 하고, 바람직하지 못한 침적물들이 이들 영역내에 쌓이는 것을 방지한다. 정화 링 조립체의 세부사항은 1999년 2월 9일에 출원되고, 발명의 명칭이 "Wafer Pedestal with a Purge Ring"인 포괄양도된 미국출원번호 09/247,673에 개시되어 있고, 이는 참조로 포함된다. 본 발명의 공정에 대한 특별한 이득의 몇몇 특징들은 이하에서 간략하게 기재된다.
TxZ 챔버와 유사하게, 웨이퍼(390)와 같은 기판은 진공 척 킹(vacuum chucking)에 의해 받침대(304)위에 지지된다. 그러나, TxZ 챔버와 달리, 후방 가스압력은 웨이퍼(390)의 후방, 즉 후면(392)에 임의대로 설정될 수 있다. 이는 3-웨이(3-way) 밸브(364)를 통해 진공 펌프(362)와 가스 서플라이(366)에 연결되는 진공 라인(360)에 의해 성취된다. 제어 장치(320)는 밸브(364), 진공 펌프(362) 그리고 후방 가스 서플라이(366)를 제어함으로써 후방 가스의 유동과 압력을 적절히 유지시킨다. 이 실시예에 있어서, 가열된 받침대(304)와 웨이퍼(390)사이의 열전도는 후방가스를 이용함으로써 향상된다. 받침대의 온도제어는 피드백 제어루프(feedback control loop)에 의해 달성되는데, 받침대(304)의 온도는 받침대(304)내부의 써모커플(372, thermocouple)에 의해 계속 모니터링되고, 히터전원(372)의 전류 출력은 제어장치(320)에 의해 조절된다. 향상된 웨이퍼 온도조절에 의해 증착된 막내에서 균일성이 더 높아지게 된다.
세척 공정과 증착후 어닐링 공정 동안, 샤워헤드(340)는 접지된 챔버 본체(310)에 대해 RF 바이어스되어, 플라즈마가 챔버 세척 또는 기판 처리의 목적으로 적절한 공정 가스들로부터 생성될 수 있게 된다.
도 4는 받침대(304) 주위를 전개한 부분단면도이다. 정화 링/모서리 링 조립체(380)는 받침대(304)주위에 원주방향으로 배치된 정화 링(480)과, 정화 링(480)위에 위치하는 제거가능한 모서리 링 조립체(400)로 이루어진다.
받침대(304) 내부에는 정화 가스의 유입을 위한 여러 개의 수평 채널들(456)이 있다. 진공 라인(360)에 연결되어 있는 다른 채널들(459)은 진공 척 킹과 웨이퍼(390)에 후방 가스를 공급하기 위해 받침대(304)의 웨이퍼 지지면(451)상에 구비된다. 받침대(304)와 함께 정화 링(480)은 정화 가스를 받침대(304)의 상단부에 위치한 수직 모서리부(481) 주위로 유동하도록 한다.
모서리 링 조립체(400)는 상측 링(440), 중간 링(430) 및 하측 링(420)으로 이루어지며, 세척과 관리가 용이하도록 쉽게 제거가능하다. 상측 링(440)은 정화 링(480)의 온도보다 낮은 온도로 유지되고, 모서리 링 요소들위의 바람직하지 못한 침적물들은 최소화될 수 있도록 설계된다.
웨이퍼 공정 동안, 채널(456)로부터의 제 1 정화 가스는 채널(486)을 통해 공간(484)으로 유입된다. 이후, 가스는 정화 링(480)내의 수많은 소형 홀(485)을 통해 받침대(304)의 수직 모서리부(481)에 인접한 또 다른 공간(482)내부로 흐른다. 화살표(491)로 도시된 이러한 모서리 정화 가스의 유동 패턴은 받침대(304)의 수직모서리부(481), 웨이퍼(390)의 후면(392) 및 상측 링(440)의 내부(443)에 바람직하지 못한 막이 증착되는 것을 방지하도록 돕는다.
화살표(492)에 의해 도시된 바닥 정화 가스의 유동은 모서리링 조립체(400)의 상측 링(440)의 연장된 수직부(460) 주위를 흐르는 제 2 정화 가스에 의해 이루어진다. 이러한 바닥 정화 가스 유동은 챔버(300)의 바닥을 통해 도 3에 도시된 가스 라인(306)에 의해 안내되고, 모서리 링 조립체(400)상의 바람직하지 못한 증착을 최소화하도록 돕는다.
이중의 정화 능력은 세척 주기를 연장시킬 뿐만 아니라, 마이크로아킹(micro-arcing)과 미립자 오염을 방지함으로써 챔버 성능에서 극적인 개선을 가져온다.
Ti/TiN 공정
도 5a 내지 5e는 본 발명의 제 1실시예에 따른 집적회로 장치 제조의 다른 단계동안 기판(502)의 단면도를 보인 것이다. 일반적으로, 기판(502)은 그 위에 막이 처리 공정이 수행되는 모든 제품을 말하며, 기판구조(500)는 기판(502)위에 형성된 다른 재료층들과 함께 기판(502)을 일반적으로 나타내는데 사용된다. 특히, 도 5a 내지 5e는 콘택홀, 트렌치 또는 비아 구조 내부의 라이너/배리어 스택의 형성을 도시한다. 도 5a는 그 아래의 기판(502)위에 형성된 절연층(503)을 도시하는데, 이 절연층은 알루미늄, 실리콘, 텅스텐 등으로 이루어질 수 있다. 절연층(503)은, 예를 들어 산화물층과 같은 유전체이다. 종래의 석판 및 에칭기술들을 이용하여 콘택홀, 트렌치 또는 비아에 해당될 수 있는 개구부(505)가절연층(503)에 형성되고, 그 아래의 기판(502)의 일부분(504)을 노출시킨다. 이후, 도 5b에 도시된 바와 같이, 점착 또는 라이너층(506)이 절연층(503) 및 기판(502)의 노출부(504)위에 형성된다. 일반적으로, 라이너층(506)은 PVD 또는 CVD와 같은 종래의 증착 방법들을 이용하여 형성될 수 있는 Ti, Ta 그리고 W과 같은 내화성 금속으로 이루어진다. 예를 들어, Ti층(506)은 IMP PVD, 콜리메이티드(collimated) 스퍼터링 또는 롱스로우(long throw) 스퍼터링과 같은 PVD 기술들을 이용하여 도 1의 PVD 챔버(104)에 증착될 수 있다. 만일 탄탈(Ta)이 라이너층으로서 증착되면, IMP PVD 공정이 특히 종횡비가 큰 특징때문에 바람직하다. PVD 증착된 막들의 비등각성으로 인해 비아(505)의 측벽(505S)에는 대개 상대적으로 금속이 거의 없게 된다. 일반적으로, 금속라이너층(506)의 두께는 대략 5Å에서 1000Å의 범위내에 있고, 바람직하게는 대략 100Å이다.
도 5c는 예를 들어 CVD기술을 이용하여 금속층(506)위에 배리어층(508)을 연속해서 형성하는 것을 도시한다. 배리어층(508)은 적절한 전구체들을 이용하여 증착된 TiN, 탄탈 나이트라이드 또는 텅스텐 나이트라이드와 같은 금속질화물로 이루어진다. 예를 들어, TiN으로 이루어진 금속질화물층(508)은 TDMAT 전구체로부터 TxZ 또는 HP TxZ CVD챔버중 어느 하나에 증착될 수 있다. 또한, TiN은 TiCl4와 NH3사이에서와 같이 TiCl4기제의 반응으로부터도 증착될 수 있다.
일실시예에서, 금속질화물층(508)은 대략 5Å에서 1000Å의 범위에서 바람직하게는 대략 60Å의 두께(d1)로 증착된다. 이와 같이 증착된 금속질화물층(508)은도 5d에 도시한 대로 질소 함유 플라즈마(550)에 노출된다. 플라즈마(550)는 예를 들어 N2또는 NH3등의 질소함유 가스들로부터 생성될 수 있다. 전형적인 공정 조건들로, 대략 100-3000 sccm의 범위내에서 바람직하게는 대략 100-500 sccm, 그리고 가장 바람직하게는 대략 200-300 sccm의 N2유량과; 대략 1 mtorr-25 torr의 범위내에서 바람직하게는 대략 1-10 torr, 그리고 가장 바람직하게는 대략 1-6 torr의 압력과; 그리고 실온과 대략 1000℃사이의 범위내에서 바람직하게는 대략 300-500℃의 온도가 포함된다. 플라즈마 전력은 대략 10W에서 10000W의 범위내에서 사용되는데, 바람직하게는 대략 600-2000W의 범위이고, 가장 바람직하게는 대략 750W이다. 그러나, 공정 조건들은 사용되는 공정 챔버들의 각기 다른 모델로 인해 변화된다.
이와 같이 증착된 배리어층(508)은 플라즈마(550)내의 상호 다른 종(species)에 의해 변화되는데, 이는 중성 또는 이온의, 원자 또는 분자체들로 이루어진다. 특정의 조건들에 따라, 플라즈마 처리는 막 밀도, 격자 구조 또는 막 조성에서의 변화를 가져온다. 그리하여, 처리된 층(509)(도 5e참조)의 두께(d1t)는 대개 증착된 층(508)의 두께(d1)보다 작다. 예를 들면, 60Å두께로 증착된 TiN층은 플라즈마 처리후에 20Å두께의 층으로 밀도가 높아지게 된다.
다시 도 5d를 참조하면, 본 발명은 배리어층(508)의 전체 두께(d1)뿐만 아니라, 그 아래층(506)의 상면부(506T)도 처리한다. 이는, 예를 들어 연장된 플라즈마 처리 시간, 증가된 RF 전력 등 공정 파라미터들의 각기 다른 조합을 이용함으로써 달성될 수 있다. 이러한 "전영역처리" 후 (그 결과는 도 5e에 도시됨), 처리된 배리어층(509)은 부분처리된 층에 비해 밀도, 화학적 조성, 격자 구조, 시트 저항(sheet resistance) 등의 막특성에 있어서 더욱 균일해지게 된다.
더욱이, 금속질화물층(508)이 충분히 얇거나, 또는 플라즈마 처리 시간이 충분히 길 때, (증착된 라이너층(506)과 배리어층(508)사이에 형성된 - 도 5d참조) 계면(515)에 인접한 그 아래의 금속층(506)의 상면부 또는 외측부(506T)는 플라즈마에 의해 또한 변화된다. 이는 플라즈마(550)로부터의 몇몇 활성종(active species)이 배리어층(508)을 관통하여 그 아래의 금속층(506)과 만날 때 발생한다. 플라즈마의 전력 및 압력 조건들을 변화시킴으로써, 금속층(506)의 측벽 부분은 또한 처리되거나 변화될 수 있다. 이러한 변화는 막조성 또는 격자 구조에서의 변화들을 포함하며, 이는 막응력, 저항력 및 밀도에 차례로 영향을 줄 수 있다.
예를 들면, 도 5e는 질소 함유 플라즈마에 의한 전영역처리에 의해 나머지 미처리된 금속층(506)위에 얇은 "질화금속"층(507)이 형성되는 것을 도시한다. 이것은 또한 질화 또는 질소-스터핑(stuffing)이라 하는데, 예를 들어 질소가 Ti와 결합하거나, 질소원자들이 Ti 결정립계 사이에 채워진다(stuffed). 플라즈마 전영역 처리후에, 계면(515)에 걸친 조성상의 및/또는 구조상의 불연속성들이 감소되고, 배리어/라이너 스택(530)은 더 낮은 층간 응력을 나타낸다.
일반적으로, 인접하는 층들(506)(508)사이에서 매칭되는 더 나은 원자 격자 구조는 층간 응력을 감소시키고, 레이어링(layering) 결함 또는 점착 문제들을 줄인다. 예를 들어, PVD 증착된 막들(예를 들어, Ti층(506))은 더욱 규칙적이 되고인장응력을 가지는 경향이 있는 반면, 몇몇 CVD 증착된 층들(예를 들어, TDMAT 전구체로부터의 TiN층(508))은 더욱 비결정질화되고 압축 응력을 가지는 경향이 있다.
계속되는 공정 동안, 이러한 층간 응력은 상이한 재료층들의 각기 다른 열팽창으로 인해 더욱 증가된다. 인접하는 재료층들의 화학적 조성 및/또는 미세구조를 변화시킴으로써, 플라즈마 전영역 처리에 의해 배리어/라이너 스택이 강화된 점착, 계속되는 공정 동안 층간 확산에 대해 더욱 강해진 장벽 및 화학적 처리에 대해 더욱 강해진 저항과 같이 향상된 특성을 가지게 된다. 특히, 비아(505)(도 5e참조)의 상단 모서리부들(505C)에서의 막점착이 향상되고, 이에 따른 스택(530)이 계속되는 금속 증착 및 화학적 기계적 연마(CMP)와 화학적 세척과 같은 증착 후의 처리 공정 동안 화학적 처리에 대해 영향을 덜 받게 되며, 막이 벗겨지는 것과 같은 레이어링 결함이 최소화될 수 있다.
만일 TiCl4/NH3열반응이 금속질화물층(508)의 증착에 사용되면, 증착된 TiN층은 100% 비결정질 대신 미세 결정질구조를 가지게 된다. 그러한 경우에 있어서, 플라즈마 처리에 의해 입자성장이 증대되고, 결정 방위에서의 변화가 가능해진다. 더욱이, 처리된 질화물층은 감소된 시트 저항과 증가된 막 밀도로 인해 더 나은 장벽특성을 또한 나타낸다.
다른 실시예에 있어서, 질소 함유 플라즈마(550)는 N2와 H2의 혼합물 또는 NH3로부터 생성된 것과 같은 수소로 이루어진다. 이러한 플라즈마는 몇몇 불순물을가지는 금속질화물층(508)을 처리하는데 이용가능하다. TDMAT가 TiN 증착을 위한 전구체로서 사용될 때, 증착된 질화물층(508)은 소정량의 탄소와 수소 불순물들을 포함하고, 때때로 티타늄 카보-나이트라이드(TiCN)층으로 불려진다. 증착된 TiCN층(508)이 질소와 수소를 함유하는 플라즈마에 노출될 때, 도 5d에 도시된 바와 같이, 수소와 탄소 불순물들은 질소로 대체되고, 이는 TiCN층(508)내로 포함된다. 플라즈마로부터의 수소는 탄소 불순물들과 반응하여 TiCN층(508)으로부터 제거하는 휘발성 탄화수소를 형성한다. 이러한 플라즈마 처리에 의해, TiN층(509)은 정화되어 탄소량이 줄어들고, 변화된 미세구조로 인해 밀도가 증가된다. 플라즈마내의 질소의 존재여부에 대부분 좌우되는 TiN층(509)의 고밀도화는 더욱더 화학량론적인 TiN층에서 더욱 쉽게 발생된다. TiCl4/NH3반응으로부터 증착된 TiN층(508)은 플라즈마내의 수소의 존재로 또한 이득을 얻을 것임을 주목해야 한다. 그러한 경우에 있어서, 증착된 TiN층(508)내의 염소량은 수소와의 반응에 의해 감소될 수 있고, 시트 저항 또한 감소하게 된다.
N2/H2플라즈마가 사용될 때, N2는 대략 100-3000 sccm의 범위내에서 바람직하게는 대략 100-500 sccm, 가장 바람직하게는 대략 200-300 sccm의 유량으로 챔버내에 유입되고; 반면, H2는 대략 150-4500 sccm의 범위내에서 바람직하게는 대략 150-750 sccm, 가장 바람직하게는 300-450 sccm의 유량으로 유입된다. 챔버 압력은 대략 1 mtorr-25 torr의 범위내에서 바람직하게는 대략 1-10 torr, 그리고 가장 바람직하게는 대략 1-6 torr로 유지된다. 기판 온도는 실온에서 대략 1000℃까지변화될 수 있으나, 바람직하게는 대략 300℃-500℃이다. 그러나, 특정의 유량과 압력조건들은 사용되는 각기 다른 공정챔버들로 인해 바뀔 수 있다.
또한, Ti/TiN 스택의 처리는 대략 0.1분에서 1500분 사이의 시간동안 대략 350-1100℃의 온도 범위에서 열 어닐링에 의해 달성된다.
일반적으로, 플라즈마 처리의 유효성은 미처리층의 두께, 처리 시간 그리고 플라즈마 조건들에 의해 좌우된다. 고정된 플라즈마 전력과 처리 시간에서는, 증착된 막이 얇을수록 처리는 더욱 효과적이 된다. 그러나, 주어진 막두께에 대해 처리 시간을 단순히 증가시키는 것이 반드시 가장 효과적인 공정 선택이 될 수는 없다. 이는 도 6을 참조하면 더 잘 이해될 수 있는데, 도 6은 두 개의 다른 막들(601)(603)에 있어서 시트 저항(Rs) 대 플라즈마 처리 시간의 플롯을 보인 것이다. 일반적으로, 막의 시트 저항은 막의 두께와 미세구조에 의해 좌우된다. 동일한 두께를 가지는 두 개의 막에 있어서, 더 잘 정렬된 미세구조가 더 낮은 시트저항을 가지는 경향이 있는 반면; 더 얇은 막과 더 두꺼운 막이 유사한 미세구조를 가진다면 더 얇은 막이 더 두꺼운 막보다 더 높은 시트 저항을 가진다. 도 6에 도시된 바와 같이, Rs는 미세 구조가 유사하지만 서로 다른 두께(x)(y)(단, x가 y보다 작음)를 가지는 두 개의 막(601)(603)에서 처리 시간이 증가함에 따라 감소한다. 더 두꺼운 막(603)에서, 막이 포화에 도달하기 위한 처리 시간(ty)이 요구되는데, 즉 시트저항이 제한값(Rsy)에 접근하고, 처리 시간이 ty를 초과하더라도, 시트 저항은 더 감소하지 않게 된다. 한편, 더 얇은 막(601)(두께 x)을 완전히 처리하기 위해, 즉 시트 저항의 제한값(Rsx)에 도달하기 위해 요구되는 처리 시간(tx)은 ty보다 짧다. 막포화를 위한 처리 시간이 막두께와 꼭 일직선으로 비례하는 것은 아니기 때문에, 본 발명은 추가적인 사이클동안 증착과 처리 단계들을 반복함으로써 더 두꺼운 막(603)이 더욱 효과적으로 형성될 수 있도록 하는 방법을 제공한다. 예를 들면, 더 얇은 막(601)이 우선 증착되고, 본 발명에 따른 플라즈마 처리를 한다. 그리고 나서, 제 2의 상대적으로 얇은 TiN막이 처리된 막위에 증착되어 합성막을 형성한다. 제 2의 막의 완전한 플라즈마 처리는 상대적으로 짧은 시간안에 이루어질 수 있다. 이와 같이, 최후의 원하는 두께를 가지는 합성막은 적합한 막특성들을 가지도록 제조되는데, 이들 막특성들은 단일 단계의 증착과 처리 절차를 이용해서는 쉽게 이루어질 수 없다.
도 7a 내지 7d는 추가적인 사이클동안 증착과 플라즈마 처리 단계들을 반복함으로써 합성 배리어층이 형성되는 다른 실시예를 보인 것이다. 도 7a는 기설명된 제 1 플라즈마 처리후의 기판 구조(700)의 단면도를 보인 것이다. 금속질화물로 이루어지는 제 2 배리어층(708)은 도 7b에 도시된 바와 같이, 처리된 층(509)위에 증착되는데, 그 두께(d2)는 대략 5-1000Å이고, 바람직하게는 60Å이다. 비록 층(708)이 층(508)을 위해 사용된 공정 조건들과는 다른 조건들하에서 증착될 수도 있지만, 전형적으로 동일한 공정 조건들이 사용된다. 이후, 증착된 층(708)은 도 7c에 도시된 대로, 질소 함유 플라즈마(750)에 노출된다. 제 2 플라즈마 처리는 제 2 배리어층(708)의 전체 두께(d2)와 제 1 배리어층(509)의 적어도 상면부(509T)를 완전히 처리하기에 충분히 긴 시간동안 수행된다. 도 7d는 증착된 층(708)에 비해 화학적 조성 및/또는 격자구조에서 변화되도록 처리된 배리어층(709)을 나타낸다. 유사하게, 제 1 배리어층(509)의 상면부(509T)는 제 2 처리 동안 더욱 변화되고, 계면층(710)으로 나타낼 수 있게 된다. 이와 같이, 제 1 배리어층(509)과 제 2 배리어층(709) 사이의 구조상의 연속성이 향상된다. 처리된 배리어층(709)(509)으로 이루어지는 합성 배리어층(720)은 플라즈마 처리에 의한 막의 고밀도화로 인해 일반적으로 d1과 d2의 합보다 작다. 증착, 리소그래피, 에칭, 장착 등과 같은 이후의 공정 단계들은 IC 장치의 제조를 완료하도록 적절히 수행된다.
도 8a 내지 8f는 금속층(806)이 금속질화물 증착 이전에 플라즈마 처리되는 본 발명에 따른 또 다른 실시예를 보인 것이다. 도 8a는 도 5a에서 도시된 것과 같은 기판구조(500)위에 증착되어 있는 금속층(806)을 나타낸다. 금속층(806)(예를 들어, Ti, Ta 또는 W)은 PVD 챔버에서 금속 타켓을 스퍼터링하는 것으로부터 증착될 수 있거나, 또는 CVD기술에 의해 형성될 수도 있다. 도 8b는 증착된 금속층(806)이 그 중에서도 특히 N2또는 NH3와 같은 여러 가스로 이루어지는 질소함유 플라즈마(850)에 노출되어 있는 것을 도시한다. 처리 공정 동안, 플라즈마(850)로부터의 활성종이 수직 측벽을 포함하는 금속층(806)의 상면 또는 외면부(806T)에 충격을 가한다. 얇은 금속질화물층(807)(즉, 질화금속층)은 도 8c에 도시된 바와 같이, 이 플라즈마 단계후에 형성된다. 금속층(806)의 플라즈마처리는 금속층(806)의 증착을 위해 사용된 동일한 챔버내에서 수행될 수 있다. 비록 플라즈마가 막처리나 어닐링의 바람직한 방법이지만, 열 어닐링 또한 금속층(806)의 질화(nitration)를 용이하게 하도록 사용될 수 있다.
또한, 금속층(806)의 플라즈마 처리는 계속되는 금속질화물 증착을 위해 사용되는 챔버내에서 수행될 수 있다. 그러한 경우에는, 기판을 미리 조절할 수 있다고 하는 추가적인 이득을 얻을 수 있다. 일반적으로, 막 증착 공정들은 온도에 의해 좌우되며, 단일 웨이퍼 공정 챔버는 "제 1 웨이퍼" 효과를 나타낼 수 있다. 즉, 챔버내의 온도는 1회분의 제 1 웨이퍼가 가공되고 있는 동안에는 안정되지 않는다. 이와 같이, 제 1 웨이퍼위에 증착된 막은 계속적으로 가공되는 웨이퍼들에 비해 약간 다른 두께 또는 균일성을 가진다. 그러나, 만일 금속층(806)의 플라즈마처리가 계속되는 금속질화물 증착을 위해 사용된 것과 동일한 CVD 챔버내에서 수행된다면, 제 1 웨이퍼를 포함하는 1회분의 각 웨이퍼는 질화물 증착 이전에 플라즈마에 의해 대략 동일한 온도로 가열된다. 이와 같이 웨이퍼를 미리 조절하는 것은 증착되는 금속질화물막 내에서 웨이퍼 대 웨이퍼의 생산 능력을 향상시키는데 효과적이다.
도 8c는 질화금속층(807)위에 소정의 두께(t1)로 증착되며, 바람직하게는 금속층(806)과 동일한 내화성 금속으로 이루어지는 금속질화물층(808)을 도시한 것이다. 두께(t1)는 대략 5-1000Å의 범위내에 있으며, 바람직하게는 대략 60Å이다. 질화금속층(807)은 계면 링크로서 기능을 하고, 미처리된 금속층(806)과질화물층(808)사이의 개선된 격자 매칭을 제공한다. 몇몇 경우에 있어서, 예를 들어 금속질화물층(808)이 TiCl4와 NH3사이의 반응으로부터 TiN 증착되면, TiN층(808)은 질화금속층(807)에 의해 또한 "뿌려질" 수 있다. 즉, 질화물층(808)이 질화금속층(807)과 유사한 결정 방위를 가진다.
도 8d는 증착된 금속질화물층(808)이 본 발명에 따른 플라즈마 처리를 받는 것을 도시한 것이다. 플라즈마(852)는 예를 들어 N2또는 NH3로 이루어지는 질소 함유 플라즈마이다. 다시, 금속질화물층(808)의 전영역과 그 아래의 질화금속 계면층(807)의 적어도 상면부(807T)가 격자 구조 및/또는 화학적 조성의 측면에서 변화되도록 처리된다. 플라즈마 처리로부터 강화된 격자 구조의 매칭에 의해 금속질화물/금속층(830)(도 8e 참조, 변화된 질화물층(809), 처리된 질화금속부(807T), 질화금속층(807), 그리고 미처리된 금속층(806)으로 이루어짐)은 향상된 배리어/라이너 특성을 가지게 된다. 전형적으로, 막의 고밀도화로 인해, 처리된 질화물층(809)은 t1보다 작은 두께를 가진다. 선택적으로, 플라즈마 처리 단계는 처리 시간을 늘리거나 플라즈마 조건들을 변경함으로써, (질화금속층(807)에 인접한) 그 아래의 금속층(806)의 일부를 더욱 처리하도록 수행될 수도 있다.
특정의 적용에 따라서는, 질화물/금속 스택(830)이 금속화 계획에서 배리어/라이너로서 사용될 수 있다. 또한, 만일 더 두꺼운 질화물층이 요구된다면, 질화물 증착 단계와 처리 단계가 추가 사이클로 반복될 수 있다. 이는 도 8f에 도시된 것으로, 제 2 질화물층(810)은 금속 스택(830)위에 그 두께(t2)가 대략 5-1000Å의범위내에서 바람직하게는 대략 60Å으로 증착된다. 증착된 질화물층(810)은 질소함유 플라즈마(854)에 노출되는데, 이 플라즈마는 플라즈마(852)와 동일할 수도, 동일하지 않을 수도 있다. 플라즈마(854)는 제 2 질화물층(810)의 전영역과 그 아래의 질화물층(809)의 상면부를 처리하는데 사용된다. 이 처리 단계의 주목적은 제 2 질화물층(810)과 제 1 질화물층(809)사이에 개선된 계면(825)을 제공하는 것이다. 추가적인 질화물층들이 증착될 수 있고, 소정의 최종 두께를 가지는 합성 질화물층을 산출하도록 플라즈마 처리된다.
일반적으로, 구성요소 층들의 반복적인 증착과 처리에 의한 합성층의 형성으로 이루어지는 공정을 "NxD" 공정으로 나타낼 수 있는데, 여기서 N은 증착-처리 사이클의 숫자이고, D는 플라즈마 처리된 구성요소 층의 두께이다. 이러한 접근법의 장점중의 하나는 더욱 균일한 특성을 가지는 합성층이 형성된다는 것이며, 이는 구성요소 층들이 더 얇을수록 콘택홀(505)의 측벽(505S)을 따라 증착되는 부분을 포함하여 플라즈마 처리가 더욱 철저히 수행될 수 있기 때문이다.
HP TxZ챔버에서의 "2x20"공정
특정의 일례를 들면, 대략 40Å의 두께를 가지는 합성 TiN막 또는 층이 HP TxZ 챔버에서 수행되는 "2x20"공정에서 그 아래의 Ti층위에 형성된다. 이러한 공정은 20Å 두께의 TiN을 형성하는 두 개의 사이클을 포함하다. 각 사이클은 두 개의 단계로 이루어지는데, 첫째로, 대략 60Å의 TiN으로 이루어진 막을 증착하고,두번째로, 증착된 막을 N2와 수소(H2)로 이루어진 플라즈마 분위기에 노출시키는 것이다. 플라즈마 처리에 의해 대략 20Å의 전형적인 두께와 대략 1500 ohm/sq의 시트 저항을 가지는 고밀도화된 막이 형성된다. 이전에 기술한 다른 실시예들과 유사하게, 이 실시예는 콘택 레벨과 비아 레벨에 일반적으로 적용가능하다.
표 1은 TiN의 처리와 증착을 위한 전형적인 2x20 공정법에서 몇몇 중요한 단계들을 보인 것이다.
표 1 TiN "2x20"공정법
#1 #2 #3 #4 #5
증착1 플라즈마1 냉각 증착2 플라즈마2
희석용 불활성 (sccm) 1300 - 1900 1300 -
He 캐리어(sccm) 325 - 325 325 -
TDMAT No TDMAT TDMAT
N2(sccm) 300 300
H2(sccm) 450 450
압력 (torr) 5.0 1.3 5.0 5.0 1.3
RF전력 (W) 0 750 0 0 750
시간 (sec) 18 20 5 18 20
HP TxZ 챔버 내부에서의 적절한 펌프 다운과 가스 유동의 안정화 이후, 이전에 증착된 점착층을 가지는 웨이퍼는 받침대 위에 지지된다. 전형적으로, 전체의 공정 동안 대략 1.5 torr의 압력차가 웨이퍼의 전면과 후면 사이에서 유지되도록 후면의 압력 제어가 이루어진다. 히터 온도는 대략 365℃로 설정되고, 모서리 정화와 바닥 정화 가스는 각각 대략 1500 sccm과 1000 sccm으로 유동한다.
희석용 및 캐리어용 불활성 가스 유동은 단계 #1로 보여진 제 1 배리어층의 증착 이전에 또한 이루어진다. 특히 그 중에서도 N2, Ar, He 및 H2와 같은 가스들은 희석용 가스로서 사용하기에 적합하고, 대략 1300 sccm의 전체 유량으로 사용된다. TDMAT는 He 캐리어 가스를 대략 50℃의 온도에서 TDMAT를 함유하는 버블러(bubbler) 또는 앰풀(ampoule)을 통해 통과시킴으로써 챔버 내부로 인입된다. 또한, TDMAT의 액체 주입도 사용될 수 있다. 예를 들어 대략 60Å의 TiN층이 단계 #1 이후에 웨이퍼 위에 증착된다.
증착된 TiN층의 플라즈마 처리는 N2와 H2전구체들을 각각 대략 300 sccm과 450 sccm의 유량으로 사용하는 단계 #2에서 수행된다. 챔버 압력은 대략 1.3 torr로 유지되고, 반면 대략 750 W의 RF 전력이 샤워헤드에 인가된다. TiN층을 N2/H2플라즈마에 대략 20초 동안 노출시킨 후에는, 대략 20Å의 최종 TiN층이 얻어진다. 플라즈마 처리 단계 동안 가열된 웨이퍼는 단계 #3에서 냉각되어지고, 이 동안에는 TDMAT가 챔버에 공급되지 않는다. 제 1 및 제 2 TiN 증착 단계 이전에 대략 동일한 온도로 웨이퍼를 유지시킴으로써 제 1 및 제 2 TiN층들의 두께 재생산 가능성이확실해질 수 있다.
제 2 TiN층은 단계 #1과 동일한 조건들하에 단계 #4에서 계속해서 증착되고, 이후 제 2 플라즈마 처리 단계 #5가 수행된다. 단계 #5후에, 대략 40Å의 최종두께를 가지는 TiN층이 웨이퍼위에 형성되고, 챔버는 웨이퍼를 치우기 이전에 N2와 같은 불활성 가스로 정화된다.
본 발명의 여러 실시예들은 CVD W, Al 및 Cu 금속화 계획에서 금속질화물/금속 스택을 형성하는데 뿐만 아니라 어떠한 콘택 레벨 및 비아 레벨에도 일반적으로 적용가능하다. 본 발명의 금속질화물/금속 구조를 형성하는데 있어서, 금속층은 당해 기술에서 알려진 종래의 수단에 의해 실리콘, 열 산화물 및 세라믹을 포함하는 여러 다른 종류의 기판상에 형성될 수 있다. 더욱이, 본 발명의 공정은 여러 재료로 이루어지는 하부층 및 내부배선층으로 조합되는 여러 다른 패턴의 웨이퍼들상에서 수행될 수 있다.
당해기술의 당업자라면 본 발명의 공정의 기본성질과 소정의 막특성을 유지하면서도 공정 파라미터나 장치의 선택을 변경하는 필요성을 이해할 것이다.

Claims (30)

  1. 기판 처리 방법에 있어서,
    (a) 질화물층과 금속층 사이에 계면이 형성되도록 상기 금속층위에 상기 질화물층을 증착하는 단계;
    (b) 질소 함유 분위기를 제공하는 단계; 그리고
    (c) 상기 질화물층을 상기 질소 함유 분위기에 노출시킴으로써 상기 질화물층과 상기 계면 하부의 상기 금속층의 적어도 일부를 변화시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.
  2. 제 1 항에 있어서,
    상기 단계(c)는 상기 계면 하부의 상기 금속층의 상기 일부에 질소를 적용하는 단계를 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서,
    상기 변화 단계(c)는 상기 단계(a)에서 형성된 상기 계면에서 미세구조의 불일치를 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서,
    상기 금속층은 티타늄(Ti), 탄탈(Ta) 또는 텅스텐(W)을 포함하고, 상기 질화물층은 티타늄 나이트라이드, 탄탈 나이트라이드 또는 텅스텐 나이트라이드를 포함하는 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서,
    상기 단계(a)의 상기 질화물층은 탄소 또는 염소를 더 포함하고, 상기 단계(c)에서의 상기 질소 함유 분위기는 수소를 더 포함하는 것을 특징으로 하는 방법.
  6. 제 5 항에 있어서,
    상기 변화 단계(c)는 상기 질화물층으로부터 탄소 또는 염소를 감소시키고 상기 계면하부의 상기 금속층의 상기 일부의 미세구조를 변환시키는 단계를 포함하는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서,
    상기 단계(a)는 티타늄을 포함하는 금속-유기 화합물의 존재하에서 수행되는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서,
    상기 금속-유기 화합물은 테트라키스-디메틸아민-티타늄(TDMAT)인 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서,
    (d) 단계(c)후에, 질화물-질화물 계면을 형성하도록 상기 변화된 질화물층 위에 질화물층을 증착하는 단계;
    (e) 상기 증착된 질화물층을 질소 함유 분위기에 노출시킴으로써 단계(d)의 상기 증착된 질화물층과 단계(c)로부터의 상기 변화된 질화물층의 적어도 일부를 변화시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  10. 제 9 항에 있어서,
    상기 변화 단계(e)는 단계(d)의 상기 질화물-질화물 계면의 미세구조의 불일치를 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  11. 기판 처리 방법에 있어서,
    (a) 질화물층과 금속층 사이에 계면이 형성되도록 상기 금속층 위에 상기 질화물층을 증착하는 단계;
    (b) 질소 함유 플라즈마를 제공하는 단계; 그리고
    (c) 상기 질화물층을 상기 질소 함유 플라즈마에 노출시킴으로써 상기 질화물층과 상기 계면 하부의 상기 금속층의 적어도 일부를 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서,
    상기 단계(a)의 상기 질화물층은 탄소 또는 염소를 더 포함하고, 상기 단계(c)에서의 상기 질소 함유 플라즈마는 수소를 더 포함하는 것을 특징으로 하는 방법.
  13. 제 11 항에 있어서,
    상기 단계(a)는 티타늄을 포함하는 금속-유기 화합물의 존재하에서 수행되는 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서,
    상기 금속-유기 화합물은 테트라키스-디메틸아민-티타늄(TDMAT)인 것을 특징으로 하는 방법.
  15. 제 11 항에 있어서,
    (d) 단계 (c) 후에, 질화물-질화물 계면이 형성되도록 상기 변화된 질화물층위에 질화물층을 증착하는 단계;
    (e) 상기 증착된 질화물층을 질소 함유 플라즈마에 노출시킴으로써 단계(d)의 상기 증착된 질화물층과 단계(c)로부터의 상기 변화된 질화물층의 적어도 일부를 변화시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서,
    상기 변화 단계(e)는 단계(d)의 상기 질화물-질화물 계면의 미세구조의 불일치를 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  17. 기판 처리 방법에 있어서,
    (a) 제 1 질화물층과 금속층 사이에 제 1 계면을 형성하도록 상기 금속층위에 상기 제 1 질화물층을 증착하는 단계;
    (b) 제 1 질소 함유 분위기를 제공하는 단계;
    (c) 상기 제 1 질화물층을 상기 제 1 질소 함유 분위기에 노출시킴으로써, 질소가 상기 제 1 계면의 부근에서 상기 금속층의 일부에 적용되도록 단계(a)의 상기 제 1 질화물층과 단계(a)의 상기 금속층의 적어도 일부를 변화시키는 단계;
    (d) 상기 제 1 질화물층과의 사이에 제 2 계면을 형성하도록 상기 변화 단계(c) 이후에 상기 제 1 질화물층 위에 제 2 질화물층을 증착하는 단계;
    (e) 제 2 질소 함유 분위기를 제공하는 단계; 그리고
    (f) 상기 제 2 질화물층을 상기 제 2 질소 함유 분위기에 노출시킴으로써, 상기 제 2 질화물층과 상기 제 2 계면의 부근에서 상기 제 1 질화물층의 적어도 일부를 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제 17 항에 있어서,
    상기 변화 단계(c)는 상기 금속층과 상기 제 1 질화물층 사이의 상기 제 1계면의 미세구조 불일치를 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  19. 제 17 항에 있어서,
    상기 단계(a)의 상기 제 1 질화물층은 탄소 또는 염소를 더 포함하고, 상기 변화 단계(c)는 상기 제 1 질화물층으로부터 탄소 또는 염소를 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  20. 제 17 항에 있어서,
    단계(a)의 상기 금속층은 Ti, Ta 또는 W를 포함하고, 상기 제 1 질화물층은 TiN, TaN 또는 WN을 포함하는 것을 특징으로 하는 방법.
  21. 제 17 항에 있어서,
    상기 제 1 질소 함유 분위기와 상기 제 2 질소 함유 분위기는 수소를 더 포함하는 것을 특징으로 하는 방법.
  22. 제 17 항에 있어서,
    상기 변화 단계(f)는 상기 제 1 질화물층과 상기 제 2 질화물층 사이에 형성된 상기 제 2 계면의 미세구조 불일치를 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  23. 제 17 항에 있어서,
    상기 제 1 질소 함유 분위기는 제 1 플라즈마이고, 상기 제 2 질소 함유 분위기는 제 2 플라즈마인 것을 특징으로 하는 방법.
  24. 기판 처리 방법에 있어서,
    (a) 기판위에 금속층을 형성하는 단계;
    (b) 상기 금속층의 적어도 상면부로부터 질화금속층을 형성하도록 상기 금속층을 제 1 질소 함유 분위기에 노출시키는 단계;
    (c) 질화물층과 상기 질화금속층과의 사이에 계면이 형성되도록 상기 질화금속층위에 질화물층을 증착하는 단계;
    (d) 상기 단계(c)의 상기 질화물층을 제 2 질소 함유 분위기에 노출시킴으로써 상기 질화물층과 상기 제 1 계면에 인접한 상기 질화금속층의 적어도 일부를 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  25. 제 24 항에 있어서,
    (e) 단계(d) 후에, 질화물-질화물 계면을 형성하도록 상기 플라즈마-변화된 질화물층 위에 질화물층을 증착하는 단계;
    (f) 질소 함유 플라즈마를 형성하는 단계; 및
    (g) 단계(e)의 상기 증착된 질화물층을 단계(f)의 상기 질소 함유 플라즈마에 노출시킴으로써 상기 질화물-질화물 계면을 변화시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  26. 제 24 항에 있어서,
    상기 변화 단계(g)는 상기 질화물-질화물 계면의 미세구조 불일치를 감소시키는 단계를 포함하는 것을 특징으로 하는 방법.
  27. 범용 컴퓨터로 기판 공정 챔버를 제어하도록 하는 소프트웨어 루틴을 포함하는 컴퓨터 판독가능 매체에 있어서,
    (a) 기판 위에 금속층을 형성하는 단계;
    (b) 상기 금속층과의 사이에 계면을 형성하도록 상기 금속층 위에 질화물층을 증착하는 단계;
    (c) 질소 함유 분위기를 제공하는 단계; 그리고
    (d) 상기 질화물층을 상기 질소 함유 분위기에 노출시킴으로써 상기 질화물층과 상기 계면 하부의 상기 금속층의 적어도 일부를 변화시키는 단계를 포함하는 방법을 이용하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  28. 제 27 항에 있어서,
    상기 방법의 상기 변화 단계(d)는 질소를 상기 계면 하부의 상기 금속층의 상기 일부에 적용되는 단계를 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  29. 제 27 항에 있어서,
    상기 방법의 상기 변화 단계(d)는 단계(b)의 상기 계면의 미세구조 불일치를 감소시키는 단계를 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
  30. 제 27 항에 있어서,
    단계(a)의 상기 금속층은 티타늄(Ti), 탄탈(Ta) 또는 텅스텐(W)을 포함하고, 단계(b)의 상기 질화물층은 티타늄 나이트라이드, 탄탈 나이트라이드 또는 텅스텐 나이트라이드를 포함하는 것을 특징으로 하는 컴퓨터 판독가능 매체.
KR1020010004834A 2000-02-01 2001-02-01 금속질화물/금속 스택의 처리 방법 KR100748371B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/495,817 US6436819B1 (en) 2000-02-01 2000-02-01 Nitrogen treatment of a metal nitride/metal stack
US09/495,817 2000-02-01

Publications (2)

Publication Number Publication Date
KR20010078247A true KR20010078247A (ko) 2001-08-20
KR100748371B1 KR100748371B1 (ko) 2007-08-10

Family

ID=23970101

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010004834A KR100748371B1 (ko) 2000-02-01 2001-02-01 금속질화물/금속 스택의 처리 방법

Country Status (5)

Country Link
US (1) US6436819B1 (ko)
EP (1) EP1122775A3 (ko)
JP (1) JP4947840B2 (ko)
KR (1) KR100748371B1 (ko)
SG (1) SG94727A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100714467B1 (ko) * 2002-04-26 2007-05-04 인피네온 테크놀로지스 아게 캐패시터 오버 플러그 구조체용 배리어
KR20120050827A (ko) * 2010-11-11 2012-05-21 에스케이하이닉스 주식회사 반도체 소자의 금속 배선 형성 방법
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
KR20170063352A (ko) * 2015-11-30 2017-06-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 방사선 감지 기판 및 이미지 센서 디바이스에 딥 트렌치 아이솔레이션을 형성하기 위한 방법

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US20020106881A1 (en) * 2000-12-07 2002-08-08 Jain Manoj K. Prevention of contact failure by hydrogen treatment
JP2002217292A (ja) * 2001-01-23 2002-08-02 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6500761B1 (en) * 2001-10-24 2002-12-31 Tokyo Electron Limited Method for improving the adhesion and durability of CVD tantalum and tantalum nitride modulated films by plasma treatment
US6866255B2 (en) * 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
US7163721B2 (en) * 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
JP2004311545A (ja) * 2003-04-03 2004-11-04 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び高融点金属膜の堆積装置
US20050112876A1 (en) * 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US20050133165A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Apparatus for the prevention of arcing in a CVD-TiN chamber
KR100597649B1 (ko) 2004-11-26 2006-07-05 삼성전자주식회사 베리어 메탈을 포함하는 반도체 디바이스의 제조방법 및그 구조
KR100645207B1 (ko) * 2005-02-23 2006-11-10 주식회사 하이닉스반도체 반도체 소자의 배선 형성 방법
US8039391B1 (en) * 2006-03-27 2011-10-18 Spansion Llc Method of forming a contact in a semiconductor device with engineered plasma treatment profile of barrier metal layer
WO2007116440A1 (ja) * 2006-03-30 2007-10-18 Fujitsu Limited 半導体装置の製造方法
US7575638B2 (en) * 2007-02-02 2009-08-18 Lam Research Corporation Apparatus for defining regions of process exclusion and process performance in a process chamber
TW200814156A (en) * 2006-07-21 2008-03-16 Toshiba Kk Method for manufacturing semiconductor device and semiconductor device
US7772014B2 (en) * 2007-08-28 2010-08-10 Texas Instruments Incorporated Semiconductor device having reduced single bit fails and a method of manufacture thereof
US20100196623A1 (en) * 2007-10-09 2010-08-05 Kazuyoshi Honda Film forming method and film forming apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8278139B2 (en) * 2009-09-25 2012-10-02 Applied Materials, Inc. Passivating glue layer to improve amorphous carbon to metal adhesion
JP5375497B2 (ja) * 2009-10-01 2013-12-25 トヨタ自動車株式会社 半導体装置、及び、半導体装置の製造方法
KR20120122548A (ko) * 2011-04-29 2012-11-07 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5651616B2 (ja) * 2012-02-17 2015-01-14 株式会社東芝 磁気記録媒体、及びその製造方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9472502B1 (en) 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6759366B2 (ja) * 2016-06-01 2020-09-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3dnand用のトンネル酸化物の高圧でのアンモニア窒化
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63229814A (ja) 1987-03-19 1988-09-26 Nec Corp 半導体集積回路の製造方法
US5175126A (en) 1990-12-27 1992-12-29 Intel Corporation Process of making titanium nitride barrier layer
EP0514103A1 (en) * 1991-05-14 1992-11-19 STMicroelectronics, Inc. Barrier metal process for sub-micron contacts
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2803556B2 (ja) 1994-02-03 1998-09-24 日本電気株式会社 バリアメタル層の形成方法
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
EP0711846A1 (en) 1994-11-14 1996-05-15 Applied Materials, Inc. Titanium nitride deposited by chemical vapor deposition
US20020033533A1 (en) * 1994-11-14 2002-03-21 Marvin Liao Interconnect structure for use in an integrated circuit
US5712193A (en) 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
KR100218728B1 (ko) * 1995-11-01 1999-09-01 김영환 반도체 소자의 금속 배선 제조방법
JPH09246212A (ja) * 1996-03-07 1997-09-19 Sony Corp バリア層の形成方法、およびこれにより形成されたバリア層を有する半導体装置
US6093639A (en) * 1996-10-30 2000-07-25 United Microelectronics Corp. Process for making contact plug
US5989652A (en) 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US6096645A (en) * 1997-07-24 2000-08-01 Mosel Vitelic, Inc. Method of making IC devices having stable CVD titanium nitride films
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6215186B1 (en) * 1998-01-12 2001-04-10 Texas Instruments Incorporated System and method of forming a tungstein plug
US6060389A (en) * 1998-05-11 2000-05-09 Advanced Micro Devices, Inc. Semiconductor fabrication employing a conformal layer of CVD deposited TiN at the periphery of an interconnect
JP2000195820A (ja) * 1998-12-25 2000-07-14 Sony Corp 金属窒化物膜の形成方法およびこれを用いた電子装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100714467B1 (ko) * 2002-04-26 2007-05-04 인피네온 테크놀로지스 아게 캐패시터 오버 플러그 구조체용 배리어
KR20120050827A (ko) * 2010-11-11 2012-05-21 에스케이하이닉스 주식회사 반도체 소자의 금속 배선 형성 방법
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US8617985B2 (en) 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
US8835311B2 (en) 2011-10-28 2014-09-16 Applied Materials, Inc. High temperature tungsten metallization process
KR20170063352A (ko) * 2015-11-30 2017-06-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 방사선 감지 기판 및 이미지 센서 디바이스에 딥 트렌치 아이솔레이션을 형성하기 위한 방법
US10134801B2 (en) 2015-11-30 2018-11-20 Taiwan Semiconductor Manufacturing Company Limited Method of forming deep trench isolation in radiation sensing substrate and image sensor device
US10510798B2 (en) 2015-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming deep trench isolation in radiation sensing substrate and image sensor device
US11404470B2 (en) 2015-11-30 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming deep trench isolation in radiation sensing substrate and image sensor device

Also Published As

Publication number Publication date
EP1122775A2 (en) 2001-08-08
SG94727A1 (en) 2003-03-18
EP1122775A3 (en) 2001-08-16
JP4947840B2 (ja) 2012-06-06
US6436819B1 (en) 2002-08-20
JP2001257177A (ja) 2001-09-21
KR100748371B1 (ko) 2007-08-10

Similar Documents

Publication Publication Date Title
KR100748371B1 (ko) 금속질화물/금속 스택의 처리 방법
US6555183B2 (en) Plasma treatment of a titanium nitride film formed by chemical vapor deposition
EP1073106B1 (en) Method for reducing oxidation of an interface of a semiconductor device
US8101521B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
US7589017B2 (en) Methods for growing low-resistivity tungsten film
KR101263856B1 (ko) 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
US7211506B2 (en) Methods of forming cobalt layers for semiconductor devices
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6319728B1 (en) Method for treating a deposited film for resistivity reduction
KR101468241B1 (ko) 상호접속 구조체 및 다마신 구조체의 제조 방법
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
KR100354797B1 (ko) 피처리체의표면에티탄막및배리어금속막을적층하여형성하는방법
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US20020192952A1 (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
US7411254B2 (en) Semiconductor substrate
KR101100288B1 (ko) Cu막의 형성방법
KR101356332B1 (ko) 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
US11270911B2 (en) Doping of metal barrier layers
KR101217393B1 (ko) 성막 방법, 플라즈마 처리 장치 및 기억 매체
US20240006235A1 (en) Composite barrier layers
WO2023038686A1 (en) Doped tantalum-containing barrier films
JP2677230B2 (ja) TiN膜の形成方法
JP2000294517A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee