JP4947840B2 - 金属窒化物/金属スタックの処理 - Google Patents

金属窒化物/金属スタックの処理 Download PDF

Info

Publication number
JP4947840B2
JP4947840B2 JP2001025866A JP2001025866A JP4947840B2 JP 4947840 B2 JP4947840 B2 JP 4947840B2 JP 2001025866 A JP2001025866 A JP 2001025866A JP 2001025866 A JP2001025866 A JP 2001025866A JP 4947840 B2 JP4947840 B2 JP 4947840B2
Authority
JP
Japan
Prior art keywords
nitride layer
layer
nitride
metal
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001025866A
Other languages
English (en)
Other versions
JP2001257177A (ja
Inventor
ツァン ツィ−ファン
エム. パン デイヴィッド
クーラナ ニティン
メイ ツァン ホン
クレーグ モーズレイ ロデリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001257177A publication Critical patent/JP2001257177A/ja
Application granted granted Critical
Publication of JP4947840B2 publication Critical patent/JP4947840B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体デバイス製造のため基板を処理する方法に関するものである。特に、本発明は、金属窒化物/金属スタックの被膜特性を改善する方法に関するものである。
【0002】
【従来の技術】
超大規模集積(VLSI)及び超々大規模集積(ULSI)の集積回路を製造する場合、多重レベルのメタライゼイション技術のプロセス能力及び信頼性に対して、次第に厳しくなる要求が出されている。タングステン(W)は、コンタクト及びバイアを含め、種々のレベルでメタライゼイション技術においてアルミニウム(Al)の代わりに登場してきている。
【0003】
一体型バリア及びライナー構造は、金属導電層(W又はAl)と下側の材料層との間に良好な密着性を与えると共に、下側の材料層への望ましくない金属拡散を防止するために、通常使用されている。これらのバリア及びライナー構造は、通常、特に例えば窒化チタン(TiN)/チタン(Ti)のような耐熱金属窒化物/耐熱金属の組合せから構成される。
【0004】
例えばチタン(Ti)は、シリコン(Si)又は二酸化ケイ素(SiO2)とAl又はWから構成される金属層との間の接着剤もしくは接着層として使用されてきた。例えばTiNからなるバリア層は、下側の基板への金属拡散を避けるために、金属堆積の前にTi接着層上に堆積される。
【0005】
窒化チタンは、物理蒸着(PVD)と同様に化学気相堆積(CVD)によっても堆積させることができる。しかし、CVDによるTiNは、より規則的なPVDによるTi又はTiN層とは反対に、例えば、前駆物質としての有機金属チタンから堆積されるときに、非晶質構造を有しうる。この微細構造の差により、一体型のCVDのTiN/PVDのTiスタックはPVDのTiN/PVDのTiスタックよりも脆弱な層間結合をもつことになる。脆弱な層の密着性のほかに、TiN及びTi層間の構造的不連続性は、強い層間ひずみ及び界面欠陥という結果になる。かかるバリア/ライナー構造は、W堆積,化学洗浄及び化学機械研磨(CMP)のような後に続く処理ステップにおける化学的及び/又は機械的攻撃を受けやすい。
【0006】
更に、続いて堆積されるアルミニウムもTiN/Tiスタックの格子もしくは微細構造における欠陥中に拡散して下側の材料層と反応することがある。
【0007】
従って、金属間の拡散を防止し、層間の密着性を向上させると共に、多重レベルのメタライゼイションプロセス中における耐薬品性を向上させる金属層及び金属窒化物層間の界面構造の改善を可能とする方法もしくはプロセスに対する必要性が存在している。
【0008】
【課題を解決するための手段】
本発明は、金属もしくはメタル層上に窒化物層を形成する方法であり、その後に、この窒化物層を窒素含有環境に露出することによって窒化物層と下側の金属層の少なくとも一部との改質もしくは処理が行われる。
【0009】
本発明の実施形態に従って形成される金属窒化物及び金属のスタック即ち積層体は、高い密着性,低い層間ひずみ及び低い抵抗率というような改善された特性を有している。このような構造は、例えば、0.18μm以下の適用例についての種々のメタライゼイション技術ではバリア/ライナーの適用に適している。
【0010】
窒素含有環境は、特に窒素(N2)又はアンモニア(NH3)のようなガスを含んでいてよい。或いは、窒素含有環境は、水素を含んでいてよい。金属窒化物/金属層の改質はプラズマ又は熱アニ−ル(thermal annealing)を使用して行うことができる。本発明の一実施形態においては、N2及び水素(H2)の混合物もしくはNH3を含むガスから窒素含有プラズマを発生させている。
【0011】
金属層は、チタン(Ti),タンタル(Ta),タングステン(W)又はそれらの組合せのような耐熱金属から構成してよく、物理蒸着(PVD)又は化学気相堆積(CVD)のどちらかにより堆積させることができる。金属窒化物層は下側の耐熱金属と同じ金属から構成するのが好ましい。
【0012】
本発明の一実施形態において、窒化チタン(TiN)は有機金属である前駆物質から堆積される。TiNの堆積後、TiN層及びその下側のTi層の双方は、活性の化学種がTiN層を貫いて下側のTi層に到達するのに十分な長い時間にわたりスタックを窒素含有環境に露出することによって改質される。堆積したままのTiN層が窒素及び水素の双方を含む環境中で処理されると、その結果生ずるTiN層は、不純物含有量が減少し、シート抵抗が低くなっている。本発明によると、薄い窒化Ti層もまた処理後のTiN及びTi層の間に形成される。この窒化Ti層は、Ti層の未処理部分と処理後のTiN層との間に良好な格子整合を与えると共に、バリア特性が改善され層間ひずみが低減された一体型TiN/Ti構造になる。
【0013】
別の実施形態においては、所望厚さの窒化物が得られるまで、追加のサイクルで比較的に薄い金属窒化物層を繰り返し堆積及び処理することにより、複合金属窒化物層が金属層上に形成される。各プラズマ処理ステップで上側の窒化物層全体と下側の材料層の頂部とが変更もしくは調整され、化学組成及び/又は格子構造が変化することになる。その結果、層の界面全域にわたり良好な格子整合が得られるため、密着性が増し且つ層間ひずみが減少することになる。
【0014】
必要に応じて、本発明の実施形態は、金属窒化物層の堆積前に、堆積したままの金属層を第1の窒素含有環境内で処理するステップを更に含んでいてよい。このような処理により、例えば、より薄い窒化金属層が形成されることとなり、これは、金属層の未処理部分と続いて堆積される金属窒化物層との間に良好な格子整合を提供する。このようにして、得られた金属窒化物/金属スタックは密着性が増し且つひずみが減少する。堆積したままの金属窒化物層は、希望により水素を含んでいてよい第2の窒素含有環境中で続いて処理される。プラズマ中で行なわれるのが好ましいこの処理によって、金属窒化物層と下側の窒化金属層の少なくとも一部とが改質され、被膜特性及び層間特性が改善されることになる。
【0015】
本発明の教示するところは、添付図面に関連して以下の詳細な説明を考慮することにより容易に理解できるであろう。
【0016】
理解を容易にするため、各図に共通の同一要素を表わすのに、可能な場合、同一参照数字を使用した。
【0017】
【発明の実施の形態】
概略
本発明は、金属層上に窒化物層を形成することにより窒化物/金属スタックを形成する方法であり、その後に、この窒化物層と金属層の一部とを窒素含有環境中で処理される。この方法は、窒化物/金属スタックの界面もしくは層間特性を改善する利点がある。前記方法は、例えば、メタライゼイション計画においてバリア/ライナー構造として金属窒化物/金属スタックを形成するのに使用することができる。
【0018】
本発明の一実施形態において、耐熱金属層が基板構造上にPVDによって形成され、その後、熱CVDによる金属窒化物層の堆積が行われる。次に、金属窒化物層は窒素含有環境に露出され、露出中に、金属窒化物層の厚さ全体が処理される結果、物理的及び化学的特性が改善されることになる。この処理は、プラズマ又は熱アニ−ルのどちらかを用いて行うことができるが、プラズマアニ−ルが通常好ましい。本発明の処理ステップは、下側の耐熱金属層も部分的に処理するのに十分な持続期間にわたり適用されるので、窒素(N)が下側の金属層の少なくとも頂部内に取り入れられることになる。従って、金属層と金属窒化物層との間の構造的不連続性が減少し、その結果、2層間の界面が改善される。
【0019】
別の実施形態において、金属窒化物の堆積ステップ及びプラズマアニ−ルステップは、所望の厚さを有する複合窒化物層を形成するため、追加のサイクルで繰り返される。各プラズマ処理ステップが上の金属窒化物層と下側の層の頂部との双方を変えるので、各構成成分材料層の間に改善された層間構造を得ることができる。
【0020】
或いは希望により、PVD金属層のプラズマ処理は金属窒化物層の堆積前に行われ、その結果、PVD金属層上に薄い窒化金属層が形成されることになる。続いて、金属窒化物層が窒化金属層上に堆積され、本発明に従ってプラズマ処理を受ける。金属窒化物層と下側の窒化金属層の頂部とはこうして改質され、後の処理ステップにおいて改善されたバリア特性と耐薬品性を示す。
【0021】
装置
本発明のプロセスは、PVDチャンバ及びCVDチャンバの双方を有する多重チャンバ式処理装置(例えば、クラスタツール)において、或いは別個の単一チャンバシステムにおいて実行することができる。多重チャンバ式処理装置を用いるのが好ましいが、その理由は、処理ステップ間での汚染を防止するために基板を真空環境内に保持しうるからである。クラスタツールの例には、ベクトラ・アイエムピー(Vectra IMP),コヒーレント・アンド・スタンダード(Coherentand Standard)PVDチャンバ,TxZ又はHP CVDチャンバのような処理チャンバと関連して使用されるP5000,エンデュラ (Endura)プラットフォーム及びセンチュラ(Centura)プラットフォームが含まれる。これらのクラスタツールは、カリフォルニア洲サンタクララ所在のアプライド・マテリアルズ・インコーポレイテッド(Applied Materials, Inc.)から商業的に入手しうる。
【0022】
図1は、本発明のCVD及びPVDプロセスを遂行するのに適する例えばエンデュラ(Endura)システムである多重チャンバ式処理装置100を概略的に示している。同様の多段真空ウェハ処理システムは、1993年2月16日にテップマン(Tepman)等に発行された米国特許第5,186,718号「多段真空ウェハ処理システム及び方法(Staged-Vacuum Wafer Processing System and Method)」に開示されており、前記米国特許は参照によりこの明細書に組み込まれる。ここに示した処理装置100の特定実施形態は、半導体基板のようなプレーナー基板を処理するのに適しており、本発明を説明するために提供されているが、本発明の範囲を限定するために用いられているのではない。前記処理装置100は、例えばCVDチャンバ102及びPVDチャンバ104である、相互に接続されたプロセスチャンバもしくはプロセス室のクラスタを備えているのが一般的である。
【0023】
コンピュータシステム
本発明のプロセスは、通常のコンピュータシステムで実行するコンピュータプログラム製品もしくはマイクロプロセッサコントローラを使用して実施することができる。図1に示すように、制御ユニット110は、中央処理ユニット(CPU)112と、支援回路114と、関連の制御ソフトウエア118を含むメモリ116とを備えている。この制御ユニット110は、ウェハ搬送,ガス流量制御,温度制御,チャンバ排気等のウェハ処理に要求される種々のステップの自動制御のために使用される。制御ユニット110と処理装置100の種々の構成要素との間の双方向通信は、図1に幾つかが例示されている種々の信号バス120と総称して呼ばれる種々の信号ケーブルを介して処理される。
【0024】
プロセスを実施するためのコンピュータプログラムコードは、68000アセンブラ言語,C,C++,或いはパスカル(Pascal)のようなコンピュータにより読出し可能な任意の通常のプログラミング言語で書くことができる。このプログラムコードは、次いでコンピュータで使用可能な媒体に保存されるか或いは取り入れられる。
【0025】
図9は、制御ユニット110の階層制御構造の例証的なブロック図を示している。利用者はプロセスセット及びプロセス室名をプロセス選択サブルーチン942に入力する。プロセスセットは、特定のプロセス室において特定プロセスを実行するのに必要なプロセスパラメータ又はレシピ(例えば、ガス流量,温度,圧力,・・・,等)の所定のセットである。
【0026】
プロセスシーケンササブルーチン943は、プロセス選択サブルーチン942からの識別プロセス室及びプロセスパラメータのセットを受け付けると共に、種々のプロセス室の作動を制御するためのプログラムコードを備えている。好適には、このシーケンササブルーチン943は、(i)プロセス室が使用中であるかどうかを決定するため前記プロセス室の作動を監視するステップと、(ii)使用中のプロセス室でどんなプロセスが行なわれているかを決定するステップと、(iii)プロセス室の利用可能性と遂行すべきプロセスの種類とに基づいて所望プロセスを実行するステップとを行う。
【0027】
一旦シーケンササブルーチン943がどのプロセス室及びプロセスセットの組合せを次に実行すべきか決めたら、特定のプロセスセットパラメータがチャンバ管理サブルーチン944a〜944cに送られ、前記サブルーチンが異なるプロセス室における多重処理タスクを制御する。チャンバ管理サブルーチン944はまた、選択されたプロセスセットを果すのに必要な諸チャンバ構成要素の作動を制御する種々のチャンバ構成要素サブルーチン或いはプログラムコードモジュールを制御する。チャンバ構成要素サブルーチンの例には、基板位置決めサブルーチン945,プロセスガス制御サブルーチン946,圧力制御サブルーチン947,ヒータ制御サブルーチン948及びプラズマ制御サブルーチン949が含まれる。当業者なら容易に分かるように、プロセス室もしくはチャンバ102においてどんなプロセスの実行が要求されるのかに応じて、その他のチャンバ管理サブルーチンが含まれうる。
【0028】
PVDチャンバ
PVDチャンバ104は同PVDチャンバ104内の金属ターゲットからのスパッタリングにより、例えばチタン(Ti)である金属層を堆積させるのに使用される。例えばモデル・ベクトラ・アイエムピー(Model Vectra IMP)であるPVDチャンバの詳細は1999年11月1日に出願され普通に譲渡された「重気体スパッタリングによるIMP技術(IMP Technology with Heavy Gas Sputtering)」と題する米国特許出願(出願人整理番号:3495)に開示されており、同米国特許出願の内容は参照によりこの明細書に組み込まれる。スパッタリングプロセス中に、アルゴン(Ar)又はキセノン(Xe)のような不活性ガスがプロセス室もしくはチャンバ104に導入される。DCバイアス電流は、チャンバシールドを接地してスパッタリングターゲットに印加される。RFバイアス電流は基板支持体に印加される。プラズマは、約100〜20000W,特に一般的には約100〜10000WのDC電圧をスパッタリングターゲットに印加することにより、不活性ガスから発生する。このプラズマによりスパッタリングターゲットからターゲット材料がスパッタリングされて、基板表面上に堆積される。このプロセス室104は、堆積した金属層を処理するプラズマのためにも使用することができる。例えば、金属層が堆積した後、窒素(N2)又はその他の窒素含有ガスをプロセス室に導入すると共に、約10〜10000W或いはもっと一般的には約600〜2000WのRF電力を印加することによって、窒素含有プラズマを発生させうる。
【0029】
CVDチャンバ
a.TxZチャンバ
図2は、本発明のプロセスを遂行するのに適するCVDプラズマ反応器102の一例の概略断面図を示している。この特別な反応器であるTxZチャンバ200は、カリフォルニア洲サンタクララ所在のアプライド・マテリアルズ・インコーポレイテッド(Applied Materials, Inc.)から商業的に入手しうる。このチャンバの詳細は、例えば、1998年12月8日に発行され通常のように譲渡された「化学気相堆積室における熱浮動ペデスタルカラー(Thermally Floating PedestalCollar in a Chemical Vapor Deposition Chamber)」と題する米国特許第5,846,332号、及び1999年11月30日に発行され通常のように譲渡された「スループット及び歩留りを向上させる基板処理の方法(Method for SubstrateProcessing with Improved Throughput and Yield)」と題する米国特許第5,993,916号に開示されており、これらの米国特許は参照によりこの明細書に組み込まれる。TxZチャンバ200は、ポンプ輸送チャンネル208を介する真空ポンプ280への接続により減圧環境において作動するのに適応している。前記TxZチャンバ200は、チャンバ本体部202と、処理すべき基板290を支持するペデスタル204とを備えている。基板290は、スリットバルブ206を介してチャンバ200に出入りするよう搬送されると共に、位置決めリング212によりペデスタル202上の中心に置かれる。適当なロボット式搬送アセンブリは、1990年8月28日に発行され通常のように譲渡された「多重チャンバ一体型プロセスシステム(Multi-chamber Integrated Process System)」と題する米国特許第4,951,601号に記載されており、その全開示内容は参照によりこの明細書に組み込まれる。
【0030】
処理の間、基板290は、ガス入口244からのプロセスガスの流れがチャンバ200内の処理ゾーン250に流入するのを許容するため非常に多くの通路242を含んだガス分配面板、即ちシャワーヘッド240に接近して配置されている。プロセスガスが加熱された基板290のところで反応するときに、この基板290の表面上に被膜の堆積が起こる。どんな過剰のプロセスガス及び副生物もポンプ輸送プレナム270に接続された環状のポンプ輸送チャンネル208を介してチャンバ200外にポンプで実質的に排出される。
【0031】
図2のCVDチャンバ200は2つのモード、即ち熱モード及びプラズマ増速モードで作動することができる。熱モードにおいては、電源214がペデスタル204の抵抗ヒータ205に電力を供給する。ペデスタル204、そして基板290は、CVD反応を熱的に活性化するのに十分な高温に維持される。プラズマ増速モードにおいては、RF源216からのRF電力が、上側電極としてのシャワーヘッド240に印加される。このシャワーヘッド240は、代表的には非導電性セラミックから形成される環状の絶縁リング264によりチャンバ200の残部から電気的に絶縁されている。RF源216によって十分な電圧及び電力が印加されて、処理ゾーン250内にプロセスガスからプラズマが発生される。チャンバ200は、種々のチャンバ構成要素に対する望ましくない堆積を最小にするように設計されている。例えば、位置決めリング212はペデスタル204よりも低い温度に維持されているので、位置決めリング上への被膜堆積は最小にすることができる。
【0032】
CVDチャンバ200は、有機金属前駆物質(例えば、テトラキス(ジアルキルアミノ)チタン化合物)又はテトラハロゲン化チタンを含め、異なる前駆ガスでの熱又はプラズマ増速CVDプロセスのために使用することができる。
【0033】
例えば、テトラキス(ジアルキルアミノ)チタン化合物,Ti(N(CH3)24,或いはTDMATのような有機金属前駆物質は、シャワーヘッド240からチャンバ200内に噴射される。ペデスタル204が基板290を少なくとも約100℃,好ましくは約300℃〜500℃の温度に維持している間、チャンバ圧力は約0.01トル〜約50トルの範囲内に維持される。TDMATの熱分解により基板290上に導電性のコンフォーマルなTiN層が堆積する結果となる。
【0034】
b.HP TxZチャンバ
代替手段として、本発明のCVDプロセスは、図3に断面図で示したHP TxZチャンバ300において実施可能である。標準のTxZチャンバとは異なって、HP TxZチャンバ300のウェハ用ヒータペデスタル304は、パージリング/エッジリング組立体380を備えていて、パージガスがウェハ用ヒータペデスタル304の底部及び縁部回りに流れるのを許容すると共に、望ましくない堆積物もしくは付着物がこれらの領域に集積するのを防止する。パージリング組立体の詳細は、1999年2月9日に出願された「パージリングを有するウェハペデスタル(Wafer Pedestal with a Purge Ring)」と題する米国特許願第09/247.673号に開示されており、通常のように譲渡されたこの米国特許願は参照によりこの明細書に組み込まれる。本発明のプロセスにとって特に重要な幾つかの特徴について以下に簡単に述べる。
【0035】
TxZチャンバと同様に、ウェハ390のような基板が真空チャックによりペデスタル304上に保持されている。しかし、TxZチャンバとは違って、ウェハ390の裏面,即ち裏側392に裏側ガス圧力を希望により確立することができる。これは、三方弁364を介して真空ポンプ362及びガス供給源366の双方に接続された真空配管306により行われる。制御ユニット320は、三方弁364,真空ポンプ362及び裏側ガス供給源366を制御することにより、適切な裏側ガス流量及び圧力を維持する。この実施形態においては、裏側ガスの使用により、加熱されたペデスタル304とウェハ390との間の熱伝導が向上する。ペデスタルの温度制御は、フィードバック制御ループにより行われる。即ち、このフィードバック制御ループにおいては、ペデスタル304の温度が同ペデスタル304の内部にある温度計372により連続的に監視され、ヒータ電源373の電流出力の調整が制御ユニット320により行われる。ウェハの温度制御が改善される結果、堆積被膜における均一性がより高くなる。
【0036】
洗浄プロセス及び堆積後のアニ−ルプロセスの間、シャワーヘッド340は、チャンバ洗浄もしくは基板処理のため適切なプロセスガスからプラズマが発生できるように、接地されたチャンバ本体部310に関してRFバイアスされる。
【0037】
図4は、ペデスタル304回りの拡大部分断面図を示している。パージリング/エッジリング組立体380は、ペデスタル304の周囲を囲んで配置されたパージリング480と、このパージリング480上にある着脱自在のエッジリング組立体400とを備えている。
【0038】
ペデスタル304の内部には、パージガス導入のために幾つかの水平チャンネル456がある。真空配管360に接続されたその他のチャンネル459は、基板もしくはウェハ390に裏側ガスを供給すると共に、真空チャッキングのため、ペデスタル304のウェハ支持面451に設けられている。パージリング480はペデスタル304と共に、ペデスタル304の頂部304Tに位置した垂直方向縁部481の回りにパージガスを流すように案内する。
【0039】
上側リング440,中間リング430及び下側リング420を備えるエッジリング組立体400は、洗浄及び保守を容易にするため簡単に取り外しできる。同エッジリング組立体は、上側リング440がパージリング480よりも低い温度に維持されるように設計されており、また、エッジリング構成要素への望ましくない堆積を最少にすることができる。
【0040】
ウェハ処理の間、チャンネル456からの第1のパージガスがチャンネル486を経由してスペース484に入る。このパージガスはその後、パージリング480にある多数の小孔485を経て、ペデスタル304の垂直方向縁部481近傍の別のスペース482に流入する。矢印491で示されたこの縁部パージ用の流れパターンは、ペデスタル304の垂直方向縁部481上,ウェハ390の裏側392上,及び上側リング440の下側部分443上への望ましくない成膜を防止するのに役立つ。
【0041】
矢印492で示された底部パージ用の流れは、エッジリング組立体400の上側リング440の細長い垂直部分460の周りを流れる第2のパージガスによって生成される。この底部パージ用ガス流は、チャンバ300の底部を貫いて図3に示されたガス配管306により導入され、エッジリング組立体400への不所望の成膜を最小にするのに役立つ。
【0042】
この二重のパージ能力のため、洗浄と洗浄との間の時間が延びると共に、マイクロアーチング(micro-arching)及び微粒子汚染を防止することにより、チャンバ特性が劇的に向上する結果となる。
【0043】
Ti / TiNプロセス
図5a〜図5eは、本発明の第1実施形態による集積回路デバイス製造の異なる段階における基板502の断面図を示している。一般に、基板502とは被膜処理が行なわれる任意の加工物を称しており、そして基板構造500は、この基板502と共に前記基板502上に形成された他の材料層を表わすのに用いられる。特に、図5a〜図5eは、コンタクトホール,トレンチ又はバイア構造の内部へのライナー/バリアスタックの形成について例示している。図5aは、基板502上に形成された上側の絶縁層503を示しており、前記絶縁層は、特にアルミニウム,シリコン,タングステン等から構成しうる。絶縁層503は、例えば、酸化物層のような誘電体である。コンタクトホール,トレンチ又はバイアに対応すると言って差し支えない開口505は、通常のリソグラフィック及びエッチング技術を使用して、下側の基板502の部分504が露出するように絶縁層503に形成される。その後、図5bに示すように、絶縁層503と基板502の露出した部分504との上に接着層もしくはライナー層506が形成される。通常、ライナー層506は、PVD又はCVDのような従来の成膜方法を使用して形成しうる、例えばTi,Ta,Wのような耐熱金属から構成しうる。例えば、Tiのライナー層506は、IMP PVD,平行(collimated)スパッタリング,或いはロングスロー(long throw)スパッタリングのような任意のPVD技術を用いて、図1のPVDチャンバ104において成膜されうる。ライナー層としてタンタル(Ta)を堆積させる場合は、特に高アスペクト比という特徴のため、IMP PVDプロセスが好適である。PVDで堆積した被膜はコンフォーカルではない性質があるため、バイア(開口)505の側壁505S上にある金属については、もしあっても、通常、殆どない。一般に、金属ライナー層506の厚さは、約5Å〜約1000Åの範囲にあり、好ましくは約100Åでよい。
【0044】
図5cは、例えばCVD技術を用いる金属ライナー層506上への次のバリア層508の形成について示している。バリア層508は、適切な前駆物質を用いて堆積される、TiN,窒化タンタル又は窒化タングステンのような金属窒化物から構成されうる。例えば、TiNからなる金属窒化物のバリア層508は、前駆物質としてのテトラキシジメチルアミノチタン(TDMAT)からTxZ或いはHP TxZ CVDチャンバにおいて堆積されうる。別法として、TiNは、TiCl4及びNH3間の反応のようなTiCl4をベースとした反応から堆積させてもよい。
【0045】
一実施形態において、金属窒化物のバリア層508は、例えば、約5Å〜約1000Åの範囲にあり、好ましくは約60Åである厚さd1まで堆積される。このように堆積した金属窒化物のバリア層508は、次いで、図5dに示すように、窒素含有プラズマ550に露出される。プラズマ550は、例えば、特にN2又はNH3である窒素含有ガスから発生させうる。代表的なプロセス条件には、約100〜3000sccm、好ましくは約100〜500sccm、最も好ましくは約200〜300sccmの範囲にあるN2流量;約1ミリトル〜25トル、好ましくは約1〜10トル、最も好ましくは約1〜6トルの範囲にある圧力;及び室温〜約1000℃の間の範囲、好ましくは約300〜500℃の範囲にある温度が含まれる。約10w〜約10000wの範囲,好ましくは約600〜2000wの範囲,最も好ましくは750wのプラズマ電力を使用しうる。しかし、プロセス条件は、使用されるプロセス室の種々の形式に備えて改質しうる。
【0046】
堆積したままのバリア層508は、中性もしくはイオン化,原子もしくは分子のような形で存在しうる異なる化学種のプラズマ550により改質される。特定の条件に左右されるが、プラズマ処理により、被膜密度,格子構造,被膜組成が変化することになる。従って、処理後の層509の厚さd1t(図5eに示す)は、堆積したままのバリア層508の厚さd1よりも薄いのが通例である。例えば、堆積したままのTiN層の厚さ60Åは、密度を高くすると、プラズマ処理後には厚さ20Åの層になりうる。
【0047】
図5dに戻り参照すると、本発明は、バリア層508の全厚さd1だけでなくその下側にあるライナー層506の頂部506Tを処理する。これは、例えば、プラズマ処理時間の延長,RF電力の増大等のような異なるプロセスパラメータの組合せを用いることにより行うことができる。かかる「過度の処理」後(その結果は図5eに示されている)、処理後のバリア層509は、不完全処理の層と比較して、例えば、密度,化学組成,格子構造等のその被膜特性がより均一になる。
【0048】
更に、金属窒化物のバリア層508が十分に薄いとき、即ち、プラズマ処理時間が十分に長いとき、界面515(堆積したままのライナー層506とバリア層508との間に形成される。図5d参照。)に隣接する下側の金属ライナー層506の頂部もしくは外側部分506Tもプラズマにより修正もしくは改質される。このことは、プラズマ550からの活性化学種の幾分かがバリア層508を貫通し下側の金属ライナー層506まで達するときに起こる。プラズマ電力及び圧力条件を変えることによって、金属ライナー層506の側壁部分も処理もしくは改質をすることができる。かかる改質には、被膜組成又は格子構造の改質が含まれ、次にこの改質は、被膜応力,抵抗率及び密度に影響することになる。
【0049】
例えば、図5eは、窒素含有プラズマによる過度の処理が残りの未処理金属ライナー層506上に薄い「窒化金属(nitrated metal)」層507を形成することになることを示している。これは、ニトロ化もしくは窒素スタッフィングとも呼ばれており、例えば、窒素がTiと結合するか、或いは窒素原子がTi結晶粒界間に押し込まれる。プラズマによる過度の処理の後、界面515を横断する組成もしくは構造上の不連続性が減少すると共に、バリア/ライナースタック530がより低い層間ひずみを示す。
【0050】
一般に、隣接する層506及び508間に適合するより好適な原子の格子構造は、層間ひずみを低減すると共に、層化の欠点或いは付着の問題を軽減する。例えば、PVDにより堆積した被膜(例えば、Tiライナー層506)はより規則的になり引張応力を有する傾向があるが、一方、CVDにより堆積した層(例えば、前駆物質であるTDMATからのTiNバリア層508)の中には、より非晶質もしくはアモルファスとなり圧縮応力を有する傾向がある。その後の処理中、異種材料層の熱膨張が異なるため、この層間ひずみは更に増大する。隣接する材料層の化学組成及び/又は微細構造を修正もしくは改質することによって、プラズマの過度処理の結果、バリア/ライナースタックが、強化された密着性,より強いバリアから中間層への拡散,及び次の処理中における化学的攻撃に対するより強い抵抗力のような改良された特性を有することになる。特に、バイア(開口)505の上角部505Cにおける被膜密着性が改善され、その結果として生ずるスタック530は、その後の金属堆積中や、化学機械研磨(CMP)及び化学洗浄のような堆積後の処理中における化学的攻撃に対して影響を受けにくくなり、そして被膜はく離のような層化の欠点を最小にすることができる。
【0051】
TiCl4/NH3の熱反応が金属窒化物バリア層508の堆積に使用される場合、堆積したままのTiN層は、100%非晶質である代わりに、微結晶性の構造を有していてよい。その場合、プラズマ処理により、結晶粒の成長が促進されると共に、結晶方位に変化の可能性がある。更に、処理後の窒化物層も低いシート抵抗と高い被膜密度のため良好なバリア特性を示す。
【0052】
別の実施形態において、窒素含有プラズマ550もまた、N2及びH2の混合物或いはNH3から発生されるような水素を含んでいる。例えば、このようなプラズマは、ある程度の不純物を含有する金属窒化物バリア層508の処理に有用である。TiN堆積のための前駆物質としてTDMATが使用される場合、堆積したままの金属窒化物バリア層508は、ある量の炭素及び水素不純物を含んでおり、時として窒化炭素チタン(TiCN)層と呼ばれる。堆積したままのTiCN層が炭素及び水素不純物の双方を含むプラズマに図5dに示すようにさらされると、炭素及び水素不純物は窒素に置換され、これがTiCN層508に導入される。プラズマからの水素は、炭素不純物と反応して揮発性炭化水素を形成し、これはTiCN層508から取り除かれる。このようにして、プラズマ処理の結果、改良した微細構造のため炭素含有量が少なく且つ密度の高い純化したTiN層509が得られる。プラズマ中の窒素の存在に主として依存するTiN層509の高密度化はまた、より化学式どおりの(stoichiometric)TiN層について一層容易に生起する。TiCl4/NH3反応からの堆積したままのTiN層508もまたプラズマ中の窒素の存在の恩恵を受けることに留意すべきである。その場合、堆積したままのTiN層508における塩素含有量は、水素との反応により低減させることができ、また、シート抵抗の減少も実現される。
【0053】
2/H2プラズマが使用される場合、N2は、約100〜3000 sccm,好ましくは約100〜500 sccm,最も好ましくは約200〜300 sccmの流量でチャンバに導入される。一方、H2は、約150〜4500sccm,好ましくは約150〜750 sccm,最も好ましくは約300〜450 sccmの流量で導入される。チャンバ圧力は、約1ミリトル〜25トル,好ましくは約1〜10トル,最も好ましくは約1〜約6トルの範囲に維持される。基板温度は、室温から約1000℃,好ましくは約300℃〜500℃の範囲とすることができる。しかし、特定の流量及び圧力条件は使用される種々のプロセス室もしくはチャンバについて変更してよい。
【0054】
或いは、Ti/TiNスタックの処理は、約0.1分〜1500分の持続時間にわたり約350〜1100℃の温度範囲で熱アニ−ルすることにより行ってもよい。
【0055】
一般に、プラズマ処理の効果は、未処理層の厚さ,処理時間及びプラズマ条件によって左右される。一定のプラズマ電力及び処理時間では、堆積被膜が薄ければ薄いほど、処理の効果は大きくなる。しかし、所定の被膜厚さについては、単に処理時間を長くすることは、必ずしも、最も有効なプロセスの選択ではないかもしれない。これは、2つの異なる被膜601及び603についてのシート抵抗(Rs)対プラズマ処理時間のグラフを示す図6を参照することにより、もっと良く理解できる。通常、被膜のシート抵抗は、同被膜の厚さ及び微細構造の双方により左右される。同じ厚さを有する2つに被膜については、より規則正しい微細構造はシート抵抗をより小さくする傾向がある。一方、被膜が同じ微細構造を有する場合には、薄い方の被膜は厚い方の被膜よりも大きなシート抵抗を有することになる。図6に示すように、シート抵抗Rsは、同じ微細構造を有するが厚さx及びyが異なる(xはyより小さい)2つの被膜601及び603についての処理時間が長くなるにつれて減少する。厚い方の被膜603については、処理時間tyは、被膜が飽和に達するのに必要な時間、即ち、そのシート抵抗がほぼ限界値Rsyに等しくなる時間であり、そして処理時間がtyを越えても、シート抵抗が更に減少することにはならない。他方、薄い方の被膜601(厚さx)を完全に処理するのに必要な処理時間tx、即ちそのシート抵抗の限界値Rsxに達するのに必要な時間はtyよりも短い。被膜飽和のための処理時間は被膜厚さに対して必ずしも直線的ではないので、本発明は、追加のサイクルについて堆積及び処理のステップを繰り返すことにより厚い被膜603を一層効率的に形成することができる方法を提供する。例えば、構成成分である薄い被膜601を最初に堆積させ本発明によりプラズマ処理する。その後、相対的に厚い構成部分である第2のTiN被膜を処理した被膜601上に堆積させて、複合被膜を形成する。第2の構成成分の被膜の完全なプラズマ処理は比較的に短時間で行うことができる。このようにして、最終所望厚さの合成被膜が好適な被膜特性を備えて製造されうる。かかる被膜特性は、単一ステップの堆積及び処理手法を使用していては容易に達成されない。
【0056】
図7a〜図7dは、追加のサイクルについて堆積及び処理のステップを繰り返すことにより合成バリア層を形成する別の実施形態を表わしている。図7aは、前述した第1のプラズマ処理後の基板構造700を例示する断面図である。金属窒化物から構成される第2のバリア層708は、その後、図7bに示すように、約5〜1000Å,好ましくは60Åの厚さd2まで処理後の層709上に堆積される。このバリア層708は層508に対して使用されるのとは異なるプロセス条件下で堆積させうるが、同じプロセス条件を使用するのが一般的である。しかる後、堆積したままの層708は、図7cに示すように、窒素含有プラズマ750にあてられる。第2のプラズマ処理は、第2のバリア層708の全厚さd2と第1のバリア層509の少なくとも頂部509Tとを確実に完全に処理するのに足る長い時間にわたり、行われる。図7dは、堆積したままの層708と比較して化学組成及び/又は格子構造が恐らく改質されている処理後のバリア層709を例示している。同様に、第1のバリア層509の頂部509Tは、第2の処理中に更に改質され、界面層710と表示しうる。このようにして、第1のバリア層509及び第2のバリア層709間の構造的な連続性が改善される。処理後のバリア層709及び509から構成される複合バリア層720は、プラズマ処理による被膜高密度化のため、厚さd1及びd2の合計よりも一般的に薄い厚さdfを有している。更に、堆積,リソグラフィ,エッチング,注入等の処理ステップが次いでICデバイスの製造を完了するため適宜行われる。
【0057】
図8a〜図8fは、金属窒化物の堆積前に金属層806がプラズマ処理される本発明の別の実施形態を例示している。図8aは、図5aに示したもののような基板構造500上に堆積されたこの金属層806を示している。例えばTi,Ta又はWである金属層806は、PVDチャンバにおいて金属ターゲットをスパッタリングすることで堆積させてもよいし、或いはCVD技術により形成してもよい。図8bは、特にN2又はNH3のような種々のガスを含みうる窒素含有プラズマ850にさらされている堆積されたままの金属層806を示している。処理中、プラズマ850からの活性化学種は、垂直の側壁を含め、金属層806の頂部もしくは外方部分806Tを衝撃する。このプラズマ工程の後、薄い金属窒化物の層807(即ち、窒化金属層)が図8cに示すように形成される。金属層806のプラズマ処理は、同金属層806の堆積のために使用した同じチャンバ内で行うことができる。プラズマは被膜処理もしくはアニ−ルの好ましい方法であるが、金属層806のニトロ化を容易にするため、熱アニ−ルも使用しうる。
【0058】
別の方法として、金属層806のプラズマ処理は、次の金属窒化物の堆積に使用されるチャンバ内で行ってもよい。この場合、基板を予め整えるという付加的な利点を得ることができる。一般に、被膜堆積プロセスは温度依存性であり、そして単一ウェハの処理チャンバは「第1ウェハ(first wafer)」効果を示す。即ち、このチャンバの温度は、1つのバッチにおける第1ウェハが処理されつつあるときに、安定しないことがある。このようなことで、第1ウェハ上に堆積した被膜は、その後から処理されるウェハと比較して、若干異なる厚さ、即ち均一性を有しうる。しかし、金属層806のプラズマ処理が後からの金属窒化物堆積で使用されるようなものと同じCVDチャンバにおいて行なわれる場合、第1ウェハを含め、同一バッチにおける各ウェハは、窒化物堆積の前に処理プラズマによってほぼ同一温度に加熱される。このようにウェハを予め調整することは、堆積した金属窒化物被膜のウェハ毎の再現性を改善するのに有効である。
【0059】
図8cは、窒化金属層807上に厚さt1まで堆積されている金属窒化物層808を例示しており、同金属窒化物層は金属層806と同じ耐熱性金属で構成するのが好ましい。この厚さt1は約5〜1000Åの範囲、好ましくは約60Åとしてよい。窒化金属層807は、層間接続体として作用すると共に、未処理の金属層806と窒化層808との間に改善された格子整合を提供する。ある場合には、例えば、金属窒化物層808がTiCl4及びNH3間の反応からTiN堆積されるとき、このTiN層808もまた、窒化金属層807により「シード(seed)」化されうる。即ち、窒化物層808は窒化金属層807のものと類似する好適な結晶方位を有しうる。
【0060】
図8dは、本発明に従ってプラズマ処理を受けつつある堆積したままの金属窒化物層808を示している。プラズマ852は、例えばN2又はNH3から構成される窒素含有プラズマでよい。更に、金属窒化物層808の全体及びその下側にある窒化金属界面層807の少なくとも頂部807Tは、それらの格子構造及び/又は化学組成が改質されるように処理される。プラズマ処理からの格子構造整合の強化により、バリア/ライナー特性の向上した金属窒化物/金属スタック830(図8eに図示され、改質後の窒化物層809と、処理後の窒化金属部分807Tと、窒化金属層807と、未処理の金属層806とから構成されている。)になる。典型的には、被膜高密度化のため、処理後の窒化物層809が有する厚さはt1よりも小さい。所望により、例えば、処理時間を延長するか及び/又はプラズマ条件を変えることにより、プラズマ処理ステップを行って、下側金属層806の一部(窒化金属層807の近く)を更に処理してもよい。
【0061】
特定の適用例に左右されるが、メタライゼイション計画の中で、窒化物/金属スタック830をバリア/ライナーとして使用してもよい。或いは、もっと厚い窒化物層が望まれれば、窒化物堆積及び処理ステップを追加のサイクルで繰り返して差し支えない。これは図8fに示されている。図8fにおいて、第2の窒化物層810は約5〜1000Åの範囲、好ましくは約60Åの厚さt2まで堆積されている。その後、堆積されたままの窒化物層810は、プラズマ852と同じでも異なっていてもよい窒素含有プラズマ854にさらされる。このプラズマ854は、第2の窒化物層810の全体及びその下側にある窒化物層809の頂部を処理するのに用いられる。この処理ステップの主な目的は、第2の窒化物層810及び第1の窒化物層809の間に改善された界面825を提供することである。所望最終厚さの複合窒化物層を生成するために追加の窒化物層を堆積させプラズマ処理してもよい。
【0062】
一般に、複合層の反復堆積及び処理により複合層を形成するプロセスは、「NxD」プロセスと表示することができる。ここで、Nは、堆積−処理サイクルの数であり、Dは、プラズマ処理後の構成成分層の厚さである。この解決策の利点の1つは、より薄い構成成分層がコンタクトホール505の側壁505Sに沿って堆積した部分も含めより完全な処理を可能にするので、より均一な特性を有する複合層が得られることである。
【0063】
HP TxZチャンバにおける「2x20」プロセス
特定の一実施形態において、約40Åの厚さを有する複合TiN被膜もしくは層は、HP TxZチャンバにおいて行われる「2x20」プロセスで下側のTi層上に形成される。このプロセスは、厚さ20ÅのTiNを形成する2つのサイクルを含んでいる。各サイクルは2つのステップを含み、第1のステップでTiNを備える約60Åの層を堆積させ、第2のステップでこの堆積した被膜をN2及び水素(H2)を含むプラズマ環境に露出させる。プラズマ処理の結果、代表的には約20Åの厚さと約1500オーム/平方のシート抵抗とを有する高密度化した被膜が得られる。前に説明した他の実施形態と同様に、この実施形態は、コンタクト及びバイアの段階に通常適用できる。
【0064】
表1は、TiNの堆積及び処理の代表的な2x20プロセス配合表における幾つかの重要なステップを例示している。
Figure 0004947840
適切なポンプ停止及びHP TxZチャンバ内のガス流安定化の後、例えば、以前に堆積した密着層を有するもののようなウェハがペデスタル上に保持される。通常、裏側圧力の制御が可能であるから、約1.5トルの圧力差がプロセス配合表全体を通じてウェハの表裏間に維持されている。ヒータ温度は、縁部パージガス及び底部パージガスがそれぞれ約1500sccm及び1000sccmで流れている状態で、通常、約365℃に設定される。
【0065】
また、不活性希釈剤(dilutant)及びキャリヤガスの流量も、ステップ#1に示された第1のバリア層の堆積前に設定される。特にN2,Ar,He及びH2のようなガスが希釈剤ガスとして使用するのに適しており、約1300sccmの総流量を使用しうる。TDMATは、約50℃の温度でHeであるキャリヤガスをTDMAT収容バブラー(bubbler)もしくはアンプル(ampoule)に通すことにより、チャンバ内に導入される。或いは別の方法として、TDMATの液体噴射を使用してもよい。ステップ#1の後、例えば約60ÅのTiN層がウェハ上に堆積する。
【0066】
堆積したままのTiN層のプラズマ処理は、それぞれ約300sccm及び450sccm の流量のN2及びH2を使用して、ステップ#2において行われる。チャンバ圧力は約1.3トルに維持され、一方、約750WのRF電力がシャワーヘッドに印加される。TiN層をN2/H2プラズマに約20秒露出した後、約20Åの最終TiN層が得られる。プラズマ処理ステップの間に加熱された水はステップ#3において冷却され、その間に、TDMATはチャンバに供給されない。第1及び第2のTiN堆積ステップの前に水をほぼ同じ温度に維持しておくことによって、第1及び第2のTiN層の厚さ再現性を保証することができる。
【0067】
第2のTiN層はその後、好ましくステップ#1と同じ条件下でステップ#4において堆積され、次いで第2のプラズマ処理ステップ#5が行われる。ステップ#5の後、約40Åの最終厚さを有するTiN層がウェハ上に形成され、そしてチャンバはウェハの取り出し前に例えばN2である不活性ガスでパージされる。
【0068】
本発明の種々の実施形態は、任意のコンタクト及びバイアの段階に適用可能であることに加えて、CVDのW,Al,Cuメタライゼイション計画において金属窒化物/金属スタックの形成に一般に適用しうる。本発明の金属窒化物/金属構造を形成する際、金属層は、特にシリコン,熱酸化物(thermal oxide)及びセラミックスを含め多種の異なる基板上に当該技術における通常の手段で形成されうる。更に、本発明のプロセスは、種々の材料からなる下側及び相互接続層の異なる組合せを有するパターン化された種々の基板に関して行うことができる。
【0069】
当該技術における習熟した当業者は、本発明のプロセスの基本的性質及び所望の被膜特性を保持しながら、プロセスパラメータ又は設備の選択を変える必要を理解するであろう。
【図面の簡単な説明】
【図1】本発明のプロセスを遂行するのに適する多重チャンバ装置の概略平面図である。
【図2】本発明のプロセスを遂行するのに適する化学気相堆積チャンバの概略断面図である。
【図3】本発明のプロセスを遂行するためパージ能力を備えた化学気相堆積チャンバの概略断面図である。
【図4】図3のチャンバ内にあるペデスタル周りの拡大部分断面図である。
【図5a】本発明の一実施形態による処理の各段階にある基板構造の概略部分断面図である。
【図5b】本発明の一実施形態による処理の各段階にある基板構造の概略部分断面図である。
【図5c】本発明の一実施形態による処理の各段階にある基板構造の概略部分断面図である。
【図5d】本発明の一実施形態による処理の各段階にある基板構造の概略部分断面図である。
【図5e】本発明の一実施形態による処理の各段階にある基板構造の概略部分断面図である。
【図6】異なる材料層についてのプラズマ処理時間の関数としてのシート抵抗の線図を表わしている。
【図7a】本発明の別の実施形態による複合金属窒化物/金属スタックの形成について説明する基板の概略部分断面図である。
【図7b】本発明の別の実施形態による複合金属窒化物/金属スタックの形成について説明する基板の概略部分断面図である。
【図7c】本発明の別の実施形態による複合金属窒化物/金属スタックの形成について説明する基板の概略部分断面図である。
【図7d】本発明の別の実施形態による複合金属窒化物/金属スタックの形成について説明する基板の概略部分断面図である。
【図8a】本発明の別の実施形態を例示する基板構造の概略部分断面図である。
【図8b】本発明の別の実施形態を例示する基板構造の概略部分断面図である。
【図8c】本発明の別の実施形態を例示する基板構造の概略部分断面図である。
【図8d】本発明の別の実施形態を例示する基板構造の概略部分断面図である。
【図8e】本発明の別の実施形態を例示する基板構造の概略部分断面図である。
【図8f】本発明の別の実施形態を例示する基板構造の概略部分断面図である。
【図9】本発明のプロセスを遂行するのに使用されるコンピュータプログラムの階層制御構造を示す概略ブロック図である。
【符号の説明】
102 CVDチャンバ(基板処理チャンバ)
104 PVDチャンバ(基板処理チャンバ)
118 制御ソフトウエア
200 TxZチャンバ(基板処理チャンバ)
290 基板
300 TxZチャンバ(基板処理チャンバ)
390 基板
502 基板
506 金属のライナー層
506T ライナー層の頂部
507 窒化金属層
508 金属窒化物のバリア層
509 処理後のバリア層
509T 処理後のバリア層の頂部
515 界面
550 窒素含有プラズマ(窒素含有環境)
708 第2のバリア層
750 窒素含有プラズマ(窒素含有環境)
806 金属層
806T 金属層の頂部
807 金属窒化物の層
810 第2の窒化物層
825 界面
850 窒素含有プラズマ(窒素含有環境)
852 窒素含有プラズマ(窒素含有環境)
854 窒素含有プラズマ(窒素含有環境)

Claims (16)

  1. 基板を処理する方法であって、
    (a)金属層上に窒化物層を堆積させて前記窒化物層及び前記金属層間に界面を形成するステップと、
    (b)窒素含有環境を提供するステップと、
    (c)前記窒化物層を前記窒素含有環境に露出することにより前記窒化物層と前記界面の下方にある前記金属層の一部とを改質するステップであって、前記金属層はチタン(Ti),タンタル(Ta)、タングステン(W)又はそれらの組み合わせを含み、前記窒化物層は窒化チタン,窒化タンタル、窒化タングステン又はそれらの組み合わせを含み、前記改質は被膜密度、格子構造又は被膜組成の変化を含む、ステップと、
    (d)前記ステップ(c)の後、前記改質後の窒化物層上に窒化物層を堆積して窒化物間の界面を形成するステップと、
    (e)前記ステップ(d)における前記堆積した窒化物層と前記ステップ(c)からの前記改質された窒化物層の一部とを、前記堆積した窒化物層を窒素含有環境に露出することにより改質するステップと、を含み、
    前記改質ステップ(e)は、前記ステップ(d)による前記窒化物間の界面全域における微細構造の不整合を減少させることを含む、方法。
  2. 基板を処理する方法であって、
    (a)金属層上に窒化物層を堆積させて前記窒化物層及び前記金属層間に界面を形成するステップと、
    (b)窒素含有プラズマを提供するステップと、
    (c)前記窒化物層を前記窒素含有プラズマに露出することにより、前記窒化物層と前記界面の下方にある前記金属層の一部とを改質するステップであって、前記金属層はチタン(Ti),タンタル(Ta)、タングステン(W)又はそれらの組み合わせを含み、前記窒化物層は窒化チタン,窒化タンタル、窒化タングステン又はそれらの組み合わせを含み、前記改質は被膜密度、格子構造又は被膜組成の変化を含む、ステップと、
    (d)前記ステップ(c)の後、前記改質後の窒化物層上に窒化物層を堆積して窒化物−窒化物間の界面を形成するステップと、
    (e)前記ステップ(d)における前記堆積した窒化物層と前記ステップ(c)からの前記改質された窒化物層の一部とを、前記堆積した窒化物層を窒素含有プラズマに露出することにより改質するステップと、を含み、
    前記改質ステップ(e)は、前記ステップ(d)による前記窒化物間の界面全域における微細構造の不整合を減少させることを含む、方法。
  3. 基板を処理する方法であって、
    (a)金属層上に第1の窒化物層を堆積させて前記第1の窒化物層及び前記金属層間に第1の界面を形成するステップであって、前記金属層はチタン(Ti),タンタル(Ta)、タングステン(W)又はそれらの組み合わせを含み、前記第1の窒化物層は窒化チタン,窒化タンタル、窒化タングステン又はそれらの組み合わせを含む、ステップと、
    (b)第1の窒素含有環境を提供するステップと、
    (c)前記第1の窒化物層を前記第1の窒素含有環境に露出することにより前記ステップ(a)の前記第1の窒化物層と前記ステップ(a)の前記金属層の一部とを改質し、窒素が前記第1の界面近傍にある前記金属層の前記一部に補給されるステップであって、前記改質は被膜密度、格子構造又は被膜組成の変化を含む、ステップと、
    (d)前記ステップ(c)の後、前記第1の窒化物層上に第2の窒化物層を堆積させて、前記第1の窒化物層及び前記第2の窒化物層間に第2の界面を形成するステップであって、前記第2の窒化物層は窒化チタン,窒化タンタル、窒化タングステン又はそれらの組み合わせを含む、ステップと、
    (e)第2の窒素含有環境を提供するステップと、
    (f)前記第2の窒化物層を前記第2の窒素含有環境に露出することにより前記第2の窒化物層と前記第2の界面近傍にある前記第1の窒化物層の一部とを改質するステップであって、前記改質は被膜密度、格子構造又は被膜組成の変化を含む、ステップと、
    を含む基板処理の方法。
  4. 前記改質ステップ(c)は、前記金属層及び前記第1の窒化物層間の前記第1の界面全域における微細構造の不整合を減少させることを含む、請求項3に記載の方法。
  5. 前記ステップ(a)の前記第1の窒化物層は炭素又は塩素を更に含み、前記改質ステップ(c)は前記第1の窒化物層から炭素又は塩素を減少させることを含む、請求項3に記載の方法。
  6. 前記ステップ(a)の前記金属層はTi,Ta又はWを含み、前記第1の窒化物層はTiN,TaN又はWNを含む、請求項3に記載の方法。
  7. 前記第1の窒素含有環境及び前記第2の窒素含有環境は水素を更に含む、請求項3に記載の方法。
  8. 前記改質ステップ(f)は、前記第1の窒化物層及び前記第2の窒化物層間に形成される前記第2の界面全域における微細構造の不整合を減少させることを含む、請求項3に記載の方法。
  9. 前記第1の窒素含有環境は第1のプラズマであり、前記第2の窒素含有環境は第2のプラズマである、請求項3に記載の方法。
  10. 基板を処理する方法であって、
    (a)基板上に金属層を形成するステップであって、前記金属層はチタン(Ti),タンタル(Ta)、タングステン(W)又はそれらの組み合わせを含む、ステップと、
    (b)前記金属層の頂部から窒化金属層を形成するため前記金属層を第1の窒素含有環境に露出するステップと、
    (c)前記窒化金属層上に窒化物層を堆積させて前記窒化物層及び前記窒化金属層間に界面を形成するステップであって、前記窒化物層は窒化チタン,窒化タンタル、窒化タングステン又はそれらの組み合わせを含む、ステップと、
    (d)前記ステップ(c)の前記窒化物層を第2の窒素含有環境に露出することにより前記窒化物層と前記第1の界面近傍にある前記窒化金属層の一部とを改質するステップであって、前記改質は被膜密度、格子構造又は被膜組成の変化を含む、ステップと、
    を含む基板処理の方法。
  11. 更に、
    (e)前記ステップ(d)の後、改質した後の前記窒化物層上に窒化物層を堆積して窒化物間の界面を形成するステップと、
    (f)窒素含有プラズマを形成するステップと、
    (g)前記窒化物間の界面を、前記ステップ(e)の前記堆積した窒化物層を前記ステップ(f)の前記窒素含有プラズマに露出することにより改質するステップと、
    を含む、請求項10に記載の方法。
  12. 前記改質ステップ(g)は、前記窒化物間の界面全域における微細構造の不整合を減少させることを含む、請求項11に記載の方法。
  13. ソフトウエアルーチンを含むコンピュータ読取り可能媒体であって、前記ソフトウエアルーチンが実行されるときに、汎用コンピュータにより基板処理チャンバを制御する際に、
    (a)金属層上に第1の窒化物層を堆積させて前記第1の窒化物層及び前記金属層間に第1の界面を形成するステップであって、前記金属層はチタン(Ti),タンタル(Ta)、タングステン(W)又はそれらの組み合わせを含み、前記第1の窒化物層は窒化チタン,窒化タンタル、窒化タングステン又はそれらの組み合わせを含む、ステップと、
    (b)第1の窒素含有環境を提供するステップと、
    (c)前記第1の窒化物層を前記第1の窒素含有環境に露出することにより前記ステップ(a)の前記第1の窒化物層と前記ステップ(a)の前記金属層の一部とを改質し、窒素が前記第1の界面近傍にある前記金属層の前記一部に補給されるステップであって、前記改質は被膜密度、格子構造又は被膜組成の変化を含む、ステップと、
    (d)前記ステップ(c)の後、前記第1の窒化物層上に第2の窒化物層を堆積させて、前記第1の窒化物層及び前記第2の窒化物層間に第2の界面を形成するステップであって、前記第2の窒化物層は窒化チタン,窒化タンタル、窒化タングステン又はそれらの組み合わせを含む、ステップと、
    (e)第2の窒素含有環境を提供するステップと、
    (f)前記第2の窒化物層を前記第2の窒素含有環境に露出することにより前記第2の窒化物層と前記第2の界面近傍にある前記第1の窒化物層の一部とを改質するステップであって、前記改質は被膜密度、格子構造又は被膜組成の変化を含む、ステップと、
    を含む方法を使用する、コンピュータ読取り可能媒体。
  14. 前記ステップ(a)の前記第1の窒化物層は炭素又は塩素を更に含み、前記改質ステップ(c)は前記第1の窒化物層から炭素又は塩素を減少させることを含む、請求項13に記載のコンピュータ読取り可能媒体。
  15. 前記方法の前記改質ステップ(c)及び(f)は、前記第1及び第2の界面全域における微細構造不整合を減少させることを含む、請求項13に記載のコンピュータ読取り可能媒体。
  16. 前記ステップ(a)の前記金属層はチタン(Ti),タンタル(Ta)又はタングステン(W)を含み、前記ステップ(a)の前記第1の窒化物層は前記金属層と同じ金属の窒化金属を含む、請求項13に記載のコンピュータ読取り可能媒体。
JP2001025866A 2000-02-01 2001-02-01 金属窒化物/金属スタックの処理 Expired - Lifetime JP4947840B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/495817 2000-02-01
US09/495,817 US6436819B1 (en) 2000-02-01 2000-02-01 Nitrogen treatment of a metal nitride/metal stack

Publications (2)

Publication Number Publication Date
JP2001257177A JP2001257177A (ja) 2001-09-21
JP4947840B2 true JP4947840B2 (ja) 2012-06-06

Family

ID=23970101

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001025866A Expired - Lifetime JP4947840B2 (ja) 2000-02-01 2001-02-01 金属窒化物/金属スタックの処理

Country Status (5)

Country Link
US (1) US6436819B1 (ja)
EP (1) EP1122775A3 (ja)
JP (1) JP4947840B2 (ja)
KR (1) KR100748371B1 (ja)
SG (1) SG94727A1 (ja)

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US20020106881A1 (en) * 2000-12-07 2002-08-08 Jain Manoj K. Prevention of contact failure by hydrogen treatment
JP2002217292A (ja) * 2001-01-23 2002-08-02 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6500761B1 (en) * 2001-10-24 2002-12-31 Tokyo Electron Limited Method for improving the adhesion and durability of CVD tantalum and tantalum nitride modulated films by plasma treatment
US6866255B2 (en) * 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
US6583507B1 (en) * 2002-04-26 2003-06-24 Bum Ki Moon Barrier for capacitor over plug structures
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7163721B2 (en) * 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
JP2004311545A (ja) * 2003-04-03 2004-11-04 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び高融点金属膜の堆積装置
US20050112876A1 (en) * 2003-11-26 2005-05-26 Chih-Ta Wu Method to form a robust TiCI4 based CVD TiN film
US20050133165A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Apparatus for the prevention of arcing in a CVD-TiN chamber
KR100597649B1 (ko) 2004-11-26 2006-07-05 삼성전자주식회사 베리어 메탈을 포함하는 반도체 디바이스의 제조방법 및그 구조
KR100645207B1 (ko) * 2005-02-23 2006-11-10 주식회사 하이닉스반도체 반도체 소자의 배선 형성 방법
US8039391B1 (en) * 2006-03-27 2011-10-18 Spansion Llc Method of forming a contact in a semiconductor device with engineered plasma treatment profile of barrier metal layer
WO2007116440A1 (ja) * 2006-03-30 2007-10-18 Fujitsu Limited 半導体装置の製造方法
US7575638B2 (en) * 2007-02-02 2009-08-18 Lam Research Corporation Apparatus for defining regions of process exclusion and process performance in a process chamber
TW200814156A (en) * 2006-07-21 2008-03-16 Toshiba Kk Method for manufacturing semiconductor device and semiconductor device
US7772014B2 (en) * 2007-08-28 2010-08-10 Texas Instruments Incorporated Semiconductor device having reduced single bit fails and a method of manufacture thereof
US20100196623A1 (en) * 2007-10-09 2010-08-05 Kazuyoshi Honda Film forming method and film forming apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8278139B2 (en) * 2009-09-25 2012-10-02 Applied Materials, Inc. Passivating glue layer to improve amorphous carbon to metal adhesion
JP5375497B2 (ja) * 2009-10-01 2013-12-25 トヨタ自動車株式会社 半導体装置、及び、半導体装置の製造方法
KR101725152B1 (ko) * 2010-11-11 2017-04-11 에스케이하이닉스 주식회사 반도체 소자의 금속 배선 형성 방법
KR20120122548A (ko) * 2011-04-29 2012-11-07 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5651616B2 (ja) * 2012-02-17 2015-01-14 株式会社東芝 磁気記録媒体、及びその製造方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9472502B1 (en) 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10134801B2 (en) 2015-11-30 2018-11-20 Taiwan Semiconductor Manufacturing Company Limited Method of forming deep trench isolation in radiation sensing substrate and image sensor device
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109196621B (zh) * 2016-06-01 2023-09-05 应用材料公司 用于3dnand应用的隧道氧化物的高压氨氮化
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11532548B2 (en) * 2020-02-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Nitrogen plasma treatment for improving interface between etch stop layer and copper interconnect
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63229814A (ja) 1987-03-19 1988-09-26 Nec Corp 半導体集積回路の製造方法
US5175126A (en) 1990-12-27 1992-12-29 Intel Corporation Process of making titanium nitride barrier layer
EP0514103A1 (en) * 1991-05-14 1992-11-19 STMicroelectronics, Inc. Barrier metal process for sub-micron contacts
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2803556B2 (ja) 1994-02-03 1998-09-24 日本電気株式会社 バリアメタル層の形成方法
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US20020033533A1 (en) * 1994-11-14 2002-03-21 Marvin Liao Interconnect structure for use in an integrated circuit
EP0711846A1 (en) * 1994-11-14 1996-05-15 Applied Materials, Inc. Titanium nitride deposited by chemical vapor deposition
US5712193A (en) 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
KR100218728B1 (ko) * 1995-11-01 1999-09-01 김영환 반도체 소자의 금속 배선 제조방법
JPH09246212A (ja) * 1996-03-07 1997-09-19 Sony Corp バリア層の形成方法、およびこれにより形成されたバリア層を有する半導体装置
US6093639A (en) * 1996-10-30 2000-07-25 United Microelectronics Corp. Process for making contact plug
US5989652A (en) 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
US6096645A (en) * 1997-07-24 2000-08-01 Mosel Vitelic, Inc. Method of making IC devices having stable CVD titanium nitride films
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6215186B1 (en) * 1998-01-12 2001-04-10 Texas Instruments Incorporated System and method of forming a tungstein plug
US6060389A (en) * 1998-05-11 2000-05-09 Advanced Micro Devices, Inc. Semiconductor fabrication employing a conformal layer of CVD deposited TiN at the periphery of an interconnect
JP2000195820A (ja) * 1998-12-25 2000-07-14 Sony Corp 金属窒化物膜の形成方法およびこれを用いた電子装置

Also Published As

Publication number Publication date
EP1122775A2 (en) 2001-08-08
SG94727A1 (en) 2003-03-18
JP2001257177A (ja) 2001-09-21
EP1122775A3 (en) 2001-08-16
KR20010078247A (ko) 2001-08-20
KR100748371B1 (ko) 2007-08-10
US6436819B1 (en) 2002-08-20

Similar Documents

Publication Publication Date Title
JP4947840B2 (ja) 金属窒化物/金属スタックの処理
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
TWI809712B (zh) 用於在基板上形成鈷層的方法
JP5376361B2 (ja) タングステン膜の製造方法および装置
US6555183B2 (en) Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
US8101521B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
KR101163277B1 (ko) 성막 방법 및 기판 처리 장치
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
US6455421B1 (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
US20050221000A1 (en) Method of forming a metal layer
KR100354797B1 (ko) 피처리체의표면에티탄막및배리어금속막을적층하여형성하는방법
JP2024029003A (ja) エッジエクスクルージョン制御
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US11270911B2 (en) Doping of metal barrier layers
US7189649B2 (en) Method of forming a material film
US20230070489A1 (en) Doped tantalum-containing barrier films
US20240006235A1 (en) Composite barrier layers
KR20240124971A (ko) 피처들 (features) 내 큰 입자 텅스텐 성장

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080131

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101115

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110519

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110819

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110824

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111027

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120123

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120306

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150316

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4947840

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term