KR20010040595A - 노광장치 및 기판수납 카세트의 위치결정장치 - Google Patents

노광장치 및 기판수납 카세트의 위치결정장치 Download PDF

Info

Publication number
KR20010040595A
KR20010040595A KR1020007008464A KR20007008464A KR20010040595A KR 20010040595 A KR20010040595 A KR 20010040595A KR 1020007008464 A KR1020007008464 A KR 1020007008464A KR 20007008464 A KR20007008464 A KR 20007008464A KR 20010040595 A KR20010040595 A KR 20010040595A
Authority
KR
South Korea
Prior art keywords
substrate storage
storage cassette
predetermined position
mounting table
cassette
Prior art date
Application number
KR1020007008464A
Other languages
English (en)
Other versions
KR100645272B1 (ko
Inventor
엔도유따까
따노노부요시
Original Assignee
가부시키가이샤 자오 니콘
오노 시게오
가부시키가이샤 니콘
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 자오 니콘, 오노 시게오, 가부시키가이샤 니콘 filed Critical 가부시키가이샤 자오 니콘
Publication of KR20010040595A publication Critical patent/KR20010040595A/ko
Application granted granted Critical
Publication of KR100645272B1 publication Critical patent/KR100645272B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Abstract

기판수납 카세트 (43) 를 설치대 (40) 상의 소정 위치로 위치결정하는 기판수납 카세트의 위치결정장치로서, 기판수납 카세트 (43) 를 소정 위치로 위치결정할 때, 기판수납 카세트 (43) 를 소정 위치로 안내하는 안내부 (59) 를 설치한다.

Description

노광장치 및 기판수납 카세트의 위치결정장치 {EXPOSURE SYSTEM AND APPARATUS FOR POSITIONING SUBSTRATE STORING CASSETTE}
일반적으로, 반도체소자를 제조하기 위한 포토리소그래피 공정에서 사용되고 있는 노광장치에서는, 포토마스크 또는 레티클의 패턴을 효율적으로 웨이퍼카세트에 수납된 1 로트의 웨이퍼 상에 노광하기 위해, 웨이퍼카세트에 대한 웨이퍼의 반입 및 반출을 행하기 위한 웨이퍼로더계가 구비되어 있다.
또, 노광장치에는, 다수의 레티클을 선택하여 노광장치에 설정하기 위한 레티클로더계도 구비되어 있다.
이러한 종류의 로더계는, 웨이퍼가 복수 수납된 웨이퍼카세트로부터 로봇암 등에 의해 웨이퍼를 꺼내어 웨이퍼스테이지 상에 반송함과 동시에, 이 웨이퍼스테이지로부터 웨이퍼카세트로 반송하는 것이다.
그리고, 상기 웨이퍼카세트는, 로봇이 웨이퍼를 정확하게 꺼낼 수 있도록 로봇에 대해 위치매칭된 설치대 상의 소정 위치로 위치결정된 상태에서 설치된다.
한편, 근래 웨이퍼의 대구경화 (예를 들면 직경 300 nm) 가 진행됨에 따라 이 웨이퍼에 대응하는 웨이퍼카세트도 여러 종류가 개발되어 제공되고 있다.
그리고, 이러한 종류의 웨이퍼카세트에서의 설치대로의 위치결정방법의 하나로서, 설치대에 설치할 때의 이동탑재 정밀도가 러프하더라도, 설치대로의 위치결정이 고정밀도로 행해지도록 키네마틱커플링의 방법이 반도체 제조관련 메이커 사이에서 표준으로 채용되고 있다.
도 10 에 키네마틱커플링에 의해 위치결정되는 웨이퍼카세트 및 설치대의 일례를 나타낸다.
이 도에 나타낸 바와 같이, 웨이퍼카세트 (1) 의 바닥면에는, 하측을 향해 홈의 간격이 넓어지는 V 홈을 갖는 홈부재 (2) 가 120。 간격으로 방사선상으로 세군데 설치되어 있다.
그리고, 설치대 (3) 에는, 홈부재 (2) 의 V 홈에 걸어맞추는 키네마틱커플링핀 (4) 이 V 홈에 대응하여 대향배치되어 있다.
상기 구성의 설치대 (3) 에 대해, 웨이퍼카세트 (1) 를 V 홈과 키네마틱커플링핀 (4) 이 걸어맞춰지도록 상측부터 설치함으로써, 웨이퍼카세트 (1) 가 설치대 (3) 상의 소정 위치로 위치결정된다.
그러나, 상술한 바와 같은 종래의 노광장치에는 다음과 같은 문제가 존재한다.
작업자가 웨이퍼카세트 (1) 를 설치대 (3) 에 설치할 때에는, 상측에서 보면 홈부재 (2) 및 키네마틱커플링핀 (4) 이 웨이퍼카세트 (1) 에 의해 숨겨져 버리기 때문에, 바로 옆에서 홈부재 (2) 및 키네마틱커플링핀 (4) 의 위치관계를 확인할 필요가 있어 많은 작업시간이 소요되었다.
또, V 홈이 키네마틱커플링핀 (4) 에 대해 허용될 수 있는 위치어긋남에는 한계가 있으나, 이 한계를 넘는 경우에 있어서도 웨이퍼카세트 (1) 를 설치대 (3) 에 설치할 수는 있다.
이 경우, 웨이퍼카세트 (1) 는 설치대 (3) 에 대해 소정 위치로 위치결정되지 않은 상태에서 설치되어버리기 때문에, 로봇암이 웨이퍼를 꺼내러 갈 때에 웨이퍼에 간섭하게 됨으로써, 암이나 웨이퍼 또는 양쪽이 파손되어 버리거나, 때로는 로봇 본체까지 파손되어 버리는 문제가 있었다.
본 발명은, 이상과 같은 점을 고려하여 이루어진 것으로, 웨이퍼카세트의 설치에 관한 작업시간을 단축할 수 있음과 동시에, 웨이퍼카세트를 확실하게 소정 위치로 위치결정함으로써, 각 부의 파손을 회피하여 그 신뢰성을 향상시키는 노광장치, 기판수납 카세트의 위치결정장치, 및 기판수납 카세트의 반송방법을 제공하는 것을 목적으로 한다.
발명의 개시
상기 목적을 달성하기 위해 본 발명은 실시형태를 나타내는 도 1 내지 도 6 에 대응시킨 다음과 같은 구성을 채용하고 있다.
제 1 항에 기재된 기판수납 카세트의 위치결정장치는, 기판수납 카세트 (43)를 설치대 (40, 41) 상의 소정 위치로 위치결정하는 기판수납 카세트의 위치결정장치로서, 기판수납 카세트 (43) 를 소정 위치로 위치결정할 때, 기판수납 카세트 (43) 를 소정 위치로 안내하는 안내부 (59) 를 설치한 것이다.
따라서, 본 발명의 기판수납 카세트의 위치결정장치는, 기판수납 카세트 (43) 를 설치대 (40, 41) 상에 설치할 때에, 기판수납 카세트 (43) 가 위치결정되는 소정 위치로 안내부 (59) 에 의해 안내된다.
제 2 항에 기재된 기판수납 카세트의 위치결정장치는, 제 1 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 기판수납 카세트 (43) 의 바닥면 (51) 에 위치결정용의 오목부 (52) 가 형성되고, 설치대 (40, 41) 상에 기판수납 카세트 (43) 의 오목부 (52) 에 걸어맞춤이 자유로운 볼록부 (57) 가 형성된 것이다.
따라서, 본 발명의 기판수납 카세트의 위치결정장치는, 기판수납 카세트 (43) 의 바닥면 (51) 에 형성된 오목부 (52) 와 설치대 (40, 41) 상에 형성된 볼록부 (57) 를 걸어맞춤으로써, 기판수납 카세트 (43) 가 설치대 (40, 41) 상의 소정 위치로 위치결정된다.
제 3 항에 기재된 기판수납 카세트의 위치결정장치는, 제 1 항 또는 제 2 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 기판수납 카세트 (43) 가 설치대 (40, 41) 의 상측에서부터 소정 위치로 위치결정되는 구성으로 되고, 설치대 (40, 41) 는 기판수납 카세트 (43) 를 소정 위치에서 지지하는 지지부재 (55) 를 갖고, 안내부 (59) 는 지지부재 (55) 에 형성된 경사면으로 이루어지며, 기판수납 카세트 (43) 는 기판수납 카세트 (43) 의 바닥면 가장자리부가 경사면에 맞닿아 소정 위치로 안내되는 것을 특징으로 하는 것이다.
따라서, 본 발명의 기판수납 카세트의 위치결정장치에서는, 기판수납 카세트 (43) 를 설치대 (40, 41) 상측에서 설치할 때, 기판수납 카세트 (43) 의 바닥면 가장자리부가 지지부재 (55) 의 안내부 (59) 인 경사면에 맞닿아 소정 위치로 안내됨과 동시에, 이 소정 위치에서 지지부재 (55) 에 의해 지지된다.
제 4 항에 기재된 본 발명의 기판수납 카세트의 위치결정장치는, 제 1 항 또는 제 2 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 기판수납 카세트 (43) 가 설치대 (40, 41) 의 상측에서부터 소정 위치로 위치결정되는 구성으로 되고, 설치대 (40, 41) 는 기판수납 카세트 (43) 를 소정 위치에서 지지하는 지지부재 (55) 를 가지며, 안내부 (59) 는 기판수납 카세트 (43) 의 바닥면 가장자리부에 형성된 경사면으로 이루어지고, 기판수납 카세트 (43) 는 기판수납 카세트 (43) 에 형성된 경사면이 지지부재 (55) 에 맞닿아 소정 위치로 안내되는 것을 특징으로 하는 것이다.
따라서, 본 발명의 기판수납 카세트의 위치결정장치에서는, 기판수납 카세트 (43) 를 설치대 (40, 41) 의 상측부터 설치할 때, 기판수납 카세트 (43) 의 바닥면 가장자리부에 형성된 경사면이 지지부재 (55) 에 맞닿아 소정 위치로 안내됨과 동시에, 이 소정 위치에서 지지부재 (55) 에 의해 지지된다.
제 5 항에 기재된 기판수납 카세트의 위치결정장치는, 제 3 항 또는 제 4 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 지지부재 (55) 근방에 설치대 (40, 41) 상의 먼지와 티끌를 흡인하는 흡인기구 (61) 를 갖춘 것을 특징으로 하는 것이다.
따라서, 본 발명의 기판수납 카세트의 위치결정장치에서는, 기판수납 카세트 (43) 를 설치대 (40, 41) 상에 설치할 때, 기판수납 카세트 (43) 가 안내부 (59) 에 맞닿아 먼지와 티끌가 발생하여도, 이 근방에 설치된 흡인기구 (61) 에 의해 그 먼지와 티끌를 흡인하여 배제할 수 있다.
제 6 항에 기재된 기판수납 카세트의 위치결정장치는, 제 1 항 내지 제 5 항 중 어느 한 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 기판수납 카세트 (43) 의 바닥면 가장자리부 또는 안내부 (59) 에는, 기판수납 카세트 (43) 가 안내부 (59) 에 맞닿을 때의 충격을 완화하는 충격흡수부재가 설치되어 있는 것을 특징으로 하는 것이다.
따라서, 본 발명의 기판수납 카세트의 위치결정장치는, 기판수납 카세트 (43) 를 설치대 (40, 41) 상에 설치할 때에, 기판수납 카세트 (43) 가 안내부 (59) 에 맞닿아도 충격흡수부재에 의해 맞닿을 때의 충격이 완화되기 때문에, 맞닿음에 의해 발생하는 먼지와 티끌를 감소시킬 수 있다.
제 7 항에 기재된 본 발명의 기판수납 카세트의 위치결정장치는, 제 1 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 안내부 (59) 가 설치대 또는 기판수납 카세트 중 적어도 어느 한 쪽에 설치되는 것을 특징으로 하는 것이다.
제 8 항에 기재된 본 발명의 기판수납 카세트의 위치결정장치는, 제 7 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 안내부 (59) 가 설치대에 설치된 설치부재의 경사면인 것을 특징으로 하는 것이다.
제 9 항에 기재된 기판수납 카세트의 위치결정장치는, 제 8 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 안내부 (59) 가 기판수납 카세트에 형성된 경사면인 것을 특징으로 하는 것이다.
제 10 항에 기재된 기판수납 카세트의 위치결정장치는, 제 1 항에 기재된 기판수납 카세트의 위치결정장치에 있어서, 소정 위치에서의 위치결정이, 기판수납 카세트에 설치된 제 1 걸어맞춤 부재 (53) 와, 탑재대 상에 설치되고 제 1 걸어맞춤 부재와 걸어맞춤하는 제 2 걸어맞춤 부재 (54) 와의 걸어맞춤에 의해 행해지는 것을 특징으로 하는 것이다.
제 11 항에 기재된 노광장치는, 조명계로부터의 광에 의해 투영광학계 (24) 를 통해 마스크 (25) 의 패턴 이미지를 기판 (22) 에 전사하는 노광장치에 있어서, 기판 (22) 을 수납하는 기판수납 카세트 (43) 를 설치대 (40, 41) 상의 소정 위치로 위치결정하는 제 1 항 내지 제 10 항 중 어느 한 항에 기재된 기판수납 카세트 (43) 의 위치결정장치 (42) 를 갖춘 것이다.
따라서, 본 발명의 노광장치에서는, 기판수납 카세트 (43) 를 설치대 (40, 41) 상에 설치할 때에, 제 1 항 내지 제 10 항 중 어느 한 항에 기재된 위치결정장치 (42) 에 의해 기판수납 카세트 (43) 가 설치대 (40, 41) 상의 위치결정되는 소정 위치로 안내된다.
제 12 항에 기재된 기판수납 카세트 (43) 를 설치대 (40, 41) 상의 소정 위치로 반송하는 기판수납 카세트의 반송방법에서는, 기판수납 카세트 (43) 의 외주면과 설치대 상에 설치된 부착부재를 접촉시키고, 기판수납 카세트 (43) 를 소정 위치까지 이동시키는 것을 특징으로 하는 것이다.
본 발명은, 예를 들면 반도체소자 제조공정에서 사용되는 노광장치 및 이 노광장치에서 노광되는 기판을 수납하는 기판수납 카세트의 위치결정장치에 관한 것으로, 특히 설치대에 위치결정된 기판수납 카세트에 대해, 로더계에 의해 기판을 반출 및 반입하는 노광장치 및 기판수납 카세트의 위치결정장치, 또는 기판수납 카세트의 반송방법에 관한 것이다.
도 1 은 본 발명의 실시형태를 나타내는 도로서, 위치결정장치에 의해 위치결정되는 웨이퍼카세트와 설치대의 외관 사시도이다.
도 2 는 본 발명의 실시형태를 나타내는 도로서, 웨이퍼카세트의 위치결정장치를 갖춘 노광장치의 평면 단면도이다.
도 3 은 도 2 에서의 A-A 선에서 본 단면도이다.
도 4 는 본 발명의 실시형태를 나타내는 도로서, 홈부재의 V 홈과 키네마틱커플링핀의 구면부가 걸어맞춤되는 정면도이다.
도 5 는 본 발명의 실시형태를 나타내는 도로서, 설치대에 가이드부재 및 먼지티끌 제거장치가 설치되는 단면도이다.
도 6 은, 본 발명의 실시형태를 나타내는 도로서, (a) 는 설치대 상에 키네마틱커플링핀이 120。 간격으로 방사선상으로 뻗어있도록 설치되어 있는 평면도, (b) 는 웨이퍼카세트가 설치대에 설치된 모습을 나타내는 정면도이다.
도 7 은, 본 발명의 실시형태를 나타내는 도로서, 웨이퍼카세트를 설치대 상에 위치결정할 때의 동작도이다.
도 8 은, 본 발명의 실시형태를 나타내는 도로서, 웨이퍼카세트를 설치대 상에 위치결정할 때의 동작도이다.
도 9 는, 본 발명의 실시형태를 나타내는 도로서, 설치대 상에 가이드부재가 3 군데 설치된 외관 사시도이다.
도 10 은, 종래 기술에 의해 설치대 상의 소정 위치로 위치결정되는 웨이퍼카세트의 외관 사시도이다.
다음에서, 본 발명의 노광장치 및 기판수납 카세트의 위치결정장치의 제 1 실시형태를 도 1 내지 도 6 을 참조하여 설명한다.
도 2 는, 노광장치의 평면 단면도이고, 도 3 은 도 2 에서의 A-A 선에서 본 단면도로서, 이들 도에서 부호 (5) 는 노광장치이다.
노광장치 (5) 는, 서로 독립하는 독립챔버 (6,7,8) 를 순차적으로 나열한 구성으로 되어 있고, 도 3 에 나타낸 바와 같이, 독립챔버 (8) 는 구획판 (9) 에 의해 하부챔버 (8A) 와 상부챔버 (8B) 로 분리되어 있다.
독립챔버 (6) 내에는 공조장치 (10) 가 설치되어 있으며, 이 공조장치 (10) 의 내부에는 공기를 온도조정하는 공조유닛 (도시생략) 이 배치되어 있다.
또, 공조장치 (10) 는, 공조유닛에서 온도조정된 공기를, 독립챔버 (7) 의 천정에 설치된 먼지티끌제거용 필터 (11) 를 통해 이 독립챔버 (7) 내로 다운플로우시킴과 동시에, 독립챔버 (7) 의 바닥에 설치된 리턴 (12) 에서 공조유닛으로 되돌리는 구성으로 되어 있다.
또, 공조장치 (10) 는, 하부챔버 (8A), 상부챔버 (8B) 에 있어서도, 각각의 천정에 설치된 필터 (13, 14) 를 통해 각 챔버 (8A, 8B) 안으로 다운플로우시킴과 동시에, 각 챔버 (8A, 8B) 의 바닥에 설치된 리턴 (15, 16) 에서 공조유닛으로 되돌리는 구성으로 되어 있다.
한편, 독립챔버 (7) 내에는 노광장치 본체 (17) 가 설치되어 있다.
노광장치 본체 (17) 는, 대략적으로 독립챔버 (7) 의 바닥 위에 진동방지패드 (18, 19) 를 통해 설치된 진동방지대 (20) 와, 이 진동방지대 (20) 상에 설치되고 노광시에 포토레지스트가 도포된 웨이퍼 (기판: 22) 가 로드되는 웨이퍼스테이지 (21) 와, 진동방지대 (20) 상에 입설된 칼럼 (23) 과, 이 칼럼 (23) 의 중간단에 고정된 투영광학계 (24) 와, 칼럼 (23) 의 상단부에 설치되고 레티클 (마스크: 25) 이 탑재되는 레티클홀더 (도시생략) 와, 조명계 (도시생략) 로 개략 구성되어 있다.
도 2 에 나타낸 바와 같이, 웨이퍼스테이지 (21) 는, 베이스 (26), Y 스테이지 (27), X 스테이지 (28) 및 웨이퍼홀더 (29) 등으로 구성되어 있고, 웨이퍼홀더 (29) 상에는, 노광대상인 웨이퍼 (22) 가 진공흡착에 의해 지지되는 구성으로 되어 있다.
웨이퍼 (22) 의 외주에는 오리엔테이션플랫 (또는 노치) 으로 불리는 절단부가 형성되어 있고, 웨이퍼 (22) 는 이 절단부가 소정 방향으로 향하도록, 그리고 웨이퍼 (22) 의 중심이 웨이퍼홀더 (29) 에 대해 소정의 위치관계가 되도록 이 웨이퍼홀더 (29) 상에서 웨이퍼로더계 (30) 에 의해 로드되는 설정으로 되어 있다.
웨이퍼로더계 (30) 는 독립챔버 (8) 의 하부챔버 (8A) 내에 설치되어 있고, X 방향으로 뻗어있는 횡슬라이더 본체 (31) 및 Y 방향으로 뻗어있는 종슬라이더 본체 (32) 에 의해 가이드부가 구성되어 있다.
횡슬라이더 본체 (31) 상에는, X 방향으로 슬라이딩이 자유로운 로봇밴드 (33) 가 배치되어 있다.
로봇밴드 (33) 는 횡슬라이더 본체 (31) 를 따라 X 방향으로 이동하는 X 축 이동부 (34) 와, 이 X 축 이동부 (34) 상에서 XY 평면에 수직인 Z 방향으로 신축이 자유로운 Z 축 이동부 (35) 와, 이 Z 축 이동부 (35) 의 중심을 축으로 하여 회전하는 θ축 회전부 (36) 와, 이 θ축 회전부 (36) 의 선단에 회전이 자유롭게 설치된 R 축 회전부 (37) 와, 이 R 축 회전부 (37) 의 선단에 회전이 자유롭게 설치된 밴드부 (38) 로 구성되어 있고, 밴드부 (38) 의 선단부에는 진공흡착부 (39) 가 설치되어 있다.
밴드부 (38) 는 θ축 회전부 (36) 가 회전했을 때에 θ방향으로 회전이 자유로우며, R 축 회전부 (37) 및 밴드부 (38) 의 회전각을 조합함으로써 이 밴드부 (38) 의 중심에서 반경방향 (R 방향) 으로의 위치를 조정 가능한 구성으로 되어 있다.
또, 횡슬라이더 본체 (31) 의 측에는, 로봇밴드 (33) 에 대해 소정의 위치관계로 설정된 설치대 (40, 41) 가 배치되어 있다.
그리고, 이들 설치대 (40, 41) 상에는, 위치결정장치 (42) 에 의해 설치대 (40, 41) 의 소정 위치로 위치결정되는 웨이퍼카세트 (기판수납 카세트: 43) 가 탑재되는 구성으로 되어 있다.
또, 설치대 (40, 41) 상의 위치결정장치 (42) 는 동일구성이기 때문에 설치대 (40) 의 위치결정장치 (42) 에 대해서 설명한다.
도 1 에 나타낸 바와 같이, 웨이퍼카세트 (43) 는, 천벽부(天壁部: 44) 와, 이 천벽부 (44) 에 대향배치된 저벽부 (45) 와, 이들 천벽부 (44), 저벽부 (45) 사이에 형성된 측벽부 (46) 로 구성되어 있다. 측벽부 (46) 의 일단부에 천벽부 (44) 가 형성되어 있고, 측벽부 (46) 의 타단부에는 저벽부 (45) 가 형성되는 구성이다.
각 측벽부 (46) 에는, 웨이퍼 (22) 를 수평상태에서 13 장 수납하는 슬롯 (47) 이 쌍으로 형성되어 있다.
또, 측벽부 (46) 의 일단부에는 도시를 생략한 이동탑재 로봇에 단단히 쥐여진 고정 플랜지 (48) 가 형성되고, 측벽부 (46) 의 일단부와 타단부의 사이에는 이동탑재 로봇에 단단히 쥐여진 사이드그립피트 (49) 가 형성되어 있다.
저벽부 (45) 의 타단부에는 이동탑재 로봇의 포크가 삽입되는 포크슬롯 (50) 이 형성되어 있다.
이 포크슬롯 (50) 은 웨이퍼 (22) 가 로드되는 방향을 따라 뻗어나가도록 형성되어 있다.
이렇게 구성된 웨이퍼카세트 (43) 를 이동탑재 로봇으로 지시할 때, 웨이퍼카세트 (43) 의 포크슬롯 (50) 에 이동탑재 로봇의 포크를 삽입함과 동시에, 고정 플랜지 (48) 및 사이드그립피트 (49) 에 이동탑재 로봇의 고정 암을 걸어맞춘다. 이렇게 해서, 웨이퍼카세트 (43) 를 이동탑재 로봇이 설치대 (40, 41) 에 대해 반출 또는 반입을 행한다.
위치결정장치 (42) 는, 웨이퍼카세트 (43) 의 저벽부 (45) 의 바닥면 가장자리부 (51) 에 형성된 홈부재 (53: 제 1 걸어맞춤 부재) 와, 설치대 (40, 41) 에 설치된 키네마틱커플링핀 (54: 제 2 걸어맞춤 부재) 과, 설치대 (40, 41) 상에 설치된 웨이퍼카세트 (43) 를 소정 위치로 안내하는 안내부가 형성됨과 동시에, 이 위치에서 웨이퍼카세트 (43) 를 지지하는 지지부재 (55) 로 구성되어 있다.
도 4 에 나타낸 바와 같이, 홈부재 (53) 는 하측을 향해 홈의 간격이 넓어지는 V 홈 (오목부: 52) 을 갖는 것으로, 도 6(a) 에 나타낸 바와 같이 V 홈 (52) 이 120。 간격으로 방사선상으로 뻗어나가도록 3 군데에 형성되어 있다. 또, 이 V 홈 (52) 은 90。 각도로 넓어지고 있다.
또, 웨이퍼카세트 (43) 의 외형, 특히 지지부재 (55) 에 지지되는 부분은 곡률 (원호면) 을 가지고 있고, 이 곡률에 대응하여 지지부재 (55) 의 지지면 (58) 도 곡률을 가지고 있다 (도 6 참조). 즉, 지지부재 (55) 의 지지면 (58) 은 웨이퍼카세트의 외경에 대응한 형상을 갖는다.
도 4 에 나타낸 바와 같이, 키네마틱커플링핀 (54) 은, 설치대 (40) 의 상측으로 돌출하는 직경 12 mm 정도의 축부 (56) 와, 축부 (56) 의 선단에 형성되고 홈부재 (53) 의 V 홈 (52) 에 걸어맞춤이 자유로운 반경 15 mm 정도의 구면부 (볼록부: 57) 로 구성되어 있으며, V 홈 (52) 에 대응하도록 3 군데 배치되어 있다 (도 6 참조).
또, 이들 V 홈 (52) 과 구면부 (57) 는 서로 걸어맞춤했을 때, 홈부재 (53) 가 설치대 (40, 41) 에 대해 2 mm 정도 이간하는 구성으로 되어 있다.
지지부재 (55) 는, 웨이퍼카세트 (43) 가 소정 위치로 위치결정되었을 때에 웨이퍼카세트 (43) 의 지지를 써포트하는 것으로, 경사면 (59) 은 웨이퍼카세트 (43) 를 설치대 (40, 41) 상측에서 소정 위치로 위치결정할 때, 웨이퍼카세트 (43) 에 맞닿아 이 소정 위치로 안내하는 것이다.
여기서 소정 위치란, 웨이퍼카세트 (43) 의 바닥면 가장자리부에 형성된 홈부재 (53) 의 V 홈과, 설치대 (40, 41) 에 설치된 키네마틱커플링핀 (54) 이 서로 걸어맞춤하는 위치이다.
또, 지지부재 (55) 는, 키네마틱커플링핀 (54) 과, 웨이퍼카세트 (43) 의 조합에 의해 위치결정을 써포트하고, 웨이퍼카세트 (43) 를 지지하는 구성으로 했으나, 지지부재 (55) 의 지지면 (58) 이 본 발명에 있어서 반드시 필수의 요건은 아니다. 즉, 지지부재 (55) 는, 경사면 (안내면) 이 형성되어 있을 만큼의 구성이면 된다. 따라서, 경사면이 형성되어 있을 만큼의 지지부재는, 단지 설치대 (40, 41) 에 부착된 부착부재에 해당한다.
지지부재 (55) 는 설치대 (40, 41) 에 대해 웨이퍼 (22) 를 로드하는 측과 반대측의 양단 2 군데에 배치되어 있고, 도 6(a) 에 나타낸 바와 같이 웨이퍼카세트 (43) 의 측면에 접촉 또는 수 mm 정도 이간하여 대향하는 지지면 (58) 과, 웨이퍼카세트 (43) 를 소정 위치로 위치결정할 때에 웨이퍼카세트 (43) 를 이 소정 위치로 안내하는 경사면 (안내부; 59) 이 형성되어 있다. 이 경사면 (59) 은, 웨이퍼카세트 (43) 를 소정 위치로 안내하는 안내면이라고도 하며, 웨이퍼카세트 (43) 를 소정 위치로 인도하도록 설치대 (40) 의 중앙부를 향하고 있는 내벽이 상기 상측을 향해 넓어지도록 기울어져 있다.
또, 도 5 에 나타낸 바와 같이, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 에는, 상기 경사면 (59) 에 맞닿았을 때 발생하는 응력집중을 완화하는 응력완화면 (60) 이 형성되어 있다.
이 응력완화면으로는, 바닥면 가장자리부 (51) 의 각을 떼어 형성된 테이퍼면일 수도 있고, 또 각을 둥글게 하여 원호면으로 할 수도 있다.
한편, 지지부재 (55) 의 근방에는, 상기 웨이퍼카세트 (43) 와 지지부재 (55) 의 접촉에 의해 발생하는 먼지와 티끌를 흡인하여 제거하는 먼지티끌 제거장치 (흡인기구: 61) 가 설치되어 있다.
먼지티끌 제거장치(61) 는, 대략, 설치대 (40) 의 지지부재 (55) 근방에 개구하는 흡인관 (62) 과, 이 흡인관 (62) 안을 음압흡인하는 음압흡인원 (63) 으로 개략 구성되어 있다.
다시 도 2 로 되돌아가서, 횡슬라이더 본체 (31) 의 측에는 웨이퍼 (22) 를 일시적으로 탑재하기 위한 가탑재대 (64, 65) 가 설치되어 있고, 이 가탑재대 (64, 65) 상에는 웨이퍼탑재용 핀이 복수개 설치되어 있다.
또, 설치대 (40, 41) 및 가탑재대 (64, 65) 근방의 독립챔버 (8) 의 측면에는, 각각 외부로부터 웨이퍼카세트 (43) 등을 교환하기 위한 개구 (66, 67, 68) 가 형성되어 있다.
한편, 종슬라이더 본체 (32) 는 독립챔버 (7) 의 측면 개구 (69) 및 독립챔버 (8) 의 하부챔버 (8A) 의 측면 개구 (70) 를 통해 독립챔버 (7) 안에 돌출되어 있다.
종슬라이더 본체 (32) 의 측면에는, 길이방향으로 슬라이딩이 자유롭도록 평면에서 보아 U 자형상의 슬라이더 (71, 72) 가 형성되어 있다.
슬라이더 (71, 72) 는 각각 진공 흡착에 의해 웨이퍼 (22) 를 지지가능한 구성으로, 독립챔버 (7) 안과 하부챔버 (8A) 안의 사이를 독립적으로 이동가능하게 되어 있다.
또, 슬라이더 (71, 72) 의 하측, 그리고 횡슬라이더 본체 (31) 의 측에는 상하 움직임이 가능한 턴테이블 (73) 이 배치되어 있다.
한편, 독립챔버 (8) 의 상부챔버 (8B) 안에는 레티클로더계 (74) 가 설치되어 있다.
레티클로더계 (74) 는 종슬라이더 본체 (77) 에 의해 가이드부가 구성되어 있고, 이 종슬라이더 본체 (77) 는 독립챔버 (7) 의 개구 (75) 및 상부챔버 (8B) 의 개구 (76) 를 통해 독립챔버 (7) 안에 돌출되어 있다.
또, 종슬라이더 본체 (77) 에는, 이 슬라이더본체 (77) 를 따라 슬라이딩이 자유로운 슬라이더 (78, 79) 가 부착됨과 동시에, 그 근방에는 로봇밴드 (80) 가 설치되어 있다.
로봇밴드 (80) 는, 베이스 (81) 와, 이 베이스 (81) 상에서 XY 평면에 수직인 Z 방향으로 신축하는 Z 축 이동부 (82) 와, 이 Z 축 이동부 (82) 의 중심을 축으로 하여 회전하는 θ축 회전부 (83) 와, 이 θ축 회전부 (83) 의 선단에 회전이 자유롭게 설치된 R 축 회전부 (84) 와, 이 R 축 회전부 (84) 의 선단에 회전이 자유롭게 설치된 밴드부 (85) 로 구성되어 있다.
그리고, 로봇밴드 (80) 의 근방에는 레티클을 보관하는 보관선반 (86) 이 설치되어 있다.
상기 구성의 노광장치 및 기판수납 카세트의 위치결정장치 안, 우선 기판수납 카세트의 위치결정장치의 작용에 대해 다음에서 설명한다.
슬롯 (47) 에 웨이퍼 (22) 가 수납된 웨이퍼카세트 (43) 는, 노광장치 (5) 의 독립챔버 (8) 근방으로 반송된다.
다음으로, 이동탑재 로봇이, 웨이퍼카세트 (43) 의 파지플랜지 (48) 및 사이드그립피트 (49) 를 단단히 쥠과 동시에, 그 포크가 포크슬롯 (50) 에서 웨이퍼카세트 (43) 를 하측에서부터 지지하여 들어올린다.
그리고, 이 상태에서 독립챔버 (8) 의 개구 (66) 로부터 독립챔버 (8) 안에 수평방향으로 삽입된다.
이때, 웨이퍼카세트 (43) 는, 포크에 의해 저벽부 (45) 의 양측에서 지지됨과 동시에, 양 측벽부 (46) 에서 고정 플랜지 (48) 및 사이드그립피트 (49) 가 단단히 쥐고 있기 때문에, 회전방향으로의 위치변동이 없는 상태로 삽입된다.
다음으로, 웨이퍼카세트 (43) 의 위치결정을 도 5 에 근거하여 설명한다. 도 5 에 나타낸 바와 같이, 웨이퍼카세트 (43) 가 설치대 (40) 에 대한 소정 위치의 상측에 도달하기 약간 전에 수평방향의 이동을 정지한다.
이 경우, 소정 위치의 상측에 도달하기 약간 전은, 지지부재 (55) 에 형성된 경사면 (안내면: 59) 내부라면 어느 위치여도 상관없다.
또, 이동탑재 로봇이 상기의 약간 전부터, 웨이퍼카세트 (43) 를 하강시키는 경우, 그 도중에 웨이퍼카세트 (43) 가 경사면 (59) 을 따라 이동하기 때문에 웨이퍼카세트 (43) 가 비스듬히 하강하게 된다. 따라서, 이동탑재 로봇은 하강할 때, 하강방향과 직교하는 방향으로 신축하는 구성인 것이 바람직하다.
이 다음, 도 5 에 나타낸 바와 같이, 설치대 (40) 의 상측에서부터 웨이퍼카세트 (43) 를 하강시키면 (① 의 상태), 웨이퍼카세트 (43) 는 그 바닥면 가장자리부 (51) 의 단부 (60) 가 지지부재 (55) 의 경사면 (59) 에 맞닿음과 동시에, 경사면 (59) 을 따라 미끄러져 내려온다 (② 의 상태). 그리고, 웨이퍼카세트 (43) 는 2 개의 지지부재 (55) 로 형성되는 위치결정 공간으로 떨어지고 (③ 의 상태), 최종적으로 지지면 (58) 으로 안내되어 상술한 소정 위치로 위치결정된다. 웨이퍼카세트 (43) 는 설치대 (40) 에 설치된 모습을 도 6(a)(b) 에 나타낸다.
즉, 도 4 에 나타낸 바와 같이, 웨이퍼카세트 (43) 에 설치된 홈부재 (53) 의 V 홈 (52) 과, 설치대 (40) 에 설치된 키네마틱커플링핀 (54) 의 구면부 (57) 가 걸어맞춤되어, 웨이퍼카세트 (43) 는 설치대 (40) 상의 소정 위치로 위치결정된다. 이 때, 홈부재 (53) 와 설치대 (40) 사이에는 약간의 간극 (2 mm 정도) 이 있다.
상기와 같은 방법으로, 웨이퍼카세트 (43) 는 지지부재 (55) 의 경사면 (59) 을 통해 소정 위치로 위치결정된다.
또, 이 때, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 에는 응력완화면 (60) 이 형성되어 있기 때문에 원활하게 경사면을 따를 수 있음과 동시에, 맞닿음에 의한 응력집중이 완화되기 때문에 이 부분에서의 먼지와 티끌의 발생을 억제할 수 있다.
또, 미소량이지만 발생된 먼지와 티끌도 음압흡인원 (63) 의 음압흡인에 의해 흡인관 (62) 에서 흡인되어 제거된다.
이어서, 노광장치 (5) 의 동작에 대해서 설명한다.
도 2, 3 에 나타낸 바와 같이 로봇밴드 (33) 가, 설치대 (40) 에 위치결정된 웨이퍼카세트 (43) 로부터 웨이퍼 (22) 를 꺼낸 다음, 위치 (Q) 로 이동하여 턴테이블 (73) 을 통해 슬라이더 (71) 또는 (72) 에 웨이퍼 (22) 를 넘긴다.
슬라이더 (71, 72) 는 웨이퍼 (22) 를 진공흡착에 의해 지지한 상태에서 웨이퍼스테이지 (21) 의 웨이퍼홀더 (29) 로 소정 위치가 되도록 로드한다.
웨이퍼홀더 (29) 의 진공흡착에 의해 지지된 웨이퍼 (22) 는, 조명계로부터의 노광광에 의해 투영광학계 (24) 를 통해 레티클 (25) 의 패턴 이미지를 웨이퍼 (22) 에 전사한다.
패턴 이미지가 전사된 웨이퍼 (22) 는, 다시 슬라이더 (71 또는 72) 에 의해 로드되고, 턴테이블 (73) 의 상하 이동을 통해 로봇밴드 (33) 로 넘겨진다.
그리고, 로봇밴드 (33) 는 이 웨이퍼 (22) 를, 예를 들면 웨이퍼케이스 (43) 로 되돌린다.
한편, 레티클 (25) 을 세트할 때에는, 보관선반 (86) 으로부터 로봇밴드 (80) 의 밴드부 (85) 에서 진공흡착에 의해 레티클 (25) 을 꺼내고, 이 꺼낸 레티클 (25) 을 종슬라이더 본체 (77) 의 슬라이더 (78 또는 79) 로 넘긴다.
이 후, 슬라이더 (78 또는 79) 는 레티클 (25) 을 진공흡착에 의해 지지한 상태에서 종슬라이더 본체 (77) 를 따라 독립챔버 (7) 내로 이동하고, 도시를 생략한 레티클 수수 수단을 통해 노광장치 본체 (17) 의 칼럼 (23) 상의 레티클홀더 상에 이 레티클 (25) 을 설치한다.
또, 레티클 (25) 을 교환할 때에는, 레티클홀더로부터 꺼낸 레티클 (25) 이, 슬라이더 (78 또는 79) 및 로봇밴드 (80) 를 통해 보관선반 (86) 으로 되돌려진다.
그리고, 새로운 레티클을 상기와 동일한 동작에 의해 레티클홀더에 설치함으로써 교환이 완료된다.
본 실시형태의 노광장치 및 기판수납 카세트의 위치결정장치에 의하면, 웨이퍼카세트 (43) 를 상측에서부터 설치할 때에 지지부재 (55) 의 경사면 (59) 을 따라 안내하기 때문에, 이동탑재로봇의 반송 정밀도가 그다지 높지않더라도 확실하면서 용이하게 설치대 (40) 상의 소정 위치로 위치결정할 수 있다,
즉, 웨이퍼카세트 (43) 를 상측에서부터 설치할 때의 웨이퍼카세트 (43) 와 지지부재 (55) 의 위치관계는, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 가 경사면 (59) 의 면안에 있으면 된다. 이 정도의 위치매칭은, 높은 반송 정밀도를 갖지 않는 이동탑재 로봇의 정밀도로 충분히 달성할 수 있다.
또, 이동탑재 로봇을 사용하지 않고, 작업자가 이동 탑재하는 경우라도 용이하게, 또한 단시간에 작업을 완료시킬 수 있다.
또, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 에 응력완화면 (60) 을 형성했기 때문에, 상기 지지부재 (55) 의 경사면 (59) 에 맞닿았을 때에도 원활하게 안내됨과 함께 맞닿음 시에 먼지와 티끌의 발생을 미소량으로 억제할 수 있다.
또, 이 미소량 발생된 먼지와 티끌도 먼지티끌 제거장치 (61) 에 의해 제거될 수 있기 때문에, 웨이퍼카세트 (43) 내의 웨이퍼 수납공간에 먼지와 티끌이 섞이지 않아 고도로 클린하게 유지할 수 있다.
그리고, 웨이퍼카세트 (43) 가 확실하게 소정 위치로 위치결정됨으로써, 노광장치 (5) 에서 로봇밴드 (33) 가 웨이퍼 (22) 를 로드할 때에 간섭 등에 의해 파손되는 것을 방지할 수 있다.
도 9 는, 본 발명의 노광장치 및 기판수납 카세트의 위치결정장치의 제 2 실시형태를 나타내는 도이다.
이 도에 있어서, 도 1 내지 도 6 에 나타내는 제 1 실시형태의 구성요소와 동일한 요소에 대해서는 동일부호를 붙이고 그 설명을 생략한다.
제 2 실시형태와 상기 제 1 실시형태가 상이한 점은, 지지부재 (55) 를 3 군데에 설치한 것이다.
즉, 설치대 (40) 에는, 지지부재 (55) 가 웨이퍼 (22) 를 로드하는 측의 한 군데과 그 반대측 양단의 두 군데 합계 3 군데에 배치되어 있고, 이는 홈부재 (53) 및 키네마틱커플링핀 (54) 의 사이에 배치되게 된다.
다른 구성은 상기 제 1 실시형태와 동일하다.
본 실시형태의 노광장치 및 기판수납 카세트의 위치결정장치에 의하면, 상기 제 1 실시형태와 동일한 작용 및 효과가 얻을 수 있고 동시에, 웨이퍼카세트 (43) 의 이동탑재시에 웨이퍼카세트 (43) 가 어느 방향으로 어긋나 있어도 지지부재 (55) 의 경사면 (59) 이 맞닿아 소정 위치로 안내할 수 있다.
또, 본 발명의 노광장치 및 기판수납 카세트의 위치결정장치는, 상기 실시형태에 한정되는 것이 아니라, 다음과 같은 변경을 더한 것을 포함한다.
본 실시형태에서는 설치대 (40) 를 독립챔버 내에 설치하는 구성으로 설명했으나 이 구성에 한정되는 것은 아니고, 설치대 (40) 를 독립챔버의 바깥에 설치하고 로봇밴드에 의해 웨이퍼를 챔버 안으로 로드하도록 할 수도 있다.
또, 본 실시형태의 위치결정장치는, 웨이퍼카세트 (43) 의 저벽부 (45) 의 바닥면 가장자리부 (51) 에 설치된 홈부재 (53) 와, 설치대 (40) 에 설치된 키네마틱커플링핀 (54) 으로 구성되었으나 이 구성에 한정되는 것은 아니고, 예를 들면 홈부재 (53) 를 설치대 (40) 상에 설치하고, 핀 (54) 을 저벽부 (45) 에 설치하는 구성일 수도 있다.
또한, 핀 (45) 와 홈부재 (53) 에 의해 기계적으로 위치결정하고 있으나, 자기적인 위치결정일 수도 있다. 이 경우, 카세트 (43) 의 저벽부 (45) 에 S 극의 자석을 부착하고, 설치대 (40) 에는 N 극 또는 S 극의 양자극을 발생하는 자극부재를 설치한다. 그리고 위치결정 시에는, 자극부재에서 N 극의 자극을 발생시키고, 웨이퍼카세트 (43) 를 설치대 (40) 에서 떼어낼 시에는 자극부재로 S 극의 자극을 발생시키면 된다.
또, 본 실시형태에서의 경사면 (59) 은, 설치대 (40) 상에 설치된 지지부재 (55) 에 형성되는 구성이지만 이 구성에 한정되는 것이 아니라, 예를 들면 도 7 에 나타낸 바와 같이, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 에 경사면 (100) 을 형성하는 구성일 수도 있다.
즉, 경사면 (100) 은, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 가 설치대 (40) 상에서 하강하는 방향을 향해 수속하도록 (면적이 작아지도록) 기울어져 형성되어 있다. 또, 이 경우, 설치대 (40) 상의 지지부재 (55) 는, 웨이퍼카세트 (43) 의 경사면 (100) 에 맞닿았을 때에 발생하는 응력집중을 완화하는 응력완화면 (101) 을 형성하는 것이 바람직하다.
이 구성에 있어서, 설치대 (40) 의 상측부터 웨이퍼카세트 (43) 를 하강시키면 (① 의 상태), 웨이퍼카세트 (43) 는 그 바닥면 가장자리부 (51) 에 형성된 경사면 (100) 이 지지부재 (55) 의 응력완화면 (101) 을 따라 미끄러져 내려간다 (② 의 상태).
그리고, 웨이퍼카세트 (43) 는 적어도 2 개의 지지부재 (55) 로 형성되는 위치결정 공간으로 떨어지고 (③ 의 상태), 최종적으로 지지면 (58) 으로 안내되어 소정 위치로 위치결정된다.
또, 경사면을 도 8 에 나타낸 바와 같이, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 와, 지지부재 (55) 에 각각 형성하는 구성일 수도 있다.
즉, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 의 경사면 (102) 은, 웨이퍼카세트 (43) 의 바닥면 가장자리부 (51) 가 설치대 (40) 상으로 하강하는 방향을 향해 수속하도록 (면적이 작아지도록) 기울어져 형성되고, 지지부재 (55) 의 경사면 (103) 은, 설치대 (40) 의 중앙부를 향하고 있는 내벽이 상측을 향해 넓어지도록 기울어져 형성되어 있다. 이 구성에 있어서, 설치대 (40) 의 상측에서부터 웨이퍼카세트 (43) 를 하강시키면 (① 의 상태), 웨이퍼카세트 (43) 는 그 바닥면 가장자리부 (51) 에 형성된 경사면 (102) 이 지지부재 (55) 에 형성된 경사면 (103) 에 맞닿는다.
그 후, 웨이퍼카세트 (43) 의 경사면 (102) 은, 지지부재 (55) 의 경사면 (103) 을 따라 미끄러져 내려간다 (② 의 상태).
그리고, 웨이퍼카세트 (43) 는, 적어도 2 개의 지지부재 (55) 로 형성되는 위치결정 공간으로 떨어지고 (③ 의 상태), 최종적으로 지지면 (58) 으로 안내되어 소정 위치로 위치결정된다.
또, 웨이퍼카세트 (43) 의 경사면 (102) 은, 지지부재 (55) 의 경사면 (103) 에 맞닿지만, 서로 면접촉하게 되어 마찰저항이 커지는 경우도 상정된다.
이 때는, 적어도 한쪽 면에 슬라이딩 부재를 설치하는 것이 바람직하다. 이 슬라이딩 부재로는 실리콘 오일 등을 들 수 있다.
또, 본 실시형태에서는 안내부로서 경사면을 설명했으나, 완전한 직선형상으로 기울어져 있지 않아도 좋고, 약간 곡률을 가지고 있는 경사면일 수도 있다.
즉, 웨이퍼카세트 (43) 가 상측부터 위치결정될 때에, 소정 위치로 미끄러져 떨어지는 면이라면 상기 곡률이 있어도 다소 요철이 있을 수도 있다.
또한, 본 실시형태에서는, 위치결정 대상으로 웨이퍼수납 카세트를 예로 들어 설명했으나, 다른 기판 (예를 들면, 레티클, 마스크, 유리기판) 을 수납하는 카세트를 위치결정의 대상으로 할 수도 있다.
이 때 1 장의 기판을 수납하는 수납상자에 적용할 수도 있다. 또, 복수장의 웨이퍼를 밀폐공간 내에 수납하는 카세트 (USP 5,711,427, USP 5,642,713) 에 적용할 수도 있다.
또, 본 실시형태에서는, 설치 대상에 지지부를 2 군데 또는 3 군데에 형성하는 구성으로 했으나, 지지부를 4 군데 이상에 형성하거나, 지지부를 연속한 링 형상으로 형성할 수도 있다.
응력완화면으로, 챔퍼 (chamfer) 형상 및 테이퍼 형상으로 했으나, 카세트의 바닥면 가장자리부 또는 안내면에 접촉시에 생기는 충격을 흡수하는 충격흡수재를 설치하는 것이 바람직하다.
전술한 바와 같이, 웨이퍼카세트를 소정 위치로 위치결정할 때에, 위치결정장치를 구성하는 각 요소를 기계적 또는 전기적으로 연결함으로써 본 실시형태에 관한 위치결정장치가 짜여진다. 또, 이 위치결정장치를 갖춘 노광장치는, 이 노광장치를 구성하는 각 요소를, 전술한 바와 같은 노광동작을 행하기 위해 기계적 또는 전기적으로 연결함으로써 본 실시형태에 관한 노광장치가 짜여진다.
본 실시형태에서 설명한 노광장치는, 마스크와 기판을 동기 이동하여 마스크의 패턴을 노광하는 주사형 노광장치 (USP 5,473,410) 일 수도 있고, 마스크와 기판을 정지한 상태에서 마스크의 패턴을 노광하고, 기판을 순차적으로 스텝 이동시키는 스텝 앤드 리피트형 노광장치일 수도 있다.
또, 본 실시형태에서는 노광장치를 전제로 설명했으나, 웨이퍼에 형성된 패턴을 검사하는 검사장치나, 웨이퍼상의 레지스트 도포 불균일 및, 이물을 검사하는 결함검사장치, 레티클상의 패턴 상측을 측정하는 패턴측정장치 등, 웨이퍼 및 레티클을 반송하는 반송기구를 갖춘 장치라면 어떠한 장치에도 적용하는 것이 가능하다.
명세서, 특허청구범위, 도면, 및 요약을 각각 포함하는 1998 년 2 월 4 일자 제출의 일본 특허출원 제 10-23565 호의 전체 개시내용은, 전부 그대로 적용하여 본원에 포함되어 있다.
이상에서 설명한 바와 같이, 제 1 항에 관한 기판수납 카세트의 위치결정장치에서는, 기판수납 카세트를 설치대의 소정 위치로 위치결정할 때, 기판수납 카세트를 이 소정 위치로 안내하는 안내부를 설치한 구성으로 되어있다.
이로 인해, 로봇의 경우, 그 이동탑재 정밀도가 그다지 높지 않더라도 확실하고 용이하게 기판수납 카세를 설치대상의 소정 위치로 기판수납 카세트를 위치결정할 수 있어, 작업자가 이동탑재하는 경우에도 용이하게 또한 단시간에 작업을 완료시킬 수 있는 우수한 효과를 나타내는 것이다.
제 2 항에 관한 기판수납 카세트의 위치결정장치에서는, 기판수납 카세트의 바닥면에 위치결정용 오목부가 형성되고, 설치대 상에 이 오목부와 걸어맞춤이 자유로운 볼록부가 형성된 구성으로 되어 있다.
이로 인해, 예를 들면 키네마틱커플링핀에 의해 기판수납 카세트를 설치대 상에 위치결정할 때, 한층 확실하고 또 용이하게 소정 위치로 위치결정할 수 있는 우수한 효과를 나타낸다.
제 3 항에 관한 기판수납 카세트의 위치결정장치에서는, 기판수납 카세트를 상측부터 위치결정할 때, 기판수납 카세트의 바닥면 가장자리부가 맞닿을 때에 이 기판수납 카세트를 소정 위치로 안내하는 경사면이 지지부재에 형성되는 구성으로 되어 있다.
이로 인해, 기판수납 카세트를 경사면을 따라 미끄러져 떨어뜨려, 한층 확실하고 용이하게 설치대 상의 소정 위치로 위치결정할 수 있는 우수한 효과를 나타낸다.
제 4 항에 관한 기판수납 카세트의 위치결정장치는, 기판수납 카세트를 상측에서부터 위치결정할 때, 기판수납 카세트의 바닥면 가장자리부가 맞닿을 때에 이 기판수납 카세트를 소정 위치로 안내하는 경사면이 바닥면 가장자리부에 형성되는 구성으로 되어 있다.
이로 인해, 기판수납 카세트를 경사면을 따라 미끄러져 떨어뜨려, 한층 확실하고 용이하게 설치대 상의 소정 위치로 위치결정할 수 있는 우수한 효과를 나타낸다.
제 5 항에 관한 기판수납 카세트의 위치결정장치는, 지지부재 근방에 설치대 상의 먼지와 티끌를 흡인하는 흡인기구를 갖춘 구성으로 되어 있다.
이로 인해, 기판수납 카세트가 안내부에 맞닿아도 기판 주변을 고도로 클린하게 유지할 수 있는 우수한 효과를 나타내는 것이다.
제 6 항에 관한 기판수납 카세트의 위치결정장치에서는, 기판수납 카세트의 바닥면 가장자리부 또는 안내부에, 기판수납 카세트가 맞닿을 때의 충격을 완화하는 충격흡수부재가 설치되는 구성으로 되어 있다.
이로 인해, 기판수납 카세트가 안내부에 맞닿아도, 먼지와 티끌의 발생을 미소량으로 억제하여 기판 주변을 클린하게 유지 가능한 우수한 효과를 나타내는 것이다.
제 11 항에 관한 노광장치에서는, 제 1 항 내지 제 10 항 중 어느 한 항에 기재된 기판수납 카세트의 위치결정장치를 갖춘 구성으로 되어 있다.
이로 인해, 기판을 로드할 때에, 로드계가 파손되지 않아 신뢰성이 현저히 향상되는 우수한 효과를 나타내는 것이다.
제 12 항에 기재된 기판수납 카세트의 반송방법에서는, 기판수납 카세트의 외주면과 설치대 상에 부착된 부착부재를 접촉시키고, 기판수납 카세트를 소정 위치까지 이동시키는 구성으로 되어 있다.
이로 인해, 로봇의 경우는, 이 이동탑재 정밀도가 그다지 높지 않아도 확실하고 용이하게 설치대 상의 소정 위치로 기판수납 카세트를 위치결정할 수 있어, 작업자가 이동탑재하는 경우에도 용이하게 또 단시간에 작업을 완료시킬 수 있는 우수한 효과를 나타내는 것이다.

Claims (18)

  1. 기판수납 카세트를 설치대 상의 소정 위치로 위치결정하는 기판수납 카세트의 위치결정장치로서,
    상기 기판수납 카세트를 상기 소정 위치로 위치결정할 때, 상기 기판수납 카세트를 상기 소정 위치로 안내하는 안내부를 설치한 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  2. 제 1 항에 있어서, 상기 기판수납 카세트의 바닥면에는, 위치결정용의 오목부가 형성되고,
    상기 설치대 상에는, 이 기판수납 카세트의 오목부에 걸어맞춤이 자유로운 볼록부가 형성되어 있는 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  3. 제 1 항 또는 제 2 항에 있어서, 상기 기판수납 카세트는, 상기 설치대의 상측에서부터 상기 소정 위치로 위치결정되는 구성으로 되고,
    이 설치대는, 상기 기판수납 카세트를 상기 소정 위치에서 지지하는 지지부재를 가지고,
    상기 안내부는 상기 지지부재에 형성된 경사면으로 이루어지며,
    상기 기판수납 카세트는 이 기판수납 카세트의 바닥면 가장자리부가 상기 경사면에 맞닿아 상기 소정 위치로 안내되는 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  4. 제 1 항 또는 제 2 항에 있어서, 상기 기판수납 카세트는 상기 설치대의 상측에서부터 상기 소정 위치로 위치결정되는 구성으로 되고,
    이 설치대는, 상기 기판수납 카세트를 상기 소정 위치에서 지지하는 지지부재를 가지며,
    상기 안내부는 상기 기판수납 카세트의 바닥면 가장자리부에 형성된 경사면으로 이루어지고,
    상기 기판수납 카세트는 상기 기판수납 카세트에 형성된 경사면이 상기 지지부재에 맞닿아 상기 소정 위치로 안내되는 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  5. 제 3 항 또는 제 4 항에 있어서, 상기 지지부재 근방에 상기 설치대 상의 먼지와 티끌을 흡인하는 흡인기구를 갖춘 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 기판수납 카세트의 바닥면 가장자리부 또는 안내부에는, 이 기판수납 카세트가 상기 안내부에 맞닿을 때의 충격을 완화하는 충격흡수부재가 설치되어 있는 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  7. 제 1 항에 있어서, 상기 안내부는, 상기 설치대 또는 상기 기판수납 카세트중 적어도 어느 한쪽에 설치되는 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  8. 제 7 항에 있어서, 상기 안내부는, 상기 설치대에 부착된 부착부재의 경사면인 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  9. 제 8 항에 있어서, 상기 안내부는, 상기 기판수납 카세트에 형성된 경사면인 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  10. 제 1 항에 있어서, 상기 소정 위치에서의 위치결정은, 상기 기판수납 카세트에 설치된 제 1 걸어맞춤 부재와, 상기 탑재대 상에 설치되고 상기 제 1 걸어맞춤 부재와 걸어맞춤하는 제 2 걸어맞춤 부재와의 걸어맞춤에 의해 행해지는 것을 특징으로 하는 기판수납 카세트의 위치결정장치.
  11. 조명계로부터의 광에 의해 투영광학계를 통해 마스크의 패턴 이미지를 기판에 전사하는 노광장치에 있어서,
    이 기판을 수납하는 기판수납 카세트를 설치대 상의 소정 위치로 위치결정하는 제 1 항 내지 제 10 항 중 어느 한 항에 기재된 기판수납 카세트의 위치결정장치를 갖춘 것을 특징으로 하는 노광장치.
  12. 기판수납 카세트를 설치대 상의 소정 위치로 반송하는 기판수납 카세트의 반송방법에 있어서,
    상기 기판수납 카세트의 외주면과 상기 설치대 상에 설치된 부착부재를 접촉시키고, 상기 기판수납 카세트를 소정 위치까지 이동시키는 것을 특징으로 하는 기판수납 카세트의 반송방법.
  13. 제 12 항에 있어서, 상기 소정 위치로 이동한 다음, 상기 기판수납 카세트에 설치된 제 1 걸어맞춤 부재와, 상기 기판수납 카세트에 설치되고 상기 제 1 걸어맞춤 부재와 걸어맞춤하는 제 2 걸어맞춤 부재를 걸어맞춤시키는 것을 특징으로 하는 기판수납 카세트의 반송방법.
  14. 제 13 항에 있어서, 상기 기판수납 카세트의 외주면과, 상기 설치대 상에 설치된 부착부재와의 접촉은, 상기 외주면과 상기 부착부재 중 적어도 어느 한 쪽에 설치되는 안내부에서 행해지고,
    상기 안내부를 통해 상기 기판수납 카세트를 상기 소정 위치까지 이동시키는 것을 특징으로 하는 기판수납 카세트의 반송방법.
  15. 제 14 항에 있어서, 상기 안내부는, 상기 외주면과 상기 부착부재 중 적어도 어느 한 쪽에 형성된 안내면인 것을 특징으로 하는 기판수납 카세트의 반송방법.
  16. 제 14 항에 있어서, 상기 기판수납 카세트를 상기 설치대의 상측으로 이동시키고,
    상기 상측에서 상기 기판수납 카세트를 상기 설치대를 향해 하강시키며,
    상기 하강하는 도중에, 상기 기판수납 카세트의 외주면과 상기 부착부재를 접촉시키는 것을 특징으로 하는 기판수납 카세트의 반송방법.
  17. 제 16 항에 있어서, 상기 부착부재에 설치된 상기 안내부는, 상기 상측을 향해 넓어지도록 기울어진 경사면인 것을 특징으로 하는 기판수납 카세트의 반송방법.
  18. 제 16 항에 있어서, 상기 기판수납 카세트의 외주면에 설치된 상기 안내부는, 상기 하강하는 방향을 항해 수속하도록 기울어진 경사면인 것을 특징으로 하는 기판수납 카세트의 반송방법.
KR1020007008464A 1998-02-04 1999-02-03 노광장치 및 기판수납 카세트의 위치결정장치 KR100645272B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2356598 1998-02-04
JP98-23565 1998-02-04

Publications (2)

Publication Number Publication Date
KR20010040595A true KR20010040595A (ko) 2001-05-15
KR100645272B1 KR100645272B1 (ko) 2006-11-13

Family

ID=12114062

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007008464A KR100645272B1 (ko) 1998-02-04 1999-02-03 노광장치 및 기판수납 카세트의 위치결정장치

Country Status (5)

Country Link
US (1) US6432849B1 (ko)
KR (1) KR100645272B1 (ko)
AU (1) AU2298499A (ko)
TW (1) TWI237305B (ko)
WO (1) WO1999040623A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100847815B1 (ko) * 2002-03-18 2008-07-23 엘지디스플레이 주식회사 액정표시소자 제조 공정용 기판 보관 장치
KR101297380B1 (ko) * 2011-11-02 2013-08-19 주식회사 에스에프에이 스토커

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6010008A (en) * 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6736268B2 (en) * 1997-07-11 2004-05-18 Entegris, Inc. Transport module
US6871741B2 (en) * 1998-05-28 2005-03-29 Entegris, Inc. Composite substrate carrier
US6808668B2 (en) * 1998-05-28 2004-10-26 Entegris, Inc. Process for fabricating composite substrate carrier
JP4469462B2 (ja) * 2000-05-25 2010-05-26 株式会社ニコン キャリア形状測定機
US20030059289A1 (en) * 2001-09-25 2003-03-27 Intel Corporation Wafer cassette transport cart with self correcting fault alignment block and method
US7810645B2 (en) * 2002-07-03 2010-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Paddle for securely mounting a wafer cassette holder thereto
KR100488519B1 (ko) * 2002-11-21 2005-05-11 삼성전자주식회사 Lcd용 글라스 적재 카세트
TWI276580B (en) * 2003-12-18 2007-03-21 Miraial Co Ltd Lid unit for thin-plate supporting container
WO2010054130A1 (en) * 2008-11-05 2010-05-14 Tosoh Quartz, Inc. High strength camfer on quartzware
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5168594B2 (ja) * 2009-11-25 2013-03-21 株式会社ダイフク 基板搬送設備
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN104226659B (zh) * 2013-06-11 2017-09-22 富泰华工业(深圳)有限公司 分离机构
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015045583A1 (ja) 2013-09-27 2015-04-02 村田機械株式会社 物品の支持装置及び支持装置への2種類の物品の載置方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6052209B2 (ja) * 2014-03-11 2016-12-27 株式会社ダイフク 容器搬送設備
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016160636A1 (en) * 2015-03-27 2016-10-06 Entegris, Inc. Bottom opening pod with magnetically coupled cassettes
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10242897B2 (en) * 2015-12-14 2019-03-26 Solarcity Corporation Micro-environment container for photovoltaic cells
US10361108B2 (en) * 2015-12-14 2019-07-23 Solarcity Corporation Ambidextrous cassette and methods of using same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US11211266B2 (en) * 2016-09-21 2021-12-28 Texas Instruments Incorporated Universal load port for ultraviolet radiation semiconductor wafer processing machine
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6686914B2 (ja) * 2017-01-12 2020-04-22 株式会社ダイフク 物品保管設備
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101921481B1 (ko) 2017-03-17 2018-11-26 주식회사 에스에프에이 마스크 스토커
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6801640B2 (ja) * 2017-12-21 2020-12-16 株式会社ダイフク 収納棚及び物品収納設備
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6523590B1 (ja) 2018-09-06 2019-06-05 三菱電機株式会社 キャリアの位置決め部材及びキャリア載置台
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11658053B2 (en) * 2019-10-21 2023-05-23 Globalfoundries U.S. Inc. Conversion plate for reticle pod storage and a reticle pod storage system
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114426139A (zh) * 2021-11-05 2022-05-03 宁波润华全芯微电子设备有限公司 一种可以防止运送过程中放置产品脱落的片盒
CN114426138A (zh) * 2021-11-05 2022-05-03 宁波润华全芯微电子设备有限公司 一种可在烤箱使用的片盒

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60117745A (ja) * 1983-11-30 1985-06-25 Canon Hanbai Kk ウエハ−カセツト位置決め用ガイド部材
JP2747607B2 (ja) * 1989-07-14 1998-05-06 日本メクトロン株式会社 加硫可能なアクリルエラストマー配合物
JPH0345645U (ko) * 1989-09-12 1991-04-26
US5473410A (en) * 1990-11-28 1995-12-05 Nikon Corporation Projection exposure apparatus
JPH06340304A (ja) * 1993-06-01 1994-12-13 Tokyo Electron Ltd 筐体の収納棚及び筐体の搬送方法並びに洗浄装置
DE4402938A1 (de) * 1994-02-01 1995-08-03 Fev Motorentech Gmbh & Co Kg Verfahren zur Steuerung eines Kolbenverbrennungsmotors unter Einhaltung der Laufgrenze
JPH07297269A (ja) * 1994-04-22 1995-11-10 Hitachi Ltd 基板収納容器
JPH0837224A (ja) * 1994-07-25 1996-02-06 Kokusai Electric Co Ltd 半導体製造装置のカセット授受ユニット
JPH09107019A (ja) * 1995-10-11 1997-04-22 Tokyo Electron Ltd 被載置体の位置決め機構
JPH09148423A (ja) * 1995-11-28 1997-06-06 Dainippon Screen Mfg Co Ltd 基板収納用カセットの載置装置
JPH09246348A (ja) * 1996-03-06 1997-09-19 Nikon Corp 基板搬送装置
JP3240434B2 (ja) * 1996-07-04 2001-12-17 株式会社山武 卓上兼壁面取付型センサ内蔵機器
US5711427A (en) * 1996-07-12 1998-01-27 Fluoroware, Inc. Wafer carrier with door

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100847815B1 (ko) * 2002-03-18 2008-07-23 엘지디스플레이 주식회사 액정표시소자 제조 공정용 기판 보관 장치
KR101297380B1 (ko) * 2011-11-02 2013-08-19 주식회사 에스에프에이 스토커

Also Published As

Publication number Publication date
TWI237305B (en) 2005-08-01
US6432849B1 (en) 2002-08-13
KR100645272B1 (ko) 2006-11-13
AU2298499A (en) 1999-08-23
WO1999040623A1 (fr) 1999-08-12

Similar Documents

Publication Publication Date Title
KR100645272B1 (ko) 노광장치 및 기판수납 카세트의 위치결정장치
JP6855010B6 (ja) 基板保持装置、露光装置及びデバイス製造方法
JP4315420B2 (ja) 露光装置及び露光方法
US6710857B2 (en) Substrate holding apparatus and exposure apparatus including substrate holding apparatus
US9829802B2 (en) Conveying hand and lithography apparatus
TWI784972B (zh) 曝光裝置、平板顯示器的製造方法、元件製造方法以及曝光方法
KR20080075906A (ko) 기판 보지 장치, 노광 장치, 노광 방법 및 디바이스 제조방법
JP2008251754A (ja) 基板搬送方法及び装置、並びに露光方法及び装置
KR101384440B1 (ko) 물체의 반출입 방법 및 반출입 장치, 노광 방법 및 노광장치와 디바이스 제조 방법
KR20040014213A (ko) 레티클 핸들링 방법, 레티클 핸들링 장치 및 노광장치
US20020074635A1 (en) Exposure apparatus, holder container, device manufacturing method, and device manufacturing unit
TWI739271B (zh) 物體保持裝置、物體更換系統、曝光裝置、平面顯示器之製造方法、及元件製造方法
KR100825691B1 (ko) 기판지지장치 및 기판처리장치
JP2003258071A (ja) 基板保持装置及び露光装置
US20060017909A1 (en) Stage apparatus, exposure apparatus, and semiconductor device manufacturing mehtod
JPH0963939A (ja) 基板搬送装置
JPH09148219A (ja) 基板アダプタ
JPH07321179A (ja) 基板搬送装置
JP2005044882A (ja) 搬送装置及び露光装置
JPH11214484A (ja) 基板検出装置
JPH07240366A (ja) 露光装置
JP6015984B2 (ja) 物体搬出方法、物体交換方法、物体保持装置、物体交換システム、露光装置、フラットパネルディスプレイの製造方法、及びデバイス製造方法
TWI765999B (zh) 物體交換裝置、物體處裡裝置、平板顯示器的製造方法、元件製造方法、物體交換方法以及物體處理方法
KR20030055847A (ko) 웨이퍼 홀더
JPH10321691A (ja) 基板搬送方法及び該方法を使用する露光装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121023

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131022

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141021

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee