KR102036728B1 - 레이저 및 플라즈마 에칭에 의한 기판 다이싱을 위한 수용성 마스크 - Google Patents

레이저 및 플라즈마 에칭에 의한 기판 다이싱을 위한 수용성 마스크 Download PDF

Info

Publication number
KR102036728B1
KR102036728B1 KR1020147032001A KR20147032001A KR102036728B1 KR 102036728 B1 KR102036728 B1 KR 102036728B1 KR 1020147032001 A KR1020147032001 A KR 1020147032001A KR 20147032001 A KR20147032001 A KR 20147032001A KR 102036728 B1 KR102036728 B1 KR 102036728B1
Authority
KR
South Korea
Prior art keywords
substrate
dicing
module
semiconductor substrate
water soluble
Prior art date
Application number
KR1020147032001A
Other languages
English (en)
Other versions
KR20150001827A (ko
Inventor
웨이-솅 레이
사라브지트 싱흐
매드하바 라오 얄라만칠리
브래드 이튼
아제이 쿠마르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150001827A publication Critical patent/KR20150001827A/ko
Application granted granted Critical
Publication of KR102036728B1 publication Critical patent/KR102036728B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/16Composite materials, e.g. fibre reinforced
    • B23K2103/166Multilayered materials
    • B23K2103/172Multilayered materials wherein at least one of the layers is non-metallic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/062Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam
    • B23K26/0622Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses
    • B23K26/0624Shaping the laser beam, e.g. by masks or multi-focusing by direct control of the laser beam by shaping pulses using ultrashort pulses, i.e. pulses of 1ns or less
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/362Laser etching
    • B23K26/364Laser etching for making a groove or trench, e.g. for scribing a break initiation groove
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/36Removing material
    • B23K26/40Removing material taking account of the properties of the material involved
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Dicing (AREA)
  • Laser Beam Processing (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

복수의 IC들을 갖는 기판들을 다이싱하는 방법들이 개시된다. 방법은 수용성 재료 층을 포함하는 마스크를 반도체 기판 위에 형성하는 단계를 포함한다. 갭들을 갖는 패터닝된 마스크를 제공하기 위해, 펨토초 레이저 스크라이빙 프로세스로 마스크가 패터닝된다. 패터닝은 IC들 사이의 기판의 구역들을 노출시킨다. 그 후에, IC를 싱귤레이팅하기 위해, 패터닝된 마스크에서의 갭들을 통해 기판이 에칭되고, 수용성 재료 층이 세척제거된다.

Description

레이저 및 플라즈마 에칭에 의한 기판 다이싱을 위한 수용성 마스크{WATER SOLUBLE MASK FOR SUBSTRATE DICING BY LASER AND PLASMA ETCH}
본 발명의 실시예들은 반도체 프로세싱의 분야에 관한 것이고, 특히, 각각의 기판이 그 위에 IC(IC)를 갖는 기판들을 다이싱(dicing)하기 위한 마스킹(masking) 방법들에 관한 것이다.
반도체 기판 프로세싱에서, 전형적으로 실리콘 또는 다른 반도체 재료로 구성된 기판(또한, 웨이퍼라고 지칭됨) 상에 IC들이 형성된다. 일반적으로, IC들을 형성하기 위해, 반전도성, 전도성, 또는 절연성인 다양한 재료들의 얇은 필름 층들이 활용된다. 동일한 기판 상에, 병행하여, 메모리 디바이스들, 로직 디바이스들, 광발전(photovoltaic) 디바이스들 등과 같은 복수의 IC들을 동시에 형성하기 위해, 다양한 잘 알려진 프로세스들을 사용하여 이들 재료들이 도핑, 증착, 및 에칭된다.
디바이스 형성 후에, 필름 프레임에 걸쳐 신장된(stretched) 접착성(adhesive) 필름과 같은 지지 부재 상에 기판이 탑재되고, 패키징 등을 위하여 각각의 개별적인 디바이스 또는 "다이(die)"를 서로로부터 분리시키기 위해 기판이 "다이싱된다(diced)". 현재, 2개의 가장 대중적인 다이싱 기법들은 스크라이빙(scribing) 및 소잉(sawing)이다. 스크라이빙에 있어서, 다이아몬드 팁형(tipped) 스크라이브가, 미리 형성된 스크라이브 라인들을 따라 기판 표면에 걸쳐 이동된다. 예컨대 롤러로 압력을 가할 시에, 기판은 스크라이브 라인들을 따라 분리된다. 소잉에 있어서, 다이아몬드 팁형 소우(saw)가 스트리트(street)들을 따라 기판을 커팅한다. 50-150 ㎛s(㎛) 두께의 벌크 실리콘 싱귤레이션(singulation)과 같은 얇은 기판 싱귤레이션에 있어서, 통상적인(conventional) 접근법들은 빈약한 프로세스 품질만을 산출하여 왔다. 얇은 기판들로부터 다이를 싱귤레이팅(singulating)하는 경우에 직면될 수 있는 난제들 중 몇몇은, 상이한 층들 사이의 박리(delamination) 또는 미세균열(microcrack) 형성, 무기 유전체 층들의 치핑(chipping), 엄격한 커프(kerf) 폭 제어의 유지, 또는 정밀한 어블레이션(ablation) 깊이 제어를 포함할 수 있다.
또한 플라즈마 다이싱이 고려되어 왔지만, 레지스트를 패터닝하기 위한 표준 리소그래피 동작이 구현 비용을 과중하게 만들 수 있다. 플라즈마 다이싱의 구현을 방해하는 것이 가능한 다른 제한은, 스트리트들을 따르는 다이싱에서 일반적으로 조우되는 금속들(예컨대, 구리)의 플라즈마 프로세싱이, 생산 문제들 또는 스루풋(throughput) 제한들을 생성할 수 있다는 것이다. 마지막으로, 플라즈마 다이싱 프로세스의 마스킹은, 특히, 기판의 상단 표면 토포그래피(topography) 및 두께, 플라즈마 에칭의 선택성(selectivity), 및 기판의 상단 표면 상에 존재하는 재료들에 따라 문제가 있을 수 있다.
본 발명의 실시예들은 레이저 스크라이빙과 플라즈마 에칭 양자 모두를 포함하는 하이브리드 다이싱 프로세스를 위해 반도체 기판들을 마스킹하는 방법들을 포함한다.
실시예에서, 복수의 IC들을 갖는 반도체 기판을 다이싱하는 방법은 반도체 기판 위에 마스크를 형성하는 단계를 포함하며, 그 마스크는 IC들을 덮고 보호하는 수용성(water soluble) 재료를 포함한다. IC들 사이의 기판의 구역들을 노출시키는 갭(gap)들을 갖는 패터닝된 마스크를 제공하기 위해, 레이저 스크라이빙 프로세스로 마스크가 패터닝된다. 그 후에, IC들을 칩들로 싱귤레이팅하기 위해, 패터닝된 마스크에서의 갭들을 통해 기판이 플라즈마 에칭된다.
다른 실시예에서, 반도체 기판을 다이싱하기 위한 시스템은, 동일한 플랫폼에 커플링된, 펨토초(femtosecond) 레이저, 플라즈마 에칭 챔버, 및 웨트(wet) 스테이션을 포함한다.
다른 실시예에서, 복수의 IC들을 갖는 기판을 다이싱하는 방법은 실리콘 기판의 전면(front side) 위에 폴리-비닐 알코올(PVA)의 수용성 마스크 층을 형성하는 단계를 포함한다. 마스크는 기판의 전면 상에 배치된 IC들을 덮고 보호한다. IC들은 폴리이미드(PI)와 같은 패시베이션 층에 의해 둘러싸인 범프(bump)들을 갖는 구리 범프형(bumped) 상단 표면을 포함한다. 패시베이션 및 범프들 아래의 표면하(subsurface) 얇은 필름들은 로우-k(low-k) 층간 유전체(ILD) 층 및 구리 인터커넥트(interconnect)의 층을 포함한다. IC들 사이의 실리콘 기판의 구역들을 노출시키기 위해, 펨토초 레이저 스크라이빙 프로세스로, 수용성 재료, 패시베이션 층, 및 표면하 얇은 필름들이 패터닝된다. IC들을 싱귤레이팅하기 위해, 딥 실리콘 플라즈마 에칭 프로세스(deep silicon plasma etch process)로 갭들을 통해 실리콘 기판이 에칭되고, 그 후에, PVA 층이 물로 세척제거된다(washed off).
본 발명의 실시예들은 첨부 도면들의 도면들에서 제한이 아닌 예로서 예시된다.
도 1은 본 발명의 실시예에 따른, 하이브리드 레이저 어블레이션-플라즈마 에칭 싱귤레이션 방법을 예시하는 흐름도이다.
도 2a는 본 발명의 실시예에 따른, 다이싱될 기판 상에 수용성 마스크 층을 스핀 코팅하는 방법을 예시하는 흐름도이다.
도 2b는 본 발명의 실시예에 따른, 다이싱될 기판에 수용성 마스크 층을 적용하는 드라이 필름 적층 방법을 예시하는 흐름도이다.
도 3a는 본 발명의 실시예에 따른, 웨이퍼 박형화 전에, 다이싱될 기판에 수용성 마스크 층을 적용하는 방법을 예시하는 흐름도이다.
도 3b는 본 발명의 실시예에 따른, 웨이퍼 박형화 후에, 다이싱될 기판에 수용성 마스크 층을 적용하는 방법을 예시하는 흐름도이다.
도 4a는 본 발명의 실시예에 따른, 도 1에 예시된 다이싱 방법의 동작(102)에 대응하는, 복수의 IC들을 포함하는 반도체 기판의 단면도를 예시한다.
도 4b는 본 발명의 실시예에 따른, 도 1에 예시된 다이싱 방법의 동작(103)에 대응하는, 복수의 IC들을 포함하는 반도체 기판의 단면도를 예시한다.
도 4c는 본 발명의 실시예에 따른, 도 1에 예시된 다이싱 방법의 동작(105)에 대응하는, 복수의 IC들을 포함하는 반도체 기판의 단면도를 예시한다.
도 4d는 본 발명의 실시예에 따른, 도 1에 예시된 다이싱 방법의 동작(107)에 대응하는, 복수의 IC들을 포함하는 반도체 기판의 단면도를 예시한다.
도 5는 본 발명의 실시예들에 따른, 복수의 IC들을 포함하는 기판의 표면하 얇은 필름들 및 상단 표면 위에 적용된 수용성 마스크의 단면도를 예시한다.
도 6은 본 발명의 실시예에 따른, 마스크 제거를 위한 통합된 웨트 스테이션을 갖는, 기판들의 레이저 및 플라즈마 다이싱을 위한 툴 레이아웃의 블록도를 예시한다.
도 7은 본 발명의 실시예에 따른, 여기에서 설명된, 마스킹, 레이저 스크라이빙, 플라즈마 다이싱 방법들에서의 하나 또는 그 초과의 동작의 자동화된 수행을 제어하는 예시적인 컴퓨터 시스템의 블록도를 예시한다.
각각의 기판이 그 위에 복수의 IC들을 갖는 기판들을 다이싱하는 방법들이 설명된다. 다음의 설명에서, 본 발명의 예시적인 실시예들을 설명하기 위해, 펨토초 레이저 스크라이빙 및 딥 실리콘 플라즈마 에칭 조건들과 같은 다수의 특정 세부사항들이 설명된다. 그러나, 이들 특정 세부사항들 없이 본 발명의 실시예들이 실시될 수 있다는 것이 당업자에게는 명백할 것이다. 다른 경우들에서, 본 발명의 실시예들을 공연히 불명료하게 하는 것을 피하기 위해, IC 제조, 기판 박형화, 테이핑 등과 같은 잘 알려진 양태들은 상세히 설명되지 않는다. 본 명세서 전반에 걸친 "실시예(an embodiment)"에 대한 언급은, 그 실시예와 관련하여 설명되는 특정한 피처(feature), 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸친 다양한 개소들에서의 "실시예에서(in an embodiment)"라는 문구의 출현들이 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 하나 또는 그 초과의 실시예들에서 임의의 적합한 방식으로 특정한 피처들, 구조들, 재료들, 또는 특성들이 조합될 수 있다. 또한, 도면들에 도시된 다양한 예시적인 실시예들은 단지 예시적인 표현들일 뿐이고 반드시 실척대로 도시된 것이 아니라는 것이 이해될 것이다.
"커플링된(coupled)" 및 "연결된(connected)"이라는 용어들은, 이들의 파생어들과 함께, 컴포넌트들 사이의 구조적인 관계들을 설명하기 위해 여기에서 사용될 수 있다. 이들 용어들이 서로에 대한 동의어들로서 의도되지 않는다는 것이 이해되어야 한다. 더 정확히는, 특정한 실시예들에서, "연결된"은 2개 또는 그 초과의 엘리먼트들이 서로 직접적으로 물리 또는 전기 접촉하는 것을 나타내기 위해 사용될 수 있다. "커플링된"은, 2개 또는 그 초과의 엘리먼트들이 서로 직접적으로 또는 간접적으로(이들 사이에 다른 개재하는 엘리먼트들이 존재하면서) 물리 또는 전기 접촉하는 것, 그리고/또는 2개 또는 그 초과의 엘리먼트들이 서로 협력 또는 상호작용하는 것(예컨대, 인과 관계에서와 같음)을 나타내기 위해 사용될 수 있다.
여기에서 사용되는 바와 같은 "위(over)", "아래(under)", "사이(between)", 및 "상(on)"이라는 용어들은 하나의 재료 층의 다른 재료 층들에 대한 상대적인 위치를 지칭한다. 따라서, 예컨대, 다른 층 위 또는 아래에 배치된 하나의 층이 다른 층과 직접적으로 접촉할 수 있거나, 또는 하나 또는 그 초과의 개재하는 층들을 가질 수 있다. 더욱이, 2개의 층들 사이에 배치된 하나의 층이 2개의 층들과 직접적으로 접촉할 수 있거나, 또는 하나 또는 그 초과의 개재하는 층들을 가질 수 있다. 반대로, 제 2 층 "상"의 제 1 층은 그 제 2 층과 접촉한다. 부가적으로, 하나의 층의 다른 층들에 대한 상대적인 위치는, 동작들이 기판의 절대적인 배향(orientation)을 고려하지 않고 기판에 관하여 수행된다고 상정하여 제공된다.
일반적으로, 초기의 레이저 스크라이브 및 후속적인 플라즈마 에칭을 수반하는 하이브리드 기판 또는 기판 다이싱 프로세스는 다이 싱귤레이션을 위한 수용성 마스크를 이용하여 구현된다. 레이저 스크라이브 프로세스는 패터닝되지 않은(즉, 블랭킷(blanket)) 마스크 층, 패시베이션 층, 및 표면하 얇은 필름 디바이스 층들을 깨끗하게(cleanly) 제거하기 위해 사용될 수 있다. 그 후에, 기판의 노출 또는 부분적인 어블레이션 시에, 레이저 에칭 프로세스가 종료될 수 있다. 그 후에, 칩들의 다이싱 또는 싱귤레이션을 위하여, 기판의 벌크를 통해, 예컨대 벌크 단결정질 실리콘을 통해 에칭하기 위해, 하이브리드 다이싱 프로세스의 플라즈마 에칭 부분이 채용될 수 있다.
본 발명의 실시예에 따르면, 펨토초 레이저 스크라이빙과 플라즈마 에칭의 조합이 반도체 기판을 개별화된 또는 싱귤레이팅된 IC들로 다이싱하기 위해 사용된다. 일 실시예에서, 펨토초 레이저 스크라이빙은, 본질적으로, 그렇지 않다면 완전히, 비평형(non-equilibrium) 프로세스이다. 예컨대, 펨토초 기반 레이저 스크라이빙은 무시가능한 열적 손상 구역으로 국한될 수 있다. 실시예에서, 레이저 스크라이빙은 울트라 로우-k 필름들(즉, 3.0 아래의 유전 상수를 가짐)을 갖는 IC들을 싱귤레이팅하기 위해 사용된다. 일 실시예에서, 레이저를 이용하는 직접적인 라이팅(writing)은 리소그래피 패터닝 동작을 제거하여, 마스킹 재료가 비-감광성이 되게, 그리고 매우 적은 비용으로 구현되는 플라즈마 에칭 기반 다이싱 프로세싱이 기판을 분할하게 허용한다. 일 실시예에서, 스루 실리콘 비아(through silicon via; TSV)-타입 에칭이 플라즈마 에칭 챔버에서 다이싱 프로세스를 완료하기 위해 사용된다.
도 1은 본 발명의 실시예에 따른, 하이브리드 레이저 어블레이션-플라즈마 에칭 싱귤레이션 프로세스(100)를 예시하는 흐름도이다. 도 4a 내지 도 4d는 본 발명의 실시예에 따른, 방법(100)에서의 동작들에 대응하는, 제 1 및 제 2 IC들(425, 426)을 포함하는 기판(406)의 단면도들을 예시한다.
도 1의 동작(102) 및 대응하는 도 4a를 참조하면, 마스크 층(402)이 기판(406) 위에 형성된다. 일반적으로, 기판(406)은 그 위에 형성되는 얇은 필름 디바이스 층들의 제조 프로세스를 견디는데 적합한 임의의 재료로 구성된다. 예컨대, 일 실시예에서, 기판(406)은 단결정질 실리콘, 게르마늄, 또는 실리콘/게르마늄과 같은(그러나, 이에 제한되지 않는) Ⅳ 족-계 재료이다. 다른 실시예에서, 기판(406)은, 예컨대 발광 다이오드들(LEDs)의 제조에서 사용되는 Ⅲ-Ⅴ 재료 기판과 같이 Ⅲ-Ⅴ 재료이다. 디바이스 제조 동안에, 기판(406)은 전형적으로 두께가 600 ㎛-800 ㎛이지만, 도 4a에 예시된 바와 같이, 50 ㎛ 내지 100 ㎛로 박형화되었고, 그 박형화된 기판은 지금은, 다이 부착 필름(DAF)(408)으로 기판의 배면에 접착되고 프레임(미도시)에 걸쳐 신장된 배킹 테이프(backing tape)(410)와 같은 캐리어에 의해 지지되어 있다.
실시예들에서, 제 1 및 제 2 IC들(425, 426)은, 실리콘 기판(406)에 제조되고 유전체 스택에 매립된(encased) 상보적 금속-산화물-반도체(CMOS) 트랜지스터들 또는 메모리 디바이스들을 포함한다. 복수의 금속 인터커넥트들이 디바이스들 또는 트랜지스터들 위에 그리고 둘러싸는 유전체 층들에 형성될 수 있고, IC들(425, 426)을 형성하기 위하여 디바이스들 또는 트랜지스터들을 전기적으로 커플링시키기 위해 사용될 수 있다. 스트리트(427)를 형성하는 재료들은 IC들(425, 426)을 형성하기 위해 사용되는 재료들과 동일할 수 있거나 또는 유사할 수 있다. 예컨대, 스트리트(427)는 유전체 재료들, 반도체 재료들, 및 메탈라이제이션(metallization)의 얇은 필름 층들을 포함할 수 있다. 일 실시예에서, 스트리트(427)는 IC들(425, 426)과 유사한 테스트 디바이스를 포함한다. 스트리트(427)의 폭은 10 ㎛ 내지 100 ㎛일 수 있다.
실시예들에서, 마스크 층(402)은 IC들(425, 426)의 상단 표면을 덮는 수용성 재료 층을 포함한다. 마스크 층(402)은 또한, IC들(425, 426) 사이의 개재하는 스트리트(427)를 덮는다. 수용성 재료 층은 하이브리드 레이저 스크라이빙, 플라즈마 에칭 다이싱 방법(100)(도 1) 동안에 IC들(425, 426)의 상단 표면의 보호를 제공하기 위한 것이다. 스트리트(427) 위에 배치된 마스크 층(402)의 부분들을 어블레이팅함으로써 스크라이브 라인들의 직접적인 라이팅을 수행하기 위해 레이저 스크라이브를 이용하는 레이저 스크라이빙 동작(103) 전에는, 마스크 층(402)이 패터닝되지 않는다.
도 5는 본 발명의 실시예들에 따른, 스트리트(427) 및 IC(426)의 상단 표면과 접촉하는 수용성 층(502)을 포함하는 일 예시적인 실시예의 확대된 단면도(500)를 예시한다. 도 5에 도시된 바와 같이, 기판(406)은, DAF(408)(도 4a)와 인터페이스(interface)하는 저부 표면(501) 반대편에 있는 상단 표면(503)을 가지며, 그 상단 표면(503) 상에는 얇은 필름 디바이스 층들이 배치된다. 일반적으로, 얇은 필름 디바이스 층 재료들은 유기 재료들(예컨대, 폴리머들), 금속들, 또는 무기 유전체들, 예컨대 실리콘 이산화물 및 실리콘 질화물을 포함할 수 있다(그러나, 이에 제한되지 않는다). 도 5에 예시된 예시적인 얇은 필름 디바이스 층들은, 실리콘 이산화물 층(504), 실리콘 질화물 층(505), 구리 인터커넥트 층들(508)과, 이들 사이에 배치된 탄소 도핑된 산화물(CDO)과 같은 로우-k(예컨대, 3.5 미만) 또는 울트라 로우-k(예컨대, 3.0 미만) 층간 유전체 층들(ILD)을 포함한다. IC(426)의 상단 표면은, 전형적으로 폴리이미드(PI) 또는 유사한 폴리머인 패시베이션 층(511)에 의해 둘러싸인 전형적으로 구리인 범프(512)를 포함한다. 따라서, 범프들(512) 및 패시베이션 층(511)이 IC의 상단 표면을 형성하며, 얇은 필름 디바이스 층들은 표면하 IC 층들을 형성한다. 범프(512)는 패시베이션 층(511)의 상단 표면으로부터 범프 높이(HB) 만큼 연장되며, 범프 높이(HB)는 예시적인 실시예들에서 10 ㎛ 내지 50 ㎛의 범위를 갖는다.
실시예에서, 수용성 층(502)이 마스크 층(402)이고, 그에 따라, 마스크 층(402)이 다른 재료 층들을 포함하지 않는다. 다른 더 통상적인 마스킹 재료들, 예컨대 포토레지스트, 무기 유전체 하드마스크들, 예컨대 실리콘 이산화물, 또는 실세스퀴옥산들(silsesquioxanes)과 달리, 수용성 층(502)을 포함하는 마스크는, 아래놓인 패시베이션 층(511) 및/또는 범프(512)를 손상시키지 않고 용이하게 제거될 수 있다. 수용성 층(502)이 마스크 층(402)인 경우에, 수용성 층(502)은 통상적인 스크라이빙 프로세스 동안에 활용되는 단순한 오염 보호 층인 것만이 아니라, 스트리트들의 후속적인 플라즈마 에칭 동안의 보호를 제공하기 위한 것이다. 따라서, 수용성 층(502)은, 플라즈마 에칭 프로세스를 견뎌내어, 심지어, 플라즈마에 노출되는 경우에 손상, 산화, 또는 그렇지 않으면 오염될 수 있는, 구리인 범프(512)를 보호하기에 충분한 두께로 이루어져야 한다. 수용성 층(502)의 최소 두께는 후속적인 플라즈마 에칭(예컨대, 도 1에서의 동작(105))에 의해 달성되는 선택성과 상관된다. 플라즈마 에칭 선택성은, 적어도, 채용되는 에칭 프로세스와 수용성 층(502)의 재료/조성 양자 모두에 따른다.
실시예에서, 수용성 재료는 수용성 폴리머를 포함한다. 다수의 그러한 폴리머들은, 세탁물 및 쇼핑 백들, 자수품(embroidery), 그린 패키징(green packaging) 등과 같은 애플리케이션들에 대해 상업적으로 이용가능하다. 그러나, 본 발명에 대한 수용성 재료의 선택은, 최대 필름 두께, 내에칭성, 열적 안정성, 재료를 적용하고 기판으로부터 제거하는 메카닉들, 및 미세오염에 관한 엄중한 요구들에 의해 복잡하게 된다. 스트리트에서, 수용성 층(502)의 최대 두께(Tmax)는, 어블레이션에 의해 마스킹을 통해 패터닝하는 레이저의 능력에 의해 제한된다. 수용성 층(502)은 스트리트 패턴이 형성되지 않을 스트리트(427)의 에지들 및/또는 IC들(425, 426) 위에서 훨씬 더 두꺼울 수 있다. 따라서, Tmax는 일반적으로, 레이저 파장과 연관된 광학 변환 효율과 상관된다. Tmax가 스트리트(427)와 연관되기 때문에, 원하는 Tmax를 달성하도록, 스트리트 피처 토포그래피, 스트리트 폭, 및 수용성 층(502)을 적용하는 방법이 선택될 수 있다. 특정한 실시예들에서, 수용성 층(502)은 30 ㎛ 미만 그리고 유리하게는 20 ㎛ 미만인 두께 Tmax를 가지며, 더 두꺼운 마스크는 다수의 레이저 통과들(passes)을 요구한다.
실시예에서, 재료의 온도가 상승될 후속적인 플라즈마 에칭 프로세스 동안에 과도한 가교(crosslinking)를 피하기 위해, 수용성 층(502)은, 적어도 60 ℃에 대해 열적으로 안정적이고, 바람직하게는 100 ℃에서 안정적이며, 이상적으로는 120 ℃에 대해 안정적이다. 일반적으로, 과도한 가교는 재료의 용해성(solubility)에 악영향을 미쳐서, 에칭-후 제거를 더 어렵게 한다. 실시예에 따라, 수용성 층(502)이 패시베이션 층(511) 및 범프(512)를 덮도록 기판(406) 상에 습식 적용될 수 있거나, 또는 드라이 필름 적층물로서 적용될 수 있다. 어느 모드의 적용에 있어서도, 예시적인 재료들은, 폴리(비닐 알코올), 폴리(아크릴산), 폴리(메타크릴산), 폴리(아크릴아미드), 또는 폴리(에틸렌 산화물) 중 적어도 하나를 포함하며, 다수의 다른 수용성 재료들이 또한, 특히 드라이 필름 적층물로서 용이하게 이용가능하다. 적층을 위한 드라이 필름들은 수용성 재료만을 포함할 수 있거나, 또는, 또한 수용성일 수 있거나 그렇지 않을 수 있는 접착성 층을 더 포함할 수 있다. 특정한 실시예에서, 드라이 필름은, UV 노출 시에 감소되는 접착성 본딩 세기를 갖는 UV 감응성 접착성 층을 포함한다. 그러한 UV 노출은 후속적인 플라즈마 스트리트 에칭 동안에 발생할 수 있다.
실험적으로, 폴리(비닐 알코올)(PVA)은, 대략 1:20 (PVA:실리콘)의 에칭 레이트 선택성에 대하여, 여기 다른 곳에서 설명되는 예시적인 실리콘 플라즈마 에칭 프로세스들에 대해 1 ㎛/min 내지 1.5 ㎛/min의 에칭 레이트를 제공하는 것으로 발견되었다. 다른 예시적인 재료들이 유사한 에칭 성능을 제공할 수 있다. 따라서, IC의 상단 범프 표면 위의 최소 두께(예컨대, 도 5에서의 Tmin)는 레이저 스크라이브 깊이(DL) 및 기판의 두께(TSub) 양자 모두와 상관되는 플라즈마 에칭 깊이(DE)에 의해 결정될 수 있다. DE가 적어도 50 ㎛인 예시적인 실시예에서, 적어도 100 ㎛의 DE에 대한 충분한 마진(margin)을 제공하기 위해, 수용성 층(502)은 적어도 5 ㎛ 그리고 유리하게는 적어도 10 ㎛의 두께를 갖는다.
수용성 층(502)이 30 ㎛ 미만인 두께 Tmax 및 10 ㎛ 또는 그 초과의 Tmin을 갖는 경우에, 기판에 대한 수용성 층(502)의 적용은 스프레이 적용보다 오염 방지를 더 요구한다. 도 2a는 본 발명의 실시예에 따른, 다이싱될 기판 상에 수용성 마스크 층을 스핀 코팅하기 위한 방법(200)을 예시하는 흐름도이다. 동작(202)에서, 기판이 스핀 코트 시스템 상에 로딩되거나, 또는 통합된 플랫폼의 스핀 코트 모듈 내로 이송된다. 동작(204)에서, 수용성 폴리머의 수용액이 패시베이션 층(511) 및 범프(512) 위에서 스피닝된다(spun). PVA 용액들을 이용하여 실시된 실험들은, 50 ㎛ 범프들의 비-평탄화된 커버리지(coverage), 5 ㎛보다 더 큰 Tmin, 및 20 ㎛ 미만의 스트리트에서의 Tmax를 보여주었다.
동작(208)에서, 예컨대 핫 플레이트 상에서 수용액이 건조되고, 기판이 레이저 스크라이브를 위해 언로딩되거나, 또는 레이저 스크라이브 모듈로 진공 내에서 이송된다. 수용성 층(502)이 흡습성(hygroscopic)인 특정한 실시예들에 있어서, 진공-내 이송이 특히 유리하다. 스핀 및 디스펜스(dispense) 파라미터들은 재료, 기판 토포그래피, 및 원하는 층 두께에 따른 선택의 문제이다. 건조 온도 및 시간은 제거를 어렵게 하는 과도한 가교를 피하면서 적절한 내에칭성을 제공하도록 선택되어야 한다. 예시적인 건조 온도들은 재료에 따라 60 ℃ 내지 150 ℃의 범위를 갖는다. 예컨대, PVA는 60 ℃에서 용해가능하게 유지되지만, 온도가 범위의 150 ℃ 제한에 접근함에 따라 더 용해가능하지 않게 된다는 것이 발견되었다.
다른 실시예에서, 패시베이션 층(511) 및 범프(512)를 덮기 위해, 수용성 층(502)이 드라이 필름 적층물로서 적용된다. 도 2b는 본 발명의 실시예에 따른, 다이싱될 기판에 수용성 마스크 층을 적용하기 위한 적층 방법(250)을 예시하는 흐름도이다. 동작(202)에서 시작하면서, 기판이 적층 시스템 상에 로딩되거나, 또는 통합된 플랫폼의 적층 모듈 내로 이송된다. 동작(306)에서, 드라이 필름 적층은, 30 ㎛ 아래의 Tmax 필름 두께들을 수용하기 위한 조정들과 함께, 전면 테이핑을 위한 장치들에 대해 통상적인 기법들을 사용하여, 진공 하에서 수행된다. 접착성 층을 갖지 않는 드라이 필름을 채용하는 특정한 실시예들에서, 패시베이션 층(511) 및/또는 범프(512)에 대해 드라이 필름을 유지하기 위해 반데르발스(Van der Waals) 힘 또는 정전기력에 의존한다. 드라이 필름 적층 동작(306)은, 패시베이션 층(511) 및 범프(512) 위에서 필름을 제어가능하게 수축 또는 신장시키고 그리고/또는 가열을 개선하기 위해, 드라이 수용성 필름의 가열을 위한 열적 프로세스를 더 포함할 수 있다. 동작(220)에서 적층 방법(250)을 완료하면서, 기판이 레이저 스크라이브를 위해 언로딩되거나, 또는 레이저 스크라이브 모듈로 진공 내에서 이송된다. 수용성 층(502)이 흡습성인 특정한 실시예들에 있어서, 진공-내 이송이 특히 유리하다.
실시예에 따라, 스핀 코팅 방법(200) 또는 드라이 필름 적층 방법(250)이 배면 그라인드(BSG) 전에 또는 후에 수행될 것이다. 스핀 코팅이 일반적으로, 750 ㎛의 통상적인 두께를 갖는 기판들에 대한 기성의(accomplished) 기법이기 때문에, 스핀 코팅 방법(200)은 배면 그라인드 전에 유리하게 수행될 수 있다. 그러나, 대안으로, 예컨대 회전가능한 척 상에 얇은 기판 및 테이핑된 프레임 양자 모두가 지지됨으로써, 배면 그라인드 후에 스핀 코팅 방법(200)이 수행된다. 드라이 필름 적층이 일반적으로, 박형화된 기판들에 대한 기성의 기법이기 때문에, 적층 방법(250)은 배면 그라인드 후에 유리하게 수행될 수 있다. 그러나, 대안으로, 예컨대 전면 테이프 스택의 제 1 층으로서 배면 그라인드 전에 적층 방법(250)이 수행되며, 그 전면 테이프 스택의 제 1 층 위에 상대적으로 더 두꺼운 통상적인 BSG 테이프가 가장 먼저 적용될 것이다.
도 3a는 웨이퍼 박형화 전에, 다이싱될 기판에 마스크 층(402)을 적용하기 위한 방법(300)을 예시하는 흐름도이다. 방법(300)은, 동작(355)에서, 범프형 그리고 패시베이트된 기판을 수용하면서 시작된다. 동작(304)에서, 수용성 마스크 층(예컨대, 수용성 층(502))이 형성된다. 따라서, 동작(304)은, 여기 다른 곳에서 설명되는 바와 같은, 수용성 마스크 층의 습식 또는 건식 적용을 수반할 수 있다. 동작(360)에서, 수용성 마스크 층 위에 전면 테이프가 형성된다. UV-테이프와 같은(그러나, 이에 제한되지 않는) 임의의 통상적인 전면 테이프가 수용성 마스크 층 위에 적용될 수 있다. 동작(370)에서, 예컨대 도 5에 예시된 기판(406)의 저부 표면(501)을 그라인딩함으로써, 기판이 배면으로부터 박형화된다. 동작(375)에서, 박형화된 기판에 배면 지지부(411)가 부가된다. 예컨대, 배면 테이프(410)가 적용될 수 있고, 그 후에, 수용성 마스크 층을 노출시키기 위해 전면 테이프가 제거된다. 그 후에, 방법(300)은 본 발명의 실시예에 따라, 방법(100)을 완료하기 위해 동작(103)(도 1)으로 돌아간다.
도 3b는 웨이퍼 박형화 후에, 다이싱될 기판에 마스크 층(402)을 적용하기 위한 방법(350)을 예시하는 흐름도이다. 방법(350)은, 동작(355)에서, 범프형 그리고 패시베이트된 기판을 수용하면서 시작된다. 동작(360)에서, IC들 위에 UV-테이프와 같은(그러나, 이에 제한되지 않는) 임의의 통상적인 전면 테이프가 적용된다. 동작(370)에서, 예컨대 도 5에 예시된 기판(406)의 저부 표면(501)을 그라인딩함으로써, 기판이 배면으로부터 박형화된다. 동작(375)에서, 박형화된 기판에 배면 지지부(411)가 부가된다. 예컨대, 배면 테이프(410)가 적용될 수 있고, 그 후에, 수용성 마스크 층으로부터 전면 테이프가 제거된다. 그 후에, 동작(304)에서, 수용성 마스크 층(예컨대, 수용성 층(502))이 형성된다. 다시, 동작(304)은 여기 다른 곳에서 설명되는 바와 같은, 수용성 마스크 층의 습식 또는 건식 적용을 수반할 수 있다. 그 후에, 방법(350)은 본 발명의 실시예에 따라, 방법(300)을 완료하기 위해 동작(103)(도 1)으로 돌아간다.
이제, 방법(100)의 동작(103) 및 대응하는 도 4b로 돌아가면, 레이저 스크라이빙 프로세스로 어블레이션에 의해 마스크 층(402)이 패터닝되어, 표면하 얇은 필름 디바이스 층들로 연장되고 IC들(425, 426) 사이의 기판(406)의 구역들을 노출시키는 트렌치들(412)이 형성된다. 따라서, 레이저 스크라이빙 프로세스는 IC들(425, 426) 사이에 원래 형성된 스트리트들(427)의 얇은 필름 재료를 어블레이팅하기 위해 사용된다. 본 발명의 실시예에 따르면, 레이저 기반 스크라이빙 프로세스로 마스크 층(402)을 패터닝하는 것은, 도 4b에 도시된 바와 같이, IC들(425, 426) 사이의 기판(406)의 구역들 내측으로 부분적으로만 트렌치들(414)을 형성하는 것을 포함한다.
도 5에 예시된 예시적인 실시예에서, 표면하 얇은 필름 디바이스 층들과 패시베이션 층(511)의 두께(TF), 및 수용성 층(502)(마스크(402)의 부분으로서 포함된 임의의 부가적인 재료 층)의 두께(Tmax)에 따라, 레이저 스크라이빙 깊이(DL)는 대략, 깊이가 5 ㎛ 내지 50 ㎛의 범위 내에, 유리하게는 깊이가 10 ㎛ 내지 20 ㎛의 범위 내에 있다.
실시예에서, 마스크 층(402)은 펨토초 범위(즉, 10-15 초)에서의 펄스 폭(지속기간)을 갖는 레이저로 페터닝되며, 그 레이저는 여기에서 펨토초 레이저라고 지칭된다. 펄스 폭과 같은 레이저 파라미터들의 선택은, 깨끗한 레이저 스크라이브 커팅들을 달성하기 위해 치핑, 미세균열들, 및 박리를 최소화하는 성공적인 레이저 스크라이빙 및 다이싱 프로세스를 전개하는데 중요할 수 있다. 펨토초 범위에서의 레이저 주파수는 유리하게, 더 긴 펄스 폭들(예컨대, 피코초 또는 나노초)에 관한 열 손상 문제들을 완화시킨다. 이론에 의해 구속되지 않지만, 현재 이해되는 바와 같이, 펨토초 에너지 소스는 피코초 소스들에 대해 존재하는 낮은 에너지 리커플링(recoupling) 메커니즘들을 피하고, 나노초 소스가 제공하는 것보다 더 큰 열적 비평형을 제공한다. 나노초 또는 피코초 레이저 소스들의 경우에, 스트리트(427)에 존재하는 다양한 얇은 필름 디바이스 층 재료들은 광학 흡수 및 어블레이션 메커니즘들에 관하여 상당히 상이하게 거동한다. 예컨대, 실리콘 이산화물과 같은 유전체 층들은 본질적으로, 정상적인(normal) 조건들 하에서 모든 상업적으로 이용가능한 레이저 파장들에 대해 투명하다. 반대로, 금속들, 유기물들(예컨대, 로우-k 재료들), 및 실리콘은, 특히 나노초 기반 또는 피코초 기반 레이저 조사(irradiation)에서, 광자들을 매우 쉽게 커플링시킬 수 있다. 비-최적의 레이저 파라미터들이 선택되는 경우에, 무기 유전체, 유기 유전체, 반도체, 또는 금속 중 2개 또는 그 초과를 수반하는 스택된 구조들에서, 스트리트(427)의 레이저 조사는 불리하게 박리를 야기할 수 있다. 예컨대, 측정가능한 정도의 흡수 없이 높은 밴드갭 에너지 유전체들(예컨대, 대략 9 eV 밴드갭을 갖는 실리콘 이산화물)을 관통하는 레이저는 아래놓인 금속 또는 실리콘 층에서 흡수될 수 있어서, 금속 또는 실리콘 층들의 상당한 기화(vaporization)가 야기될 수 있다. 기화는 심각한 층간 박리 및 미세균열을 야기할 가능성이 있는 높은 압력들을 생성할 수 있다. 펨토초 기반 레이저 조사 프로세스들은 그러한 재료 스택들의 그러한 미세균열 또는 박리를 피하거나 또는 완화시키는 것으로 입증되었다.
펨토초 레이저 기반 프로세스에 대한 파라미터들은, 무기 및 유기 유전체들, 금속들, 및 반도체들에 대해 실질적으로 동일한 어블레이션 특성들을 갖도록 선택될 수 있다. 예컨대, 실리콘 이산화물의 흡수성(absorptivity)/흡수율(absorptance)은 비선형이고, 유기 유전체들, 반도체들, 및 금속들의 흡수성/흡수율과 더 비슷하게 될 수 있다. 일 실시예에서, 유기 유전체, 반도체, 또는 금속 중 하나 또는 그 초과 및 실리콘 이산화물 층을 포함하는 얇은 필름 층들의 스택을 어블레이팅하기 위해, 높은 강도 및 짧은 펄스 폭의 펨토초 기반 레이저 프로세스가 사용된다. 본 발명의 실시예에 따르면, 적합한 펨토초 기반 레이저 프로세스들은, 일반적으로 다양한 재료들에서 비선형 상호작용들을 야기하는 높은 피크 강도(방사조도)를 특징으로 한다. 일 그러한 실시예에서, 펨토초 레이저 소스들은, 대략 10 펨토초 내지 450 펨토초의 범위에서의, 그러나 바람직하게는 50 펨토초 내지 500 펨토초의 범위에서의 펄스 폭을 갖는다.
특정 실시예들에서, 레이저 방출은, 넓은 또는 좁은 밴드의 광학 방출 스펙트럼에 대해, 가시 스펙트럼, 자외선(UV), 및/또는 적외선(IR) 스펙트럼들의 임의의 조합을 포괄한다. 펨토초 레이저 어블레이션에 있어서도, 특정 파장들이 다른 파장들보다 더 우수한 성능을 제공할 수 있다. 예컨대, 일 실시예에서, UV 범위에서의 또는 UV 범위에 더 근접한 파장을 갖는 펨토초 기반 레이저 프로세스가 IR 범위에서의 또는 IR 범위에 더 근접한 파장을 갖는 펨토초 기반 레이저 프로세스보다 더 깨끗한 어블레이션 프로세스를 제공한다. 특정 실시예에서, 반도체 기판 또는 기판 스크라이빙에 적합한 펨토초 레이저는, 대략 540 나노미터와 동등한 또는 그 미만의, 그러나 바람직하게는 540 나노미터 내지 250 나노미터의 범위에서의 파장을 갖는 레이저에 기초한다. 특정한 실시예에서, 펄스 폭들은 540 나노미터와 동등한 또는 그 미만의 파장을 갖는 레이저에 대해 500 펨토초와 동등하거나 또는 그 미만이다. 그러나, 대안적인 실시예에서, 듀얼 레이저 파장들(예컨대, IR 레이저와 UV 레이저의 조합)이 사용된다.
일 실시예에서, 레이저 및 연관된 광학 경로는, 대략 3 ㎛ 내지 15 ㎛의 범위에서의, 그러나 유리하게는 5 ㎛ 내지 10 ㎛의 범위에서의 작업 표면에서의 포컬 스폿(focal spot)을 제공한다. 작업 표면에서의 공간적인 빔 프로파일은 단일 모드(가우시안)일 수 있거나 또는 빔 성형된 톱-해트(top-hat) 프로파일을 가질 수 있다. 실시예에서, 레이저 소스는, 대략 300 ㎑ 내지 10 ㎒의 범위에서의, 그러나 바람직하게는 대략 500 ㎑ 내지 5 ㎒의 범위에서의 펄스 반복 레이트를 갖는다. 실시예에서, 레이저 소스는, 대략 0.5 μJ 내지 100 μJ의 범위에서의, 그러나 바람직하게는 대략 1 μJ 내지 5 μJ의 범위에서의 작업 표면에서의 펄스 에너지를 전달한다. 실시예에서, 레이저 스크라이빙 프로세스는, 대략 500 ㎜/sec 내지 5 m/sec의 범위에서의, 그러나 바람직하게는 대략 600 ㎜/sec 내지 2 m/sec의 범위에서의 속력으로 작업 피스 표면을 따라 진행한다.
스크라이빙 프로세스는 단일의 통과만으로 또는 다수의 통과들로 진행될 수 있지만, 2회의 통과들을 초과하지 않는 것이 유리하다. 레이저는 주어진 펄스 반복 레이트로 단일의 펄스들의 트레인(train)으로 또는 펄스 버스트(burst)들의 트레인으로 가해질 수 있다. 실시예에서, 생성된 레이저 빔의 커프 폭은, 디바이스/실리콘 인터페이스에서 측정하여, 실리콘 기판 스크라이빙/다이싱에서 바람직하게는 대략 6 ㎛ 내지 10 ㎛의 범위에 있지만, 대략 2 ㎛ 내지 15 ㎛의 범위에 있다.
도 1 및 도 4c로 돌아가면, IC들(426)을 싱귤레이팅하기 위해, 패터닝된 마스크 층(402)에서의 트렌치들(412)을 통해 기판(406)이 에칭된다. 본 발명의 실시예에 따르면, 기판(406)을 에칭하는 것은, 도 4c에 도시된 바와 같이, 궁극적으로 기판(406)을 완전히 통하여 에칭하기 위해, 펨토초 기반 레이저 스크라이빙 프로세스로 형성된 트렌치들(412)을 에칭하는 것을 포함한다.
실시예에서, 기판(406)을 에칭하는 것은 플라즈마 에칭 프로세스를 사용하는 것을 포함한다. 일 실시예에서, 스루 비아 에칭 프로세스가 사용된다. 예컨대, 특정 실시예에서, 기판(406)의 재료의 에칭 레이트는 분당 25 ㎛보다 더 크다. 높은 전력들에서 동작하는 고밀도 플라즈마 소스가 플라즈마 에칭 동작(105)에 대해 사용될 수 있다. 예시적인 전력들은 3 ㎾ 내지 6 ㎾, 또는 그 초과의 범위를 갖는다.
예시적인 실시예에서, 본질적으로 정밀한 프로파일 제어 및 사실상 스캘럽(scallop)이 없는 측벽들을 유지하면서, 통상적인 실리콘 에칭 레이트들의 대략 40 %보다 더 큰 에칭 레이트로 단결정질 실리콘 기판 또는 기판(406)을 에칭하기 위해, 딥 실리콘 에칭(즉, 예컨대 스루 실리콘 비아(TSV) 에칭)이 사용된다. 플라즈마 에칭 프로세스의 지속기간 전반에 걸쳐 수용성 마스크 층을 100 ℃ 아래의, 그리고 바람직하게는 70 ℃ 내지 80 ℃의 온도로 유지하기 위해, -10 ℃ 내지 -15 ℃로 칠링된(chilled) 정전 척(ESC)을 통해 냉각력을 가하는 것을 통하여, 수용성 마스크에 대한 높은 전력의 영향들이 제어된다. 그러한 온도들에서, 마스크의 수용성이 유리하게 유지된다.
특정 실시예에서, 플라즈마 에칭은, 복수의 에칭 사이클들이 시간에 걸쳐 인터리빙된(interleaved) 복수의 보호 폴리머 증착 사이클들을 수반한다. 듀티 사이클은 변화될 수 있고, 예시적인 듀티 사이클은 대략 1:1이다. 예컨대, 에칭 프로세스는 250 ㎳ - 750 ㎳의 지속기간을 갖는 증착 사이클, 및 250 ㎳ - 750 ㎳의 에칭 사이클을 가질 수 있다. 증착 및 에칭 사이클들 사이에, 예컨대 예시적인 실리콘 에칭 실시예에 대해 SF6를 채용하는 에칭 프로세스 케미스트리(chemistry)가 C4F6 또는 C4F8과 같은(그러나, 이에 제한되지 않는) 중합(polymerizing) CxFy 가스를 채용하는 증착 프로세스 케미스트리와 교번된다. 당업계에 알려져 있는 바와 같이, 프로세스 압력들이 추가로 에칭 및 증착 사이클들 사이에서 교번될 수 있어서, 특정한 사이클에서 각각을 조력할 수 있다.
동작(107)에서, 방법(300)은 마스크 층(402)의 제거와 함께 완료된다. 실시예에서, 수용성 마스크는, 물로, 예컨대 탈이온수의 가압된 분사, 또는 분위기(ambient) 또는 가열된 워터 배스(water bath) 내의 침수(submergence)로 세척제거된다. 대안적인 실시예들에서, 마스크 층(402)은 탈이온수의 pH 아래의 pH로 강화된 제거 레이트들을 갖는, 당업계에 알려져 있는 수용매 용액들로 세척제거될 수 있다. 도 4d에서 추가로 예시된 바와 같이, 플라즈마 싱귤레이션 프로세스 또는 마스크 제거 프로세스는 다이 부착 필름(908)을 추가로 패터닝할 수 있어서, 배면 테이프(910)의 상단 부분을 노출시킬 수 있다.
단일 프로세스 툴(600)은 하이브리드 레이저 어블레이션-플라즈마 에칭 싱귤레이션 프로세스(100)에서의 동작들 중 다수 또는 전부를 수행하도록 구성될 수 있다. 예컨대, 도 6은 본 발명의 실시예에 따른, 기판들의 레이저 및 플라즈마 다이싱을 위해 레이저 스크라이브 장치(610)와 커플링된 클러스터 툴(606)의 블록도를 예시한다. 도 6을 참조하면, 클러스터 툴(606)은 복수의 로드락들(604)을 갖는 팩토리 인터페이스(602)(FI)에 커플링된다. 팩토리 인터페이스(602)는 레이저 스크라이브 장치(610) 및 클러스터 툴(606)과 외부 제조 설비 사이에서 인터페이스하기 위한 적합한 대기 포트(atmospheric port)일 수 있다. 팩토리 인터페이스(602)는 (전면 개방 통합 포드(front opening unified pod)들과 같은) 저장 유닛들로부터 클러스터 툴(606) 또는 레이저 스크라이브 장치(610), 또는 양자 모두 내로 기판들(또는 그 기판들의 캐리어들)을 이송하기 위한 암(arm)들 또는 블레이드들을 갖는 로봇들을 포함할 수 있다.
레이저 스크라이브 장치(610)가 또한 FI(602)에 커플링된다. 실시예에서, 레이저 스크라이브 장치(610)는 펨토초 레이저를 포함한다. 펨토초 레이저는 하이브리드 레이저 및 에칭 싱귤레이션 프로세스(100)의 레이저 어블레이션 부분을 수행한다. 일 실시예에서, 이동가능한 스테이지가 또한 레이저 스크라이브 장치(610)에 포함되며, 그 이동가능한 스테이지는 펨토초 기반 레이저에 관하여 기판(또는 그 기판의 캐리어)을 이동시키도록 구성된다. 특정 실시예에서, 펨토초 레이저가 또한 이동가능하다.
클러스터 툴(606)은 기판들의 진공-내 이송을 위한 로봇식 암을 하우징하는 로봇식 이송 챔버(650)에 의해 FI에 커플링된 하나 또는 그 초과의 플라즈마 에칭 챔버들(608)을 포함한다. 플라즈마 에칭 챔버들(608)은 하이브리드 레이저 및 에칭 싱귤레이션 프로세스(100)의 플라즈마 에칭 부분을 수행하기에 적합하다. 일 예시적인 실시예에서, 플라즈마 에칭 챔버(608)는 추가로, C4F8와 C4F6 소스 중 적어도 하나 및 SF6 가스 소스에 커플링된다. 특정 실시예에서, 하나 또는 그 초과의 플라즈마 에칭 챔버들(608)은 미국, 캘리포니아, 서니베일의 Applied Materials로부터 이용가능한 Applied Centura® SilviaTM 에칭 시스템이지만, 다른 적합한 에칭 시스템들이 또한 상업적으로 이용가능하다. 실시예에서, 싱귤레이션 또는 다이싱 프로세스의 높은 제조 스루풋을 가능하게 하기 위해, 통합된 플랫폼(600)의 클러스터 툴(606) 부분에 하나보다 더 많은 플라즈마 에칭 챔버(608)가 포함된다.
클러스터 툴(606)은 하이브리드 레이저 어블레이션-플라즈마 에칭 싱귤레이션 프로세스(100)에서의 기능들을 수행하기에 적합한 다른 챔버들을 포함할 수 있다. 도 6에 예시된 예시적인 실시예에서, 기판을 플라즈마 에칭한 후에 수용성 마스크의 나머지를 세척제거하기 위해, 웨트 프로세스 모듈(614)이 로봇식 이송 모듈(650)에 커플링된다. 웨트 프로세스 모듈(614)은 예컨대, 가압된 물 스프레이 분사 또는 다른 용매(solvent) 디스펜서를 포함할 수 있다.
또 다른 실시예들에서, 증착 모듈(612)은 여기에서 설명되는 수용성 마스크 층의 적용을 위한, 스핀 코팅 모듈 또는 적층 모듈일 수 있다. 스핀 코팅 모듈로서, 증착 모듈(612)은, 프레임 상에 탑재된 배킹 테이프와 같은 캐리어 상에 탑재된 박형화된 기판을 진공에 의해 또는 다른 방식으로 클램핑(clamp)하도록 적응된 회전가능한 척을 포함할 수 있다. 적층 모듈로서, 증착 모듈(612)은, 당업계에 알려져 있는 바와 같은 테이프 릴 및 웨이퍼 테이핑 메커니즘을 포함할 수 있다.
도 7은 컴퓨터 시스템(700)을 예시하며, 그 컴퓨터 시스템(700) 내에서, 머신으로 하여금 여기에서 논의되는 스크라이빙 방법들 중 하나 또는 그 초과를 실행하게 하기 위한 명령들의 세트가, 예컨대, 적어도 하나의 마이크로머신 아티팩트(artifact)를 식별하기 위해 태그로부터 반사되는 광을 분석하도록 실행될 수 있다. 예시적인 컴퓨터 시스템(700)은, 프로세서(702), 메인 메모리(704)(예컨대, 판독 전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 예컨대 동기식 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM) 등), 정적 메모리(706)(예컨대, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등), 및 이차 메모리(718)(예컨대, 데이터 저장 디바이스)를 포함하며, 이들은 버스(730)를 통해 서로 통신한다.
프로세서(702)는 마이크로프로세서, 중앙 프로세싱 유닛 등과 같은 하나 또는 그 초과의 범용 프로세싱 디바이스들을 표현한다. 더 상세하게, 프로세서(702)는, 복잡 명령 세트 컴퓨팅(complex instruction set computing; CISC) 마이크로프로세서, 축약된 명령 세트 컴퓨팅(reduced instruction set computing; RISC) 마이크로프로세서, 매우 긴 명령어(very long instruction word; VLIW) 마이크로프로세서 등일 수 있다. 프로세서(702)는 또한, 주문형 집적 회로(application specific integrated circuit; ASIC), 필드 프로그래머블 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 또는 그 초과의 특수 목적 프로세싱 디바이스들일 수 있다. 프로세서(702)는 여기에서 논의되는 동작들 및 단계들을 수행하기 위한 프로세싱 로직(726)을 실행하도록 구성된다.
컴퓨터 시스템(700)은 네트워크 인터페이스 디바이스(708)를 더 포함할 수 있다. 컴퓨터 시스템(700)은 또한, 비디오 디스플레이 유닛(710)(예컨대, 액정 디스플레이(LCD) 또는 음극선관(CRT)), 알파뉴메릭 입력 디바이스(712)(예컨대, 키보드), 커서 제어 디바이스(714)(예컨대, 마우스), 및 신호 생성 디바이스(716)(예컨대, 스피커)를 포함할 수 있다.
이차 메모리(718)는 머신 액세스가능 저장 매체(또는 더 구체적으로, 컴퓨터 판독가능 저장 매체)(731)를 포함할 수 있으며, 그 머신 액세스가능 저장 매체(731) 상에는, 여기에서 설명되는 기능들 또는 방법들 중 임의의 하나 또는 그 초과를 구현하는 명령들의 하나 또는 그 초과의 세트들(예컨대, 소프트웨어(722))이 저장된다. 소프트웨어(722)는 또한, 컴퓨터 시스템(700)에 의한 그 소프트웨어(722)의 실행 동안에 프로세서(702) 내에 그리고/또는 메인 메모리(704) 내에, 완전히 또는 적어도 부분적으로 상주할 수 있으며, 메인 메모리(704) 및 프로세서(702)가 또한 머신 판독가능 저장 매체들을 구성한다. 소프트웨어(722)는 추가로, 네트워크 인터페이스 디바이스(708)를 통하여 네트워크(720)를 통해 송신 또는 수신될 수 있다.
머신 액세스가능 저장 매체(731)는 또한, 패턴 인식 알고리즘들, 아티팩트 형상 데이터, 아티팩트 위치 데이터, 또는 입자 스파클(sparkle) 데이터를 저장하기 위해 사용될 수 있다. 예시적인 실시예에서 머신 액세스가능 저장 매체(731)가 단일 매체인 것으로 도시되지만, "머신 판독가능 저장 매체"라는 용어는 명령들의 하나 또는 그 초과의 세트들을 저장하는 다수의 매체들(예컨대, 중앙 집중식 또는 분산식 데이터베이스, 및/또는 연관된 캐시들 및 서버들) 또는 단일 매체를 포함하는 것으로 취해져야 한다. "머신-판독가능 저장 매체"라는 용어는 또한, 머신으로 하여금 본 발명의 방법들 중 임의의 하나 또는 그 초과를 수행하게 하는 그리고 머신에 의한 실행을 위한 명령들의 세트를 저장 또는 인코딩할 수 있는 임의의 매체를 포함하는 것으로 취해져야 한다. 따라서, "머신-판독가능 저장 매체"라는 용어는 솔리드-스테이트 메모리들 그리고 광학 및 자성 매체들을 포함하는(그러나, 이에 제한되지 않는) 것으로 취해져야 한다.
따라서, 각각의 기판이 복수의 IC들을 갖는 반도체 기판들을 다이싱하는 방법들이 개시되었다. 요약서에서 설명된 것을 포함하는 본 발명의 예시적인 실시예들의 위의 설명은 포괄적이도록 의도되지 않거나, 또는 본 발명을 개시된 정밀한 형태들로 제한하도록 의도되지 않는다. 본 발명의 특정 구현들 그리고 본 발명에 대한 예들이 여기에서 예시적인 목적들을 위해 설명되지만, 당업자가 인식할 바와 같이, 본 발명의 범위 내에서 다양한 동등한 변형들이 가능하다. 따라서, 본 발명의 범위는 다음의 청구항들에 의해 전부 결정될 것이고, 그 청구항들은 청구항 해석의 확립된 원칙들에 따라 이해될 것이다.

Claims (14)

  1. 복수의 IC들을 포함하는 반도체 기판을 다이싱(dicing)하기 위한 시스템으로서,
    클러스터 툴 ― 상기 클러스터 툴은:
    플라즈마 에칭 챔버;
    상기 플라즈마 에칭 챔버에 커플링되는 로봇식 이송 챔버; 및
    상기 로봇식 이송 챔버에 커플링되는 웨트 프로세스(wet process) 모듈을 포함함 ―;
    마스크를 패터닝하고 상기 IC들 사이의 상기 기판의 구역들을 노출시키기 위한 레이저 스크라이브 모듈 ― 상기 마스크는 수용성 물질의 층을 포함함 ―; 및
    상기 클러스터 툴의 상기 로봇식 이송 챔버에 커플링되고, 상기 레이저 스크라이브 모듈에 커플링되는 팩토리 인터페이스
    를 포함하고,
    상기 레이저 스크라이브 모듈은 상기 로봇식 이송 챔버에 직접적으로 커플링되지 않고, 상기 반도체 기판은 상기 클러스터 툴과 상기 레이저 스크라이브 모듈 사이에서 상기 팩토리 인터페이스를 통해 이송되는,
    반도체 기판을 다이싱하기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 웨트 프로세스 모듈은 가압수 분사기를 포함하는,
    반도체 기판을 다이싱하기 위한 시스템.
  3. 제 1 항에 있어서,
    상기 레이저 스크라이브는 540 나노미터 이하의 파장 및 500 펨토초 이하의 펄스 폭을 갖는 펨토초 레이저를 포함하는,
    반도체 기판을 다이싱하기 위한 시스템.
  4. 제 1 항에 있어서,
    상기 기판 상에 상기 수용성 물질의 수용액을 도포하는 스핀 코팅기; 및
    상기 수용액을 상기 수용성 물질로 건조시키는 핫 플레이트
    를 더 포함하는,
    반도체 기판을 다이싱하기 위한 시스템.
  5. 제 1 항에 있어서,
    상기 로봇식 이송 챔버에 커플링되고, 상기 기판 상에 상기 수용성 물질의 드라이 필름(dry film)을 적층하기 위한 진공 테이프 모듈을 더 포함하는,
    반도체 기판을 다이싱하기 위한 시스템.
  6. 제 1 항에 있어서,
    상기 플라즈마 에칭 챔버는 C4F8와 C4F6 중 적어도 하나 및 SF6에 커플링되는,
    반도체 기판을 다이싱하기 위한 시스템.
  7. 복수의 IC들을 포함하는 반도체 기판을 다이싱하기 위한 시스템으로서,
    클러스터 툴 ― 상기 클러스터 툴은:
    상기 기판 상에 수용성 물질의 수용액을 도포하기 위한 스핀 코팅기, 또는 상기 기판 상에 수용성 물질의 드라이 필름을 적층하기 위한 진공 테이프 모듈 중 하나;
    상기 기판을 플라즈마 에칭함으로써 상기 IC들을 싱귤레이팅하기 위한 플라즈마 에칭 챔버; 및
    상기 스핀 코팅기 또는 진공 테이프 모듈 중의 상기 하나와 커플링되고, 레이저 스크라이브 모듈로부터 상기 플라즈마 에칭 챔버로 레이저 스크라이빙된 기판을 이송하기 위한 로봇식 이송 챔버를 포함함 ―;
    마스크를 패터닝하고, 상기 IC들 사이의 상기 기판의 구역들을 노출시키기 위한 레이저 스크라이브 모듈 ― 상기 마스크는 상기 수용성 물질의 층을 포함함 ―; 및
    상기 클러스터 툴의 상기 로봇식 이송 챔버에 커플링되고, 상기 레이저 스크라이브 모듈에 커플링되는 팩토리 인터페이스
    를 포함하고,
    상기 레이저 스크라이브 모듈은 상기 로봇식 이송 챔버에 직접적으로 커플링되지 않고, 상기 반도체 기판은 상기 클러스터 툴과 상기 레이저 스크라이브 모듈 사이에서 상기 팩토리 인터페이스를 통해 이송되는,
    반도체 기판을 다이싱하기 위한 시스템.
  8. 제 7 항에 있어서,
    상기 레이저 스크라이브는 540 나노미터 이하의 파장 및 500 펨토초 이하의 펄스 폭을 가지는 펨토초 레이저를 포함하는,
    반도체 기판을 다이싱하기 위한 시스템.
  9. 제 7 항에 있어서,
    상기 플라즈마 에칭 챔버는 C4F8와 C4F6 중 적어도 하나 및 SF6에 커플링되는,
    반도체 기판을 다이싱하기 위한 시스템.
  10. 제 7 항에 있어서,
    상기 스핀 코팅기 또는 진공 테이프 모듈 중의 상기 하나는 스핀 코팅기이고,
    상기 시스템은 상기 수용액을 상기 수용성 물질로 건조시키는 핫 플레이트를 더 포함하는,
    반도체 기판을 다이싱하기 위한 시스템.
  11. 제 7 항에 있어서,
    상기 스핀 코팅기 또는 진공 테이프 모듈 중의 상기 하나는 진공 테이프 모듈인,
    반도체 기판을 다이싱하기 위한 시스템.
  12. 복수의 IC들을 포함하는 반도체 기판을 다이싱하기 위한 시스템으로서,
    클러스터 툴 ― 상기 클러스터 툴은:
    수용성 마스크를 통해 기판을 플라즈마 에칭함으로써 IC들을 싱귤레이팅하기 위한 플라즈마 에칭 모듈;
    상기 기판을 플라즈마 에칭한 후에 상기 수용성 마스크를 세척하기 위한 웨트 프로세스 모듈; 및
    상기 플라즈마 에칭 모듈로부터 상기 웨트 프로세스 모듈로 상기 기판을 이송하기 위한 로봇식 이송 챔버를 포함함 ―;
    마스크를 패터닝하고, 상기 IC들 사이의 상기 기판의 구역들을 노출시키기 위한 레이저 스크라이브 모듈 ― 상기 마스크는 수용성 물질의 층을 포함함 ―; 및
    상기 클러스터 툴의 상기 로봇식 이송 챔버에 커플링되고, 상기 레이저 스크라이브 모듈에 커플링되는 팩토리 인터페이스
    를 포함하고,
    상기 레이저 스크라이브 모듈은 상기 로봇식 이송 챔버에 직접적으로 커플링되지 않고, 상기 반도체 기판은 상기 클러스터 툴과 상기 레이저 스크라이브 모듈 사이에서 상기 팩토리 인터페이스를 통해 이송되는,
    반도체 기판을 다이싱하기 위한 시스템.
  13. 제 12 항에 있어서,
    상기 웨트 프로세스 모듈은 가압수 분사기를 포함하는,
    반도체 기판을 다이싱하기 위한 시스템.
  14. 제 12 항에 있어서,
    상기 플라즈마 에칭 모듈은 C4F8와 C4F6 중 적어도 하나 및 SF6에 커플링되는,
    반도체 기판을 다이싱하기 위한 시스템.
KR1020147032001A 2011-06-15 2012-05-25 레이저 및 플라즈마 에칭에 의한 기판 다이싱을 위한 수용성 마스크 KR102036728B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/160,891 US8703581B2 (en) 2011-06-15 2011-06-15 Water soluble mask for substrate dicing by laser and plasma etch
US13/160,891 2011-06-15
PCT/US2012/039746 WO2012173768A2 (en) 2011-06-15 2012-05-25 Water soluble mask for substrate dicing by laser and plasma etch

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147001119A Division KR101534229B1 (ko) 2011-06-15 2012-05-25 기판을 다이싱 하는 방법

Publications (2)

Publication Number Publication Date
KR20150001827A KR20150001827A (ko) 2015-01-06
KR102036728B1 true KR102036728B1 (ko) 2019-10-25

Family

ID=47353990

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147001119A KR101534229B1 (ko) 2011-06-15 2012-05-25 기판을 다이싱 하는 방법
KR1020147032001A KR102036728B1 (ko) 2011-06-15 2012-05-25 레이저 및 플라즈마 에칭에 의한 기판 다이싱을 위한 수용성 마스크

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020147001119A KR101534229B1 (ko) 2011-06-15 2012-05-25 기판을 다이싱 하는 방법

Country Status (6)

Country Link
US (2) US8703581B2 (ko)
JP (2) JP6357099B2 (ko)
KR (2) KR101534229B1 (ko)
CN (3) CN106206277A (ko)
TW (2) TWI478229B (ko)
WO (1) WO2012173768A2 (ko)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BRPI0917375A2 (pt) 2008-08-28 2015-11-17 Procter & Gamble composicoes e metodos para fornecimento de um beneficio
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8507363B2 (en) * 2011-06-15 2013-08-13 Applied Materials, Inc. Laser and plasma etch wafer dicing using water-soluble die attach film
US8598016B2 (en) 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US9401289B2 (en) * 2012-06-04 2016-07-26 STATS ChipPAC Pte. Ltd. Semiconductor device and method of backgrinding and singulation of semiconductor wafer while reducing kerf shifting and protecting wafer surfaces
US8940619B2 (en) * 2012-07-13 2015-01-27 Applied Materials, Inc. Method of diced wafer transportation
US8859397B2 (en) 2012-07-13 2014-10-14 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US9553021B2 (en) * 2012-09-03 2017-01-24 Infineon Technologies Ag Method for processing a wafer and method for dicing a wafer
US9620379B2 (en) * 2013-03-14 2017-04-11 Applied Materials, Inc. Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch
US8883614B1 (en) * 2013-05-22 2014-11-11 Applied Materials, Inc. Wafer dicing with wide kerf by laser scribing and plasma etching hybrid approach
WO2015023287A1 (en) * 2013-08-15 2015-02-19 Applied Materials, Inc. Method of coating water soluble mask for laser scribing and plasma etch
US9224650B2 (en) * 2013-09-19 2015-12-29 Applied Materials, Inc. Wafer dicing from wafer backside and front side
US9312177B2 (en) * 2013-12-06 2016-04-12 Applied Materials, Inc. Screen print mask for laser scribe and plasma etch wafer dicing process
US20150287638A1 (en) * 2014-04-04 2015-10-08 Jungrae Park Hybrid wafer dicing approach using collimated laser scribing process and plasma etch
US9076860B1 (en) * 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8932939B1 (en) 2014-04-14 2015-01-13 Applied Materials, Inc. Water soluble mask formation by dry film lamination
US9449877B2 (en) * 2014-09-17 2016-09-20 Asm Technology Singapore Pte Ltd Method of protecting a mounting tape during laser singulation of a wafer
CN107001287B (zh) 2014-09-26 2020-09-04 豪夫迈·罗氏有限公司 用于制备(环戊并[d]嘧啶-4-基)哌嗪化合物的方法
FR3027155B1 (fr) * 2014-10-08 2018-01-12 Ecole Polytechnique Procede de fabrication d'un dispositif electronique, en particulier a base de nanotubes de carbone
JP2016105442A (ja) * 2014-12-01 2016-06-09 株式会社ディスコ ウエーハの加工方法
JP6055494B2 (ja) * 2015-01-27 2016-12-27 碁達科技股▲ふん▼有限公司 レーザーダイシング方法
JP2016207737A (ja) * 2015-04-17 2016-12-08 株式会社ディスコ 分割方法
US9721839B2 (en) 2015-06-12 2017-08-01 Applied Materials, Inc. Etch-resistant water soluble mask for hybrid wafer dicing using laser scribing and plasma etch
US10043676B2 (en) * 2015-10-15 2018-08-07 Vishay General Semiconductor Llc Local semiconductor wafer thinning
US9972575B2 (en) * 2016-03-03 2018-05-15 Applied Materials, Inc. Hybrid wafer dicing approach using a split beam laser scribing process and plasma etch process
US9793132B1 (en) * 2016-05-13 2017-10-17 Applied Materials, Inc. Etch mask for hybrid laser scribing and plasma etch wafer singulation process
EP3296054B1 (de) * 2016-09-19 2020-12-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur herstellung eines mikrobearbeiteten werkstücks mittels laserabtrag
CN108257850B (zh) * 2016-12-29 2022-02-15 中微半导体设备(上海)股份有限公司 一种等离子基片切割方法和用于该方法的水溶性感光膜
JP7063543B2 (ja) * 2017-04-17 2022-05-09 浜松ホトニクス株式会社 加工対象物切断方法
JP6524564B2 (ja) 2017-06-28 2019-06-05 パナソニックIpマネジメント株式会社 素子チップの製造方法および基板加熱装置
JP7042437B2 (ja) 2017-09-07 2022-03-28 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10079169B1 (en) * 2017-10-30 2018-09-18 Micron Technology, Inc. Backside stealth dicing through tape followed by front side laser ablation dicing process
JP7065311B2 (ja) 2017-11-22 2022-05-12 パナソニックIpマネジメント株式会社 素子チップの製造方法
JP6998545B2 (ja) 2017-12-25 2022-01-18 パナソニックIpマネジメント株式会社 素子チップの製造方法
JP7066263B2 (ja) * 2018-01-23 2022-05-13 株式会社ディスコ 加工方法、エッチング装置、及びレーザ加工装置
JP7142323B2 (ja) * 2018-06-05 2022-09-27 パナソニックIpマネジメント株式会社 素子チップの製造方法
JP7233019B2 (ja) * 2018-06-05 2023-03-06 パナソニックIpマネジメント株式会社 素子チップの製造方法
JP7154697B2 (ja) * 2018-08-13 2022-10-18 株式会社ディスコ 被加工物の加工方法
EP3882959A4 (en) 2018-11-15 2022-08-17 Tokyo Ohka Kogyo Co., Ltd. PROTECTIVE FILM FORMING AGENT FOR PLASMA DICING AND SEMICONDUCTOR CHIP MANUFACTURING METHOD
US10818551B2 (en) * 2019-01-09 2020-10-27 Semiconductor Components Industries, Llc Plasma die singulation systems and related methods
WO2020176457A1 (en) * 2019-02-27 2020-09-03 John Cleaon Moore Water washable thermal and plasma resistant coating for laser interactive applications
JP7253955B2 (ja) * 2019-03-28 2023-04-07 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP2021015938A (ja) * 2019-07-16 2021-02-12 株式会社ディスコ 水溶性の樹脂シート及びウェーハの加工方法
JP7412915B2 (ja) 2019-07-30 2024-01-15 東京応化工業株式会社 保護膜形成剤、及び半導体チップの製造方法
JP2021077768A (ja) 2019-11-08 2021-05-20 東京応化工業株式会社 保護膜形成剤、半導体チップの製造方法、及び(メタ)アクリル樹脂の製造方法
GB201918333D0 (en) 2019-12-12 2020-01-29 Spts Technologies Ltd A semiconductor wafer dicing process
EP4060717A4 (en) 2019-12-24 2023-12-13 Tokyo Ohka Kogyo Co., Ltd. PROTECTIVE FILM FORMING AGENT AND SEMICONDUCTOR CHIP MANUFACTURING METHOD
US20210247691A1 (en) * 2020-02-12 2021-08-12 Hutchinson Technology Incorporated Method For Forming Components Without Adding Tabs During Etching
US11319458B2 (en) 2020-03-09 2022-05-03 Goo Chemical Co., Ltd. Method for fabricating semiconductor device chips and protective composition
KR20230118140A (ko) 2021-01-14 2023-08-10 도오꾜오까고오교 가부시끼가이샤 보호막 형성제, 및 반도체 칩의 제조 방법
KR20220117146A (ko) 2021-02-16 2022-08-23 도오꾜오까고오교 가부시끼가이샤 반도체 칩의 제조 방법, 및 보호막 형성제
JP2022138027A (ja) * 2021-03-09 2022-09-22 株式会社ディスコ レーザ加工方法
DE102021209979A1 (de) 2021-09-09 2023-03-09 Disco Corporation Verfahren zur bearbeitung eines substrats

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5336638A (en) 1991-03-06 1994-08-09 Hitachi, Ltd. Process for manufacturing semiconductor devices
US5632667A (en) 1995-06-29 1997-05-27 Delco Electronics Corporation No coat backside wafer grinding process
JP2001102330A (ja) * 1999-10-01 2001-04-13 Matsushita Electronics Industry Corp 基板の製造方法
US6569282B1 (en) 1999-08-19 2003-05-27 Tokyo Seimitsu Co., Ltd. Etching apparatus
US20050023260A1 (en) 2003-01-10 2005-02-03 Shinya Takyu Semiconductor wafer dividing apparatus and semiconductor device manufacturing method
US20050061248A1 (en) 2003-09-22 2005-03-24 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US20060205182A1 (en) 2005-03-10 2006-09-14 Nec Electronics Corporation Method for manufacturing semiconductor device
JP2006248191A (ja) * 2005-03-14 2006-09-21 Asahi Kasei Chemicals Corp シート状あるいは円筒状の樹脂製印刷基材の製造方法
JP2006254302A (ja) 2005-03-14 2006-09-21 Canon Inc 画像処理装置、画像処理方法及びプログラム
US20090014052A1 (en) 2005-10-07 2009-01-15 Borden Peter G Module having an improved thin film solar cell interconnect
JP2010165963A (ja) 2009-01-19 2010-07-29 Furukawa Electric Co Ltd:The 半導体ウェハの処理方法
US7804043B2 (en) 2004-06-15 2010-09-28 Laserfacturing Inc. Method and apparatus for dicing of thin and ultra thin semiconductor wafer using ultrafast pulse laser

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4049944A (en) 1973-02-28 1977-09-20 Hughes Aircraft Company Process for fabricating small geometry semiconductive devices including integrated components
US4339528A (en) 1981-05-19 1982-07-13 Rca Corporation Etching method using a hardened PVA stencil
US4684437A (en) 1985-10-31 1987-08-04 International Business Machines Corporation Selective metal etching in metal/polymer structures
JPH0416085A (ja) 1990-05-10 1992-01-21 Tokyo Gas Co Ltd 画像記録再生装置
JP3165304B2 (ja) * 1992-12-04 2001-05-14 株式会社半導体エネルギー研究所 半導体装置の作製方法及び半導体処理装置
DE69427882T2 (de) 1993-02-01 2002-04-11 Canon Kk Flüssigkristallanzeige
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
US5593606A (en) 1994-07-18 1997-01-14 Electro Scientific Industries, Inc. Ultraviolet laser system and method for forming vias in multi-layered targets
JPH09216085A (ja) 1996-02-07 1997-08-19 Canon Inc 基板の切断方法及び切断装置
JPH1027971A (ja) 1996-07-10 1998-01-27 Nec Corp 有機薄膜多層配線基板の切断方法
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
US6426484B1 (en) 1996-09-10 2002-07-30 Micron Technology, Inc. Circuit and method for heating an adhesive to package or rework a semiconductor die
US5920973A (en) 1997-03-09 1999-07-13 Electro Scientific Industries, Inc. Hole forming system with multiple spindles per station
JP3230572B2 (ja) 1997-05-19 2001-11-19 日亜化学工業株式会社 窒化物系化合物半導体素子の製造方法及び半導体発光素子
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6057180A (en) 1998-06-05 2000-05-02 Electro Scientific Industries, Inc. Method of severing electrically conductive links with ultraviolet laser output
US6562698B2 (en) 1999-06-08 2003-05-13 Kulicke & Soffa Investments, Inc. Dual laser cutting of wafers
JP2001044144A (ja) 1999-08-03 2001-02-16 Tokyo Seimitsu Co Ltd 半導体チップの製造プロセス
JP2001110811A (ja) 1999-10-08 2001-04-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4387007B2 (ja) 1999-10-26 2009-12-16 株式会社ディスコ 半導体ウェーハの分割方法
JP2001144126A (ja) 1999-11-12 2001-05-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JP2001148358A (ja) 1999-11-19 2001-05-29 Disco Abrasive Syst Ltd 半導体ウェーハ及び該半導体ウェーハの分割方法
US6300593B1 (en) 1999-12-07 2001-10-09 First Solar, Llc Apparatus and method for laser scribing a coated substrate
KR100850262B1 (ko) 2000-01-10 2008-08-04 일렉트로 싸이언티픽 인더스트리이즈 인코포레이티드 초단 펄스 폭을 가진 레이저 펄스의 버스트로 메모리링크를 처리하기 위한 레이저 시스템 및 방법
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6407363B2 (en) 2000-03-30 2002-06-18 Electro Scientific Industries, Inc. Laser system and method for single press micromachining of multilayer workpieces
US7009968B2 (en) 2000-06-09 2006-03-07 Broadcom Corporation Gigabit switch supporting improved layer 3 switching
JP3485525B2 (ja) * 2000-07-06 2004-01-13 沖電気工業株式会社 半導体装置の製造方法
KR100773070B1 (ko) 2000-07-12 2007-11-02 일렉트로 싸이언티픽 인더스트리이즈 인코포레이티드 Ic 퓨즈를 하나의 펄스로 절단하기 위한 uv 레이저시스템 및 방법
US6676878B2 (en) 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
JP4109823B2 (ja) 2000-10-10 2008-07-02 株式会社東芝 半導体装置の製造方法
US6712983B2 (en) * 2001-04-12 2004-03-30 Memsic, Inc. Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
JP2005504445A (ja) 2001-10-01 2005-02-10 エグシル テクノロジー リミテッド 基板、特に半導体ウェハの加工
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
JP3910843B2 (ja) 2001-12-13 2007-04-25 東京エレクトロン株式会社 半導体素子分離方法及び半導体素子分離装置
JP4006994B2 (ja) 2001-12-18 2007-11-14 株式会社リコー 立体構造体の加工方法、立体形状品の製造方法及び立体構造体
US6706998B2 (en) 2002-01-11 2004-03-16 Electro Scientific Industries, Inc. Simulated laser spot enlargement
DE10391811B4 (de) 2002-02-25 2012-06-21 Disco Corp. Verfahren zum Zerlegen eines Halbleiterwafers
KR100451950B1 (ko) 2002-02-25 2004-10-08 삼성전자주식회사 이미지 센서 소자 웨이퍼 소잉 방법
JP2003257896A (ja) 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd 半導体ウェーハの分割方法
KR101037142B1 (ko) 2002-04-19 2011-05-26 일렉트로 사이언티픽 인더스트리즈, 아이엔씨 펄스 레이저를 이용한 기판의 프로그램 제어 다이싱
JP4354675B2 (ja) * 2002-06-04 2009-10-28 ローツェ株式会社 薄板状電子部品クリーン移載装置および薄板状電子製品製造システム
JP2004031526A (ja) 2002-06-24 2004-01-29 Toyoda Gosei Co Ltd 3族窒化物系化合物半導体素子の製造方法
US6582983B1 (en) 2002-07-12 2003-06-24 Keteca Singapore Singapore Method and wafer for maintaining ultra clean bonding pads on a wafer
JP4286497B2 (ja) 2002-07-17 2009-07-01 新光電気工業株式会社 半導体装置の製造方法
JP3908148B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 積層型半導体装置
US20040157457A1 (en) 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
JP2004273895A (ja) 2003-03-11 2004-09-30 Disco Abrasive Syst Ltd 半導体ウエーハの分割方法
US7087452B2 (en) 2003-04-22 2006-08-08 Intel Corporation Edge arrangements for integrated circuit chips
JP2004322168A (ja) 2003-04-25 2004-11-18 Disco Abrasive Syst Ltd レーザー加工装置
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
JP4231349B2 (ja) 2003-07-02 2009-02-25 株式会社ディスコ レーザー加工方法およびレーザー加工装置
JP3842769B2 (ja) * 2003-09-01 2006-11-08 株式会社東芝 レーザ加工装置、レーザ加工方法、及び半導体装置の製造方法
JP4408361B2 (ja) 2003-09-26 2010-02-03 株式会社ディスコ ウエーハの分割方法
JP2005116844A (ja) * 2003-10-09 2005-04-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP4471632B2 (ja) 2003-11-18 2010-06-02 株式会社ディスコ ウエーハの加工方法
JP4781634B2 (ja) * 2004-03-30 2011-09-28 日東電工株式会社 レーザー加工品の製造方法及びレーザー加工用保護シート
JP2005203541A (ja) 2004-01-15 2005-07-28 Disco Abrasive Syst Ltd ウエーハのレーザー加工方法
JP2005303191A (ja) * 2004-04-15 2005-10-27 Renesas Technology Corp 半導体装置の製造方法
US7459377B2 (en) 2004-06-08 2008-12-02 Panasonic Corporation Method for dividing substrate
US7687740B2 (en) 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
US7507638B2 (en) 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
JP4018088B2 (ja) * 2004-08-02 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法及び半導体素子の製造方法
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
JP4018096B2 (ja) 2004-10-05 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法、及び半導体素子の製造方法
US20060088984A1 (en) 2004-10-21 2006-04-27 Intel Corporation Laser ablation method
US20060086898A1 (en) 2004-10-26 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus of making highly repetitive micro-pattern using laser writer
US20060146910A1 (en) 2004-11-23 2006-07-06 Manoochehr Koochesfahani Method and apparatus for simultaneous velocity and temperature measurements in fluid flow
JP4288229B2 (ja) 2004-12-24 2009-07-01 パナソニック株式会社 半導体チップの製造方法
US7875898B2 (en) 2005-01-24 2011-01-25 Panasonic Corporation Semiconductor device
US7361990B2 (en) 2005-03-17 2008-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing cracking of high-lead or lead-free bumps by matching sizes of contact pads and bump pads
JP4478053B2 (ja) 2005-03-29 2010-06-09 株式会社ディスコ 半導体ウエーハ処理方法
JP4285455B2 (ja) 2005-07-11 2009-06-24 パナソニック株式会社 半導体チップの製造方法
JP4599243B2 (ja) 2005-07-12 2010-12-15 株式会社ディスコ レーザー加工装置
TWI295816B (en) * 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
JP2007073670A (ja) * 2005-09-06 2007-03-22 Disco Abrasive Syst Ltd 水溶性樹脂被覆方法
US9138913B2 (en) 2005-09-08 2015-09-22 Imra America, Inc. Transparent material processing with an ultrashort pulse laser
JP4769560B2 (ja) 2005-12-06 2011-09-07 株式会社ディスコ ウエーハの分割方法
CN101064245A (zh) * 2006-04-25 2007-10-31 力晶半导体股份有限公司 硬掩模层与半导体元件的制造方法
US20070262051A1 (en) * 2006-05-12 2007-11-15 Advanced Chip Engineering Technology Inc. Method of plasma etching with pattern mask
JP4372115B2 (ja) 2006-05-12 2009-11-25 パナソニック株式会社 半導体装置の製造方法、および半導体モジュールの製造方法
US8198566B2 (en) 2006-05-24 2012-06-12 Electro Scientific Industries, Inc. Laser processing of workpieces containing low-k dielectric material
US20070272666A1 (en) 2006-05-25 2007-11-29 O'brien James N Infrared laser wafer scribing using short pulses
JP4480728B2 (ja) 2006-06-09 2010-06-16 パナソニック株式会社 Memsマイクの製造方法
JP5134795B2 (ja) * 2006-08-23 2013-01-30 日本写真印刷株式会社 成形同時加飾成形品の製法
KR101262386B1 (ko) 2006-09-25 2013-05-08 엘지이노텍 주식회사 질화물 반도체 발광소자의 제조 방법
JP4544231B2 (ja) 2006-10-06 2010-09-15 パナソニック株式会社 半導体チップの製造方法
JP4840174B2 (ja) * 2007-02-08 2011-12-21 パナソニック株式会社 半導体チップの製造方法
JP4840200B2 (ja) 2007-03-09 2011-12-21 パナソニック株式会社 半導体チップの製造方法
JP4840872B2 (ja) * 2007-03-29 2011-12-21 東京エレクトロン株式会社 基板処理装置及びその大気搬送ユニット
US7926410B2 (en) 2007-05-01 2011-04-19 J.R. Automation Technologies, L.L.C. Hydraulic circuit for synchronized horizontal extension of cylinders
WO2008142911A1 (en) 2007-05-18 2008-11-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7851272B2 (en) * 2007-05-30 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-project wafer and method of making same
JP4488037B2 (ja) 2007-07-24 2010-06-23 パナソニック株式会社 半導体ウェハの処理方法
JP2009034694A (ja) 2007-07-31 2009-02-19 Disco Abrasive Syst Ltd レーザ加工方法
US7989319B2 (en) 2007-08-07 2011-08-02 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8012857B2 (en) 2007-08-07 2011-09-06 Semiconductor Components Industries, Llc Semiconductor die singulation method
JP5205012B2 (ja) 2007-08-29 2013-06-05 株式会社半導体エネルギー研究所 表示装置及び当該表示装置を具備する電子機器
TW200935506A (en) 2007-11-16 2009-08-16 Panasonic Corp Plasma dicing apparatus and semiconductor chip manufacturing method
US8614151B2 (en) 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US7859084B2 (en) 2008-02-28 2010-12-28 Panasonic Corporation Semiconductor substrate
CN105583526B (zh) * 2008-03-21 2018-08-17 Imra美国公司 基于激光的材料加工方法和系统
JP5163358B2 (ja) * 2008-03-26 2013-03-13 日立化成株式会社 半導体ウエハのダイシング方法
CN101990480A (zh) 2008-04-10 2011-03-23 应用材料股份有限公司 激光刻划平台与杂合书写策略
US20100013036A1 (en) 2008-07-16 2010-01-21 Carey James E Thin Sacrificial Masking Films for Protecting Semiconductors From Pulsed Laser Process
KR101026010B1 (ko) 2008-08-13 2011-03-30 삼성전기주식회사 레이저 가공장치 및 레이저 가공방법
US8426250B2 (en) * 2008-10-22 2013-04-23 Intel Corporation Laser-assisted chemical singulation of a wafer
US10307862B2 (en) 2009-03-27 2019-06-04 Electro Scientific Industries, Inc Laser micromachining with tailored bursts of short laser pulses
US8609512B2 (en) 2009-03-27 2013-12-17 Electro Scientific Industries, Inc. Method for laser singulation of chip scale packages on glass substrates
KR101094450B1 (ko) * 2009-06-05 2011-12-15 에스티에스반도체통신 주식회사 플라즈마를 이용한 다이싱 방법
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8598016B2 (en) 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5336638A (en) 1991-03-06 1994-08-09 Hitachi, Ltd. Process for manufacturing semiconductor devices
US5632667A (en) 1995-06-29 1997-05-27 Delco Electronics Corporation No coat backside wafer grinding process
US6569282B1 (en) 1999-08-19 2003-05-27 Tokyo Seimitsu Co., Ltd. Etching apparatus
JP2001102330A (ja) * 1999-10-01 2001-04-13 Matsushita Electronics Industry Corp 基板の製造方法
US20050023260A1 (en) 2003-01-10 2005-02-03 Shinya Takyu Semiconductor wafer dividing apparatus and semiconductor device manufacturing method
US20050061248A1 (en) 2003-09-22 2005-03-24 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US7804043B2 (en) 2004-06-15 2010-09-28 Laserfacturing Inc. Method and apparatus for dicing of thin and ultra thin semiconductor wafer using ultrafast pulse laser
US20060205182A1 (en) 2005-03-10 2006-09-14 Nec Electronics Corporation Method for manufacturing semiconductor device
JP2006248191A (ja) * 2005-03-14 2006-09-21 Asahi Kasei Chemicals Corp シート状あるいは円筒状の樹脂製印刷基材の製造方法
JP2006254302A (ja) 2005-03-14 2006-09-21 Canon Inc 画像処理装置、画像処理方法及びプログラム
US20090014052A1 (en) 2005-10-07 2009-01-15 Borden Peter G Module having an improved thin film solar cell interconnect
JP2010165963A (ja) 2009-01-19 2010-07-29 Furukawa Electric Co Ltd:The 半導体ウェハの処理方法

Also Published As

Publication number Publication date
JP2014523112A (ja) 2014-09-08
JP6357099B2 (ja) 2018-07-11
US8703581B2 (en) 2014-04-22
US9263308B2 (en) 2016-02-16
TWI478229B (zh) 2015-03-21
WO2012173768A3 (en) 2013-02-21
TW201511106A (zh) 2015-03-16
CN103563054A (zh) 2014-02-05
CN106206277A (zh) 2016-12-07
JP2015159296A (ja) 2015-09-03
TWI541881B (zh) 2016-07-11
US20120322233A1 (en) 2012-12-20
WO2012173768A2 (en) 2012-12-20
TW201250820A (en) 2012-12-16
US20140174659A1 (en) 2014-06-26
KR20140041749A (ko) 2014-04-04
KR101534229B1 (ko) 2015-07-06
KR20150001827A (ko) 2015-01-06
JP6113214B2 (ja) 2017-04-12
CN107845607A (zh) 2018-03-27

Similar Documents

Publication Publication Date Title
KR102036728B1 (ko) 레이저 및 플라즈마 에칭에 의한 기판 다이싱을 위한 수용성 마스크
KR102060024B1 (ko) 레이저 스크라이빙 및 플라즈마 에칭에 의한 디바이스 싱귤레이션을 위한 인-시튜 증착된 마스크 층
KR102036708B1 (ko) 레이저 및 플라즈마 에칭에 의한 기판 다이싱을 위한 다층 마스크
US10112259B2 (en) Damage isolation by shaped beam delivery in laser scribing process
KR102303143B1 (ko) 레이저 및 플라즈마 에칭에 의한 기판 다이싱을 위한 마스크 잔류물 제거
US20140273401A1 (en) Substrate laser dicing mask including laser energy absorbing water-soluble film
US9620379B2 (en) Multi-layer mask including non-photodefinable laser energy absorbing layer for substrate dicing by laser and plasma etch

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant