KR101931035B1 - 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어 - Google Patents

전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어 Download PDF

Info

Publication number
KR101931035B1
KR101931035B1 KR1020170168351A KR20170168351A KR101931035B1 KR 101931035 B1 KR101931035 B1 KR 101931035B1 KR 1020170168351 A KR1020170168351 A KR 1020170168351A KR 20170168351 A KR20170168351 A KR 20170168351A KR 101931035 B1 KR101931035 B1 KR 101931035B1
Authority
KR
South Korea
Prior art keywords
flow
substrate
shaping element
wafer
plating
Prior art date
Application number
KR1020170168351A
Other languages
English (en)
Other versions
KR20170139477A (ko
Inventor
스티븐 티. 메이어
데이빗 더블유. 포터
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20170139477A publication Critical patent/KR20170139477A/ko
Application granted granted Critical
Publication of KR101931035B1 publication Critical patent/KR101931035B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/60Electroplating characterised by the structure or texture of the layers
    • C25D5/605Surface topography of the layers, e.g. rough, dendritic or nodular layers
    • C25D5/611Smooth layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Game Rules And Presentations Of Slot Machines (AREA)

Abstract

기판에 하나 이상의 금속을 전기도금하기 위한 장치 및 방법이 개시된다. 실시예는, 고도로 균일한 도금층을 얻도록, 효율적인 물질 전달을 위한 전기도금 장치 및 방법을 포함한다. 특정 실시예에서, 대량 전달은 웨이퍼 표면에서 충돌류 및 전단류를 조합하여 달성된다.

Description

전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어 {CONTROL OF ELECTROLYTE HYDRODYNAMICS FOR EFECIENT MASS TRANSFER DURING ELECTROPLATING}
관련 출원의 상호 참조
본 출원은 2010년 7월 2일자 미국특허가출원 제61/361,333호, 2010년 8월 18일자 미국특허가출원 제61/374,911호, 및 2010년 10월 21일자 미국특허가출원 61/405,608호를 기초로 하여 우선권을 주장하며, 그 개시내용 각각은 본 발명에서 참고자료로 사용된다.
본 발명은 전기도금 동안 유체역학적 전해질 제어 방법 및 장치에 관한 것이다. 특히, 본 발명은 반도체 웨이퍼 기판에 금속을 도금하는데 특히 유용하다.
전기화학적 증착 프로세스는 현대의 집적 회로 설계 분야에서 잘 정립되어 있다. 21세기 초반의 알루미늄으로부터 구리 금속 배선으로의 이동은 점점 더 증가하는 정교한 전기도금 프로세스 및 도금 툴의 필요성을 증진시켰다. 장치 금속화층에 정말 작은 전류를 운반하는 배선이 필요해짐에 따라, 더욱 정교한 과정이 전개되었다. 이러한 구리 배선은 "다마신"(damascene) 공정이라 불리는 방법에서 매우 얇고 종횡비(aspect ratio)가 큰 트렌치 및 비아 내로 금속을 전기도금함으로써 형성된다.
전기화학적 증착은 웨이퍼 레벨 패키징(WLP) 및 관통 실리콘 비아(TSV) 전기 연결 기술이라고 일반적으로 알려진 정교한 패키징 및 멀티칩 상호연결 기술에 대한 상업적 필요성을 충족시킬 준비가 되어 있다. 이렇나 기술들은 자체적으로 매우 심각한 문제점들을 제시한다.
이 기술들은 다마신 애플리케이션보다 훨씬 큰 크기 규모의 전기도금(예를 들어, 관통 칩 연결 TSV, 상호연결 재분배 와이어링, 또는 칩-보드 또는 칩 본딩, 가령, 플립-칩 필라)을 필요로 한다. 패키징 피처의 타입 및 애플리케이션에 따라, 도금된 피처는 현재의 기술 수준에서, 2 ㎛보다 크고 일반적으로 5 내지 100 ㎛ 범위에 있다(예를 들어, 필라(pillars)는 약 50 ㎛일 수 있다). 파워 버스와 같은 일부 온-칩 구조물의 경우에, 도금될 피처가 100 ㎛보다 클 수 있다. WLP 피처의 종횡비는 일반적으로 약 1:1(폭에 대한 높이) 또는 그 미만이며, TSV 구조물은 매우 높은 종횡비(예를 들어, 약 20:1 근방)를 가질 수 있다.
비교적 많은 양의 물질이 증착되어야 할 경우에, 피처 크기뿐 아니라, 도금 속도도 다마신 애플리케이션과, WLP 및 TSV 애플리케이션 간에 차이를 나타낸다. 많은 WLP 애플리케이션에서, 도금은 적어도 약 2 ㎛/분의 속도, 일반적으로 적어도 약 4 ㎛/분, 일부 애플리케이션의 경우에는 적어도 약 7 ㎛/분의 속도로 피처를 충전하여야 한다. 이러한 높은 도금 범위 영역에서, 전해질 내 금속 이온을 도금 표면으로 효율적으로 물질 전달하는 것이 중요하다.
도금 속도가 빠르면, 전기도금되는 층의 균일성이 저하될 수 있기 때문에, 도금은 고도로 균일한 방식으로 진행되어야 한다. 다양한 WLP 애플리케이션의 경우에, 도금은 웨이퍼 표면을 따라 반경방향으로 기껏해야 약 5% 절반 범위의 변화를 나타내야 한다(웨이퍼 직경에 대해 복수의 위치에서 다이의 단일 피처 타입으로 측정되는, '웨이퍼 내 불균일성'이라 불림). 이와 유사한 마찬가지 요건은 서로 다른 크기(예를 들어, 피처 직경) 또는 피처 밀도(예를 들어, 어레이 중간에 삽입된 또는 고립된 피처)를 갖는 다양한 피처의 균일한 증착(두께 및 형태)이다. 이러한 성능 사양은 일반적으로 다이 내 불균일성이라 불린다. 다이 내 불균일성은 웨이퍼 상의 특정 다이 위치(가령, 중간 반경, 중심, 또는 에지 위치)에서 주어진 웨이퍼 다이 내의 평균 피처 높이 또는 형태에 대한 앞서 설명한 다양한 피처 타입의 국부적 가변성(예를 들어 < 5% 절반 범위)으로 측정된다.
마지막 요건은 피처 내부 형태의 일반적 제어다. 라인 또는 필라는 오목하거나 평탄하거나 볼록한 방식으로 경사질 수 있고, 항상 그런 것은 아니지만 일반적으로 평탄한 형태가 바람직하다. 이러한 요건에 부합하면서도, WLP 애플리케이션은 종래의 저렴한 픽 앤드 플레이트 루팅 작동과 경쟁하여야 한다. 더욱이, WLP 애플리케이션에 대한 전기화학적 증착은 납, 주석, 은, 니켈, 금, 및 이러한 금속들의 다양한 합금과 같은 다양한 비-구리 금속을 도금하는 과정을 포함하며, 이 중 일부는 구리를 포함한다.
기판에 하나 이상의 금속을 전기도금하는 장치 및 방법이 설명된다. 실시예들에서는 일반적으로 기판이 반도체 웨이퍼이지만, 발명이 이것만으로 제한되지는 않는다. 실시예는 고도로 균일한 도금층을 얻을 수 있도록 도금 중 효율적인 대량 전송을 위한 유체역학적 전해질 제어를 포함한 전기도금 장치 및 방법을 포함한다. 특정 실시예에서, 물질 전달은 웨이퍼 표면에서 충돌 흐름 및 전단 흐름의 조합을 이용하여 달성된다.
일 실시예는 (a) 실질적으로 평면형인 기판에 금속을 전기도금하면서 전해질과 애노드를 담도록 구성되는 도금 챔버와, (b) 전기도금 동안 기판의 도금 면이 애노드로부터 분리되도록 상기 실질적으로 평면형인 기판을 홀딩하도록 구성되는 기판 홀더와, (c) 전기도금 동안 기판의 도금 면에 실질적으로 평행하고 기판의 도금 면으로부터 분리된 기판 대면 표면을 포함하는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는 흐름 정형 엘리먼트를 통해 구성되는 복수의 비-연통 채널과 함께 이온성 저항 물질을 포함하며, 상기 비-연통 채널은 전기도금 동안 상기 흐름 정형 엘리먼트를 통해 전해질을 전달할 수 있는, 상기 흐름 정형 엘리먼트와, (d) 상기 흐름 정형 엘리먼트의 기판 대면 표면 상의 흐름 전환기로서, 상기 흐름 전환기는 상기 흐름 정형 엘리먼트의 원주를 부분적으로 따르는 벽체 구조를 포함하고, 전기도금 동안 상기 흐름 정형 엘리먼트와, 상기 실질적으로 평면형인 기판 사이의 부분적인 또는, "의사" 챔버("pseudo" chamber)를 구획하는, 상기 흐름 전환기를 포함하는 전기 도금 장치다.
일 실시예에서, 상기 흐름 정형 엘리먼트는 디스크 형태이고 상기 흐름 전환기는 상기 흐름 정형 엘리먼트에 부착되거나 일체형으로 구성되는 슬롯형 환형 스페이서를 포함한다. 일 실시예에서, 흐름 전환기의 벽체 구조는 단일 갭을 갖고, 상기 단일 갭은 약 40도 내지 약 90도 사이의 아크를 차지한다. 흐름 전환기의 벽체 구조 높이는 약 1 ㎜ 내지 약 5 ㎜ 사이다. 소정의 실시예에서, 전기도금 동안 상기 벽체 구조의 상부 표면이 상기 기판 홀더의 하부 표면으로부터 약 0.1 내지 약 0.5 ㎜ 사이에 있도록, 그리고, 전기도금 동안 상기 흐름 정형 엘리먼트의 상부면은 기판 홀더의 하부면으로부터 약 1 ㎜ 내지 약 5 ㎜ 사이에 있도록, 상기 흐름 전환기가 구성된다. 흐름 정형 엘리먼트 내 관통 구멍의 개수 및 구조는 아래에서 더욱 상세하게 설명된다. 구멍은 흐름 정형 엘리먼트 상에서 균일한 패턴을 가질 수도 있고, 불균일한 패턴을 가질 수도 있다. 소정의 실시예에서, 흐름 정형 엘리먼트는 "흐름 정형 판"이라 불린다.
소정의 실시예에서, 상기 장치는 전기도금 동안 흐름 정형 엘리먼트의 구멍을 빠져나가는 적어도 약 10 ㎝/sec의 평균 유속을 생성하는 조건 하에서 기판 도금 면의 방향으로 전해질을 유동시키도록 구성된다. 소정의 실시예에서, 장치는 기판의 도금 면의 중심점 사이에서 약 3 ㎝/sec 또는 그보다 큰 횡방향 전해질 속도를 생성하는 조건 하에서 작동하도록 구성된다.
소정의 실시예에서, 상기 벽체 구조는 내측부보다 높은 외측부를 갖는다. 실시예들은 의사 챔버 내에 벤트 영역을 형성하는 하나 이상의 갭을 제외하곤, 의사 챔버로부터의 전해질의 흐름을 제한하는 피처를 포함한다.
일 실시예는 기판에 금속을 전기도금하기 위한 장치에 있어서, 상기 장치는, (a) 기판에 금속을 전기도금하면서 전해질 및 애노드를 담도록 구성되는 도금 챔버와, (b) 전기도금 동안 기판의 도금 면이 애노드로부터 이격되도록 기판을 홀딩할 수 있게 구성되는 기판 홀더로서, 상기 기판 홀더는 기판의 에지와 콘택트하여 전기도금 동안 기판에 전류를 제공하도록 구성되는 하나 이상의 전력 콘택트부를 갖는, 상기 기판 홀더와, (c) 전기도금 동안 기판과 애노드 사이에 배치되도록 정형 및 구성되는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는 전기도금 동안 기판의 도금 면에 실질적으로 평행한, 그리고, 약 10 ㎜ 또는 그 미만의 간격만큼 기판의 도금 면으로 이격되는, 평탄한 표면을 갖고, 상기 흐름 정형 엘리먼트는 전해질의 흐름을 상기 기판의 도금 면을 향하게 하는 복수의 구멍을 또한 구비한, 상기 흐름 정형 엘리먼트와, (d) 기판 도금 면의 방향으로 전기도금 셀의 전해질을 유동시키면서 기판 및/또는 흐름 정형 엘리먼트를 회전시키는 메커니즘과, (e) 상기 기판의 도금 면에서 유동하는 전해질에 전단력을 공급하는 메커니즘을 포함하고, 상기 장치는, 전기도금 동안 상기 흐름 정형 엘리먼트의 구멍을 빠져나가는 적어도 약 10 ㎝/sec의 평균 유속을 생성하는 조건 하에서 기판 도금 면의 방향으로 전해질을 유동하도록, 그리고, 상기 기판의 도금 면의 중심점 사이에서 적어도 약 3 ㎝/sec의 전해질 속도로 기판의 도금 면에 평행한 방향으로 전해질을 유동시키도록, 구성된다. 다양한 전단력 메커니즘이 아래에서 상세하게 설명된다.
일 실시예는 적어도 약 2 ㎛의 폭 및/또는 깊이를 갖는 피처를 포함하는 기판에서의 전기도금 방법에 있어서, 상기 방법은, (a) 기판에 금속을 전기도금하면서 전해질 및 애노드를 담도록 구성되는 도금 챔버에 기판을 제공하는 단계로서, 상기 도금 챔버는 (i) 전기도금 동안 기판의 도금 면이 애노드로부터 분리되도록 기판을 홀딩하는 기판 홀더와, (ii) 전기도금 동안 기판과 애노드 사이에 위치하도록 정형 및 구성되는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는, 전기도금 동안 상기 기판의 도금 면에 실질적으로 평행하고 기판의 도금 면으로부터 약 10 ㎜ 또는 그 미만의 간격만큼 분리된 평탄한 표면을 가지며, 상기 흐름 정형 엘리먼트가 복수의 구멍을 갖는, 상기 흐름 정형 엘리먼트를 포함하는, 단계와, (b) 상기 흐름 정형 엘리먼트의 구멍을 빠져나가는 적어도 약 10 ㎝/sec의 평균 유속을 생성하는 조건 하에서 기판 도금 면의 방향으로 전기도금 셀에서 전해질을 유동시키고, 기판 및/또는 흐름 정형 엘리먼트를 회전시키면서, 기판 도금 면에 금속을 전계증착하는 단계를 포함한다.
일 실시예에서, 전해질은 약 3 ㎝/sec 또는 그보다 큰 속도로 기판의 중심점의 기판의 도금 면 사이에서 유동하고, 전단력이 기판의 도금 면에서 유동하는 전해질에 공급된다. 일 실시예에서, 금속은 적어도 약 5 ㎛/분의 속도로 피처에서 전기도금된다. 일 실시예에서, 기판의 도금 표면 상에 전기도금되는 금속의 두께는 적어도 약 1 ㎛의 두께로 도금될 때 약 10% 또는 그보다 우수한 균일도를 갖는다.
여기서 개시되는 방법은, 재분배층, 외부 와이어에 연결을 위한 범프, 및 언더-범프 금속화 피처와 같은, 다마신 피처, TSV 피처, 및 웨이퍼 레벨 패키징(WLP) 피처를 전기도금하는데 특히 유용하다.
여기서 설명되는 실시예의 특정 형태가 아래에 포함된다.
도 1A는 웨이퍼의 전기도금에 사용되는 반도에 웨이퍼 홀더 및 위치 결정 메커니즘의 사시도.
도 1B는 도 1A와 관련하여 설명되는 웨이퍼 홀더의 단면도.
도 1C는 전해질 흐름에 대한 복수의 관통 구멍을 갖는 흐름 정형 판의 형태를 도시하는 웨이퍼 도금 장치의 단면도.
도 1D는 고속 증착 도금 영역에서 도 1C와 관련하여 설명되는 흐름 정형 판을 이용할 때 외부 영역에 비해 웨이퍼 중심 근처에서 증착 속도가 감소함을 나타내는 그래프.
도 2A는 전형적인 흐름 전환기 및 흐름 정형판 조립체의 사시도.
도 2B는 웨이퍼 홀더와 관련하여 도 2A에서 설명되는 흐름 전환기의 단면도.
도 2C 및 도 2D는 도 2A와 관련하여 설명되는 흐름 전환기가 사용될 때 흐름 정형판의 상부에서의 유체역학적 흐름의 평면도.
도 2E 내지 도 2I는 웨이퍼 홀더 및 전해질 챔버 하드웨어와 함께 도 2A와 관련하여 설명되는 조립체의 다양한 형태의 도면.
도 3A는 도금 중 웨이퍼 사이의 횡방향 유체 흐름을 돕기 위해 흐름 전환기가 수직 표면 요소를 갖는, 흐름 전환기/흐름 정형판의 평면도 및 단면도.
도 3B는 도 3A와 관련하여 설명된 흐름 전환기와 웨이퍼 홀더 조립체 사이의 관계를 나타내는 단면도.
도 3C는 도 3A 및 3B와 관련하여 설명되는 흐름 전환기/흐름 정형판을 이용하여 얻어지는 도금 균일성 결과를 나타내는 그래프.
도 3D는 수직 표면 요소를 갖는 다수의 흐름 전환기의 단면도.
도 3E는 정사각형 패턴의 관통 구멍 배치를 갖는 흐름 정형판과 함께 설명되는 흐름 전환기를 이용함으로써 발생되는 흐름 패턴의 도면.
도 4A 및 도 4B는 나선형 관통 구멍 패턴을 갖는 흐름 정형판의 평면도로서, 나선형 패턴의 원점은 흐름 정형판 상의 서로 다른 위치에 놓이는, 도면.
도 4C는 나선형 관통 구멍 패턴을 갖는 흐름 정형판의 평면도 및 사시도로서, 나선형 패턴이 흐름 정형판 면의 중심으로부터 이격되어 있어서, 나선형 패턴의 원점이 관통 구멍 패턴에 포함되지 않는, 도면.
도 5A는 도금 중 도 4C와 관련하여 설명한 흐름 정형판과 연계하여 사용되는, 도 3A와 관련하여 설명한 흐름 전환기을 이용하여 발생되는 흐름 패턴의 도면.
도 5B는 도 5A와 관련하여 설명되는 흐름 전환기/흐름 정형판 조합을 이용할 때 도금 균일성 결과의 도면.
도 6은 종래의 흐름 정형판 관통 구멍을 이용할 때 관측되는 웨이퍼 중심 근처의 낮은 도금 속도를 보상하기 위해 가변 흐름 관통 성질을 갖는 흐름 정형판의 단면도.
도 7A는 흐름 포트 횡방향 흐름 개선이 사용될 때 흐름 정형판의 상부에서의 유체 역학적 평면도.
도 7B 내지 도 7G는 워크피스 도금 표면 사이에서 횡방향 흐름을 개선시키기 위한 다양한 장치의 도면.
도 8A는 종래의 흐름 정형판 관통 구멍을 이용할 때 관측되는 웨이퍼 중심 근처의 낮은 도금 속도를 보상하기 위해 경사 관통 구멍을 갖는 흐름 정형판의 단면도.
도 8B 및 도 8C는 경사 흐름 정형판을 이용할 때 도금 균일성의 그래프.
도 9A 및 도 9B는 전기도금 동안 웨이퍼 표면 사이에서 횡방향 난류를 생성하기 위한 패들 휠 타입 조립체의 단면도 및 사시도.
도 10은 웨이퍼 홀더의 궤도 모션을 위한 지향성 벡터 및 회전을 도시하는 웨이퍼 홀더의 사시도.
도 11A 및 도 11B는 도금 중 웨이퍼 중심에서 횡방향 흐름을 생성하기 위한 삽입된 회전 요소를 갖는 흐름 정형판의 사시도 및 사시 단면도.
도 12는 여기서 설명되는 방법의 형태들을 개략적으로 나타내는 순서도.
도 13은 도금 중 횡방향 흐름이 사용될 때 얻어지는 도금 균일성을 나타내는 그래프.
A. 일반적인 장치 범주
도 1A 및 1B에 대한 다음의 설명은 본 발명의 장치 및 방법에 대한 비-제한적인 예를 제공한다. 다음의 설명에서 제시되는 다양한 특징들은 앞서 설명한 도면 중 하나 이상에서 또한 제시된다. 아래에서의 이러한 특징들에 대한 설명은 여기에 포함되는 실시예의 설명을 보완하고자 하는 것이다. 차후 도면에서의 특정 초점은 다양한 흐름 정형판 및 흐름 전환기와 관련한 웨이퍼 홀더 조립체를 지향하며, 따라서, 전형적인 위치 결정 메커니즘, 회전 메커니즘, 및 웨이퍼 홀더가 설명된다.
도 1A는 전기화학적으로 처리되는 반도체 웨이퍼를 위한 웨이퍼 홀딩 및 위치결정 장치의 사시도를 제공한다. 장치(100)는 도면에 도시되고 설명되는 다양한 피처들을 갖는다. 예를 들어, 웨이퍼 결합 구성요소("클램쉘" 구성요소라고도 함). 실제 클램쉘은 컵(102)과, 컵에 웨이퍼를 견고하게 조이는 콘(103)을 포함한다.
컵(102)은 스트럿(104)에 의해 지지되며, 스트럿(104)은 상판(105)에 연결된다. 이러한 조립체(102 내지 105)는 집합적으로 조립체(101)라고도 하며, 스핀들(106)을 통해 모터(107)에 의해 구동된다. 모터(107)는 장착 브래킷(109)에 부착된다. 스핀들(106)은 도금 중 회전을 위해 웨이퍼에 토크를 전달한다. 스핀들(106) 내의 에어 실린더(도시되지 않음)가 컵과 콘(103) 사이에 웨이퍼를 조이기 위한 수직력을 또한 제공한다. 설명을 위해, 구성요소(102 내지 109)를 포함하는 조립체를 웨이퍼 홀더(111)라 부른다. 그러나, "웨이퍼 홀더"의 개념은 웨이퍼를 맞물리고 웨이퍼를 운동시키며 위치결정까지 하는 구성요소들의 다양한 조합 및 서브-조합들을 포함하도록 확장되는 것이 일반적이다.
제 2 판(117)에 슬라이딩가능하게 연결되는 제 1 판(115)을 포함하는 틸팅 조립체가 장착 브래킷(109)에 연결된다. 구동 실린더(113)가 피봇 조인트(119, 121)에서 각각 판(115) 및 판(117)에 연결된다. 따라서, 구동 실린더(113)는 판(117) 사이에 판(115)(따라서, 웨이퍼 홀더(111))을 슬라이딩시키기 위한 힘을 제공한다. 웨이퍼 홀더(111)(즉, 장착 브래킷(109)의 말단부는 아치형 경로를 따라 이동하고, 아치형 경로는 판(115, 117) 간의 콘택트 영역을 구획하며, 따라서, 웨이퍼 홀더(111)(즉, 컵 및 콘 조립체)의 인접 단부가 가상의 피봇 상에서 틸트된다. 이에 따라, 웨이퍼가 도금 배스 내로 경사지게 유입될 수 있다.
전체 장치(100)는 다른 액추에이터(도시되지 않음)를 통해 웨이퍼 홀더(111)의 인접 단부를 도금 용액 내로 잠기도록 상향 또는 하향으로 수직으로 리프팅된다. 따라서, 2-구성요소 위치 결정 메커니즘이 전해질에 수직인 궤적을 따라 수직 움직임과, 웨이퍼에 대한 수평 배향(전해질 표면에 평행함)으로부터 편위하는 틸트 움직임을 모두 제공한다(경사-웨이퍼 침적 기능). 장치(100)의 이동 기능 및 관련 하드웨어에 대한 상세한 설명은 2001년 5월 31일자로 출원된, 그리고, 2003년 4월 22일 등록된 미국특허 제6,551,487호에 세부적으로 기재되어 있고, 그 내용은 본 발명에서 참고자료로 사용된다.
장치(100)가 애노드(예를 들어, 구리 애노드) 및 전해질을 하우징하는 도금 챔버를 갖는 특정 도금 셀과 함께 이용되는 것이 일반적이다. 도금 셀은 도금되는 워크피스에 반해 도금 셀을 통해 전해질을 순환시키기 위한 플럼빙(plumbing) 또는 플럼빙 연결을 또한 포함할 수 있다. 도금 셀은 애노드 구획 및 캐소드 구획에 서로 다른 전해질 화학 물질을 유지하도록 설계된 막 또는 다른 분리기를 또한 포함할 수 있다. 일 실시예에서, 억제제, 가속제, 또는 그외 다른 유기 도금 첨가제가 실질적으로 없는 전해질을 함유한 애노드 챔버를 구획하기 위해 하나의 막이 사용되며,
다음의 설명은 클램쉘의 컵 및 콘 조립체의 세부사항을 제공한다. 도 1B는 콘(103) 및 컵(102)을 포함하는 조립체(100)의 일부분(101)을 단면도로 도시한다. 이 도면은 컵 및 콘 조립체의 정확한 도면을 의미하는 것이 아니라, 설명을 위해 제시되는 것일 뿐이다. 컵(102)은 스트럿(104)을 통해 상판(105)에 의해 지지되고, 스트럿(104)은 나사(108)를 통해 부착된다. 일반적으로, 컵(102)은 웨이퍼(145)가 놓이는 지지부를 제공한다. 컵(102)은 도금 셀로부터의 전해질이 웨이퍼와 콘택트할 수 있는 구멍을 제공한다. 웨이퍼(145)는 도금이 이루어지는 전방 측부(142)를 갖는다. 따라서, 웨이퍼(145)의 주변부는 컵 상에 놓인다. 콘(103)은 도금 중 웨이퍼를 제자리에 홀딩하기 위해 웨이퍼의 후방 측부를 누른다.
웨이퍼를 일부분(101) 내로 로딩하기 위해, 콘(103)이 도시되는 위치로부터 스핀들(106)을 통해, 콘(103)이 상판(105)과 콘택트할 때까지 리프팅된다. 이 위치로부터 컵과 콘 사이에 백이 형성되어, 웨이퍼(145)가 삽입될 수 있고, 따라서, 컵 내로 웨이퍼가 로딩될 수 있다. 그후 콘(103)은 하강하여 도시되는 바와 같이 컵(102)의 주변부에 대해 웨이퍼를 맞물리게 한다.
스핀들(106)은 콘(103)을 웨이퍼(145)와 맞물리게 하기 위한 수직력과, 조립체(101)를 회전시키기 위한 토크를 모두 전달한다. 이와 같이 전달된 힘은 도 1B에서 화살표로 표시된다. 웨이퍼 도금은 웨이퍼가 회전하고 있을 때 이루어지는 것이 일반적이다(도 1B의 상부에서 쇄선으로 표시됨).
컵(102)은 콘(103)이 웨이퍼(145)와 맞물릴 때 기밀성 시일을 형성하는 압축가능형 립 시일(lip seal)(143)을 갖는다. 콘과 웨이퍼로부터의 수직력은 립 시일(143)을 압축하여 기밀성 시일을 형성한다. 이러한 립 시일은 전해질이 웨이퍼(145)의 후방 측부와 콘택트하는 것을 방지하고, 전해질이 장치(101)의 감지 구성요소와 콘택트하는 것을 방지한다. 웨이퍼(145)의 후방 측부를 더 보호하기 위해 기밀성 시일을 형성하는 웨이퍼와 컵의 계면 간에 시일(도시되지 않음)이 또한 위치할 수 있다.
콘(103)은 시일(149)을 또한 포함한다. 도시되는 바와 같이, 시일(149)은 맞물릴 때 컵의 상부 영역 및 콘(103)의 에지 근처에 위치한다. 이는 컵 위로부터 클램쉘 내로 유입될 수 있는 전해질로부터 웨이퍼(145)의 후방 측부를 보호한다. 시일(149)은 콘이나 컵에 고정될 수 있고, 단일 시일 또는 멀티-구성요소 시일일 수 있다.
도금을 개시하면, 콘(103)이 컵(102) 위로 상승할 때 웨이퍼(145)가 조립체(102)에 삽입된다. 웨이퍼가, 일반적으로 로봇 암에 의해, 컵(102) 내로 초기에 삽입될 때, 그 전방 측부(142)가 립 시일(143) 상에 가볍게 놓인다. 도금 중에, 조립체(101)는 균일한 도금의 달성을 돕기 위해 회전한다. 다음 도면에서, 조립체(101)는 도금 중 웨이퍼 도금 표면(142)에서 전해질을 유체역학적으로 제어하기 위한 구성요소와 관련하여, 가장 단순한 형태로 도시된다. 따라서, 워크피스에서의 물질 전달 및 흐름 전단의 개관은 다음과 같다.
B. 워크피스 도금 표면에서의 물질 전달 및 흐름 전단
다양한 WLP 및 TSV 구조물은 비교적 큰 편이고, 웨이퍼 표면 사이에서 빠르면서도 상당히 균일한 도금을 요구한다. 추후에 설명되는 다양한 방법 및 장치가 이러한 용도에 적합하지만, 본 발명은 이러한 방식으로 제한되지 않는다.
일부 실시예는 회전하는 워크피스를 이용하며, 일부 작동 영역에서는 이러한 회전하는 워크피스가 종래의 회전 디스크 전극에 근사하다. 전극의 회전에 따라 전해질의 흐름이 웨이퍼를 향해 상향으로 향한다. 웨이퍼 표면에서의 흐름은 층류(종래의 회전 디스크 전극에서 일반적으로 이용됨)일 수도 있고, 난류일 수도 있다. 상술한 바와 같이, 수평 배향 회전 웨이퍼를 이용하는 전기도금 셀은 미국, 캘리포니아, San Jose에 소재하는 Novellus Systems, Inc. 사의 도금 시스템의 Sabre 라인과 같은 전기도금 장치에 통상적으로 이용된다.
다양한 실시예에서, 일반적으로 수직 배향으로 복수의 관통 구멍을 갖는 평탄한 흐름 정형판이, 도금 표면으로부터 짧은 거리에 전기 도금 장치 내에 배치된다(예를 들어, 흐름 정형판의 평탄한 표면이 도금 표면으로부터 약 1 내지 10 ㎜ 위치에 놓인다. 평탄한 정형 요소를 지닌 전기도금 장치의 예는 2008년 11월 7일 출원된 미국특허출원 제12/291,356호에 설명되어 있고, 그 내용 전체는 본 발명에서 참고자료로 사용된다. 도 1C에 도시되는 바와 같이, 도금 장치(150)는 애노드(160)를 하우징하는 도금 셀(155)을 포함한다. 본 예에서, 전해질(175)은 애노드(160)를 통해 셀(155) 내로 유입되고, 전해질은 수직 배향(교차하지 않음) 관통 구멍을 갖는 흐름 정형 엘리먼트(170)를 통과하며, 상기 관통 구멍을 통해 전해질이 유동하고 웨이퍼(145)에 충돌하며, 웨이퍼(145)는 웨이퍼 홀더(101)에 홀딩되고 웨이퍼 홀더(101)에 의해 배치되고 이동한다. 흐름 정형 엘리먼트(170)는 웨이퍼 도금 표면에 균일한 충돌류를 제공하지만, WLP 및 TSV 도금 속도 영역에서 도금할 때, 큰 피처들이 (예를 들어, 다마신 공정의 도금 속도에 비해) 높은 도금 속도로 충전되기 때문에, 외측 영역에 비해 웨이퍼의 중심 영역에서 도금 속도가 느린 점이 발견된다. 그 결과를 도 1D에서 확인할 수 있으며, 도면에서는 300 ㎜ 웨이퍼 상의 반경 방향 위치에 대한 증착 속도의 함수로 도금 균일성을 도시한다. 여기서 설명되는 소정 실시예에 따르면, 이러한 흐름 정형 엘리먼트를 이용하는 장치는 WLP 및 TSV 애플리케이션의 경우와 같이 고속 증착 영역 하에서 도금을 포함한 웨이퍼 표면 사이에서 고속의, 매우 균일한 도금을 촉진시키는 방식으로 구성되고 작동한다. 다양한 실시예 중 일부 또는 전부는 다마신 범주에서는 물론, TSV 및 WLP 애플리케이션의 범주에서도 구현될 수 있다.
회전하는 워크피스가 수평 배향되었다고 가정할 경우, 웨이퍼 표면 아래 소정 거리의 평면에서, 벌크 전해질 흐름이 주로 수직 방향으로 나타난다. 벌크 전해질 흐름이 웨이퍼 표면에 접근하여 콘택트할 때, 웨이퍼의 존재(및 그 회전)는 리디렉션되고 웨이퍼 주변부를 향해 외향으로 유체를 유동하게 한다. 이러한 흐름이 일반적으로 층류다. 이상적인 경우에, 전극 표면에서의 전류 밀도가 레비히 방정식에 의해 표현되며, 이는 제한 전류 밀도가 전극의 각속도의 제곱근에 비례함을 나타낸다. 제한 전류 밀도는 회전하는 전극의 반경방향 크기에 대해 균일하며, 이는 주로, 경계층 두께가 일정하고 반경방향 또는 방위각 위치에 대해 독립적이기 때문이다.
다양한 실시예에서, 장치는 흐름 정형판 내 구멍을 통해 초고속 수직 유량을 제공한다. 다양한 실시예에서, 이러한 구멍은 모두 독립적인(즉, 교차하지 않는, 개별 구멍들 간에 유체 연통이 없는) 흐름 정형판의 구멍이며, 구멍 출구 위의 짧은 거리의 웨이퍼 표면에서 상향으로 흐름을 지향시키도록 주로 수직 방향으로 배향된다. 일반적으로, 적어도 약 1000개의 구멍, 또는, 적어도 약 5000개의 이러한 구멍과 같은 많은 구멍들이 흐름 정형판에 존재한다. 이 구멍들로부터 흘러나오는 전해질은 웨이퍼 표면에 직접 충돌하는 고속 유체의 개별 "마이크로기류"(microjet) 세트를 생성할 수 있다. 일부 경우에, 워크피스 도금 표면에서의 흐름은 층류가 아니다(즉, 국부적 흐름은 난류이거나 난류와 층류 사이를 왔다갔다 한다). 일부 경우에, 웨이퍼 표면의 유체역학적 경계층에서의 국부적 흐름은 웨이퍼 표면에서 약 105 또는 그보다 큰 레이놀즈 수에 의해 규정된다. 다른 경우에, 워크피스 도금 표면에서의 흐름은 층류이고, 약 2300 또는 그 미만의 레이놀즈 수에 의해 특성화된다. 여기서 설명되는 특정 실시예에 따르면, 수직 방향으로 흐름판의 개별 구멍으로부터 발원하여 웨이퍼 표면에 이르는 유체의 유량은 초당 약 10cm 수준 또는 그보다 크고, 일반적으로는 초당 약 15cm 또는 그보다 크다. 일부 경우에, 이는 초당 약 20cm 또는 이보다 큰 값이다.
추가적으로, 전기도금 장치는 흐름 정형판과 전극 사이에서 전해질의 국부적 전단이 발생하도록 하는 방식으로 작동할 수 있다. 유체의 전단, 특히, 충돌류 및 전단류의 조합은 피처를 위한 반응기 내의 대류를 최대화시킬 수 있고, 피처의 크기는 전형적인 경계층 두께의 길이 규모 수준이다. 많은 실시예에서, 이러한 길이 규모는 수 ㎛ 수준이고, 심지어는 수십 ㎛ 수준이다. 흐름 전단은 적어도 두가지 방식으로 일어날 수 있다. 첫번째 경우에, 수 ㎜ 이격되어 위치하는 고속으로 상대적-이동하는 웨이퍼 표면에 대한, 일반적으로 고정적인 흐름 정형판의 상대적 근접도에 의해 달성된다. 이러한 배열은 상대적 움직임을 구축하고, 따라서, 선형, 회전, 및/또는 궤도 모션에 의해 전단 흐름을 구축한다. 이동하지 않는 흐름 정형판을 기준점으로 잡으면, 유체 국부 전단이 웨이퍼의 속도를 판-웨이퍼 갭으로 나눈 값(단위 = ( ㎝/sec)/(㎝) = sec-1)에 근거하여 국부 지점에 의해 주어질 것이며, 웨이퍼 이동을 유지하기 위해 요구되는 전단 응력은 단순히 이 값 곱하기 유체의 점도가 된다. 일반적으로(뉴턴 유체의 경우), 제 1 전단 모드에서, 속도 프로파일은 일반적으로 두개의 평면형 표면 사이에서 선형으로 증가한다. 국부 전단을 구축하기 위한 두번째 접근법은 (판의 상대적인 모션이 없을 때, 또는, 판의 상대적인 모션에 추가하여) 두개의 평탄한 표면 사이의 갭에서 횡방향 유체 모션을 생성하거나 유도하는 유체 판/웨이퍼 갭 내의 조건들을 도입하는 과정을 포함한다. 갭 내외로의 유입구 및 유출구 및/또는 압력차는, 웨이퍼의 회전 중심을 사이를 포함하여, 두개의 표면에 실질적으로 평행하게 유체를 이동시킨다. 고정 웨이퍼를 가정할 때, 제시되는 흐름과 관련된 최대 속도는 흐름 판/웨이퍼 갭 중간에서 관측되며, 국부 전단은 국부 유체 흐름 밀도 또는 평균 속도를 웨이퍼/흐름판 갭으로 나눈 값(㎤/sec/㎝ 또는 ㎝/sec)에 비례하며, 최대 속도는 갭의 중심에서 나타난다. 종래의 회전 디스크/웨이퍼의 제 1 전단 모드가 웨이퍼 중심에서 유체의 전단을 일으키지 않지만, 다양한 실시예에서 구현될 수 있는 제 2 모드는 웨이퍼 중심에서 유체 전단을 일으킨다. 따라서, 소정의 실시예에서, 전기도금 장치는 기판의 도금면의 중심점 사이에서 웨이퍼 표면으로부터 수 ㎜ 내에서 약 3 ㎝/sec 또는 그보다 큰 값(또는 약 5 ㎝/sec 또는 그보다 큰 값)의 횡방향 상대 전해질 속도를 생성하는 조건 하에서 작동한다.
흐름 정형판을 통해 이러한 높은 수직 유량에서 작동할 때, 높은 도금 속도를 얻을 수 있고, 특히, 1:1 종횡비에서 50 ㎛ 깊이로 포토레지스트의 관통 레지스트층에 형성되는 피처에서, 일반적으로, 약 5 ㎛/분 수준 또는 이보다 높은 값을 얻을 수 있다. 더욱이, 여기서 설명되는 전단 조건 하에서 작동할 때, 도금되는 구조물의 요홈형 유체-함유부 내의 물질의 유리한 대류 패턴 및 관련 개선 물질 이송이, 증착 속도 및 균일성을 개선시켜서, 도금 워크피스의 전체 면 상에 그리고 개별 다이 내에 매우 균일하게 정형된 피처를 도출한다(도금 표면 위에 약 5% 이하로 변함). 작동 메커니즘과 관련없이, 언급되는 작동은 주목할만큼 균일하고 신속한 도금을 생성한다.
상술한 바와 같이, 본 발명의 장치에 의해 생성되는 흐름 충돌 및 전단 조건의 적절한 조합이 없을 때, 워크피스 표면 상의 높은 수직 충돌 유량이나 단독의 흐름 전단은, 대형의 WLP 크기 피처의 웨이퍼 표면 위에서 그리고 이러한 웨이퍼 표면 내에서 매우 균일한 도금을 쉽게 도출하지 못할 것이다.
실질적으로 평탄한 표면을 도금하는 상황을 먼저 고려해보자. 여기서, 실질적으로 평탄하다는 용어는 표면의 피처나 거친 정도가 연산되거나 측정되는 물질 전달 경계층 두께보다 작다는 것을 의미한다(일반적으로 수십 ㎛ 수준). 가령, 구리 다마신 도금에 통상적으로 사용되는 1 ㎛ 또는 그 미만과 같은, 약 5 ㎛보다 작은 요홈형 피처를 갖는 임의의 표면은, 이러한 목적을 위해 실질적으로 평탄하다. 종래의 대류를 이용할 때, 회전 디스크 또는 파운튼 도금 시스템의 예에서, 도금은 이론적으로, 그리고 실제적으로, 워크피스 면 사이에서 매우 균일하다. 피처의 깊이가 물질 전달 경계 두께에 비해 작기 때문에, (피처 내부의 확산과 관련된) 내부 피처 물질 전달 저항이 작다. 유체를 전단하는 것은, 예를 들어, 흐름 전단 판을 이용함으로써, 이론적으로, 평탄한 표면에 대한 물질 전달을 변경하지 않을 것이며, 이는 전단 속도 및 관련 대류가 모두 표면에 수직인 방향이기 때문이다. 표면에 대한 물질 전달을 돕기 위해, 대류는 표면을 향한 속도 성분을 가져야만 한다. 이에 반해, 예를 들어, 이방성 다공질 판을 통과하는 유체로부터 나타나는 등의, 표면 방향으로 이동하는 고속의 유체는 표면을 향한 속도 성분과 함께 큰 충격류를 생성할 수 있고, 따라서, 물질 전달 경계층을 실질적으로 감소시킬 수 있다. 따라서, 실질적으로 평탄한 표면에 대해 다시, 충격류는 전달을 개선시킬 것이고, (난류가 생성되지 않는 한) 전단류는 전달을 개선시키지 않을 것이다. 회전하는 워크피스 인근에서 전단 판과 웨이퍼 사이의 갭에서 생성되는 등의, 난류 존재시에, 우리는 대량 전달 저항을 크게 감소시킬 수 있고, 따라서, 매우 얇은 경계층 두께에 대한 조건을 생성할 수 있는 데, 이는 일부 혼돈 모션이 유체를 표면으로 지향시키기 때문이다. 실질적으로 평탄한 표면으로의 흐름은, 워크피스의 전체 반경방향 크기에 걸쳐 난류일 수도 있고 아닐 수도 있으나, 웨이퍼 증착 내에서, 그리고 피처 내에서 매유 균일한 결과를 일반적으로 나타낼 수 있다.
동등한 표면 필름 내로 대량 전달 저항을 한 덩어리로 만드는 고도로 간단화된 개념적 공간 영역인 경계층 두께의 개념의 제한사항을 이해하는 것이 중요하다. 이는 일반적으로 평탄한 표면으로 확산함에 따라 반응물의 농도가 변화하는 거리를 나타내는 것으로 기능적으로 제한되어, "더 거친" 표면에 적용될 때 일부 중요성을 상실한다. 얇은 경계층이 고속 전달과 일반적으로 상관되어 있다는 것은 사실이다. 그러나, 평탄한 표면에 대한 개선된 대류를 유도하지 못하는 일부 조건이 거친 표면에 대한 대류를 개선시킬 수 있다는 점 역시 사실이다. WLP 스케일 "거친" 표면의 경우, 대량 전달 경계층 두께에 비해 큰 피처를 갖는 패턴처리된 표면과 같은, 이러한 거친 표면에 대한 대류를 개선시키기 위해, 충돌류와 조합하여, 사용될 수 있는 유체 전단의 특성이 부가될 수 있다. 실질적으로 평탄한 표면 거동과 실질적으로 거친 표면 거동 간의 이러한 차이에 대한 이유는, 유체를 혼합시켜서, 비교적 큰 요홈형 피처로(또는 이러한 피처로부터) 전달하는, 피처의 입 주위를 지남에 따라 공동 내에 홀딩되는 물질을 젓기 위해 생성될 수 있는 개선된 물질의 보급과 관련된다. 피처-내 순환 조건 생성은 초고속이면서, 전역적이고, 마이크로스코픽하게 균일한 증착을 WLP 타입 구조물에서 달성하는데 있어 도움이 된다.
크고 비교적 깊은(1:0.5 또는 이보다 큰 종횡비) 피처에서, 충돌류만을 이용하면 부분적으로 효과가 있을 뿐이며, 이는 충돌류가 열린 구멍에 접근함에 따라 충돌류가 피처 공동으로부터 반경방향 외향으로 퍼져 나와야하기 때문이다. 공동 내에 함유된 유체는 효과적으로 저어지거나 이동하지 않으며, 본질적으로 고인 상태를 유지할 수 있어서, 피처와의 전달을 주로 확산에 의해 이루어지도록 남겨둔다. 따라서, 주로 충돌류, 또는 전단류만의 작동 조건 하에서 WLP 스케일 피처를 도금할 때, 두가지의 조합을 이용하는 것에 비해 대류가 우수하지 못하다. 평탄한 표면에 대한 동등한 대류 조건과 관련된 대량 전달 경계층이 일반적으로 자연스럽게 균일하지만, WLP 스케일 피처 도금에서 나타나는 상황에서는, 수십 ㎛ 수준의, 도금되는 피처의 크기에 일반적으로 필적할만한 경계층 두께가, 균일한 도금을 위해, 상당히 다른 조건을 요구한다.
마지막으로, 충돌 층류를 전단 층류와 조합 및 교차시키면 마이크로-흐름 소용돌이를 생성할 수 있다고 판단된다. 이러한 마이크로-소용돌이는, 단독으로 층류 속성을 가질 수 있고, 잠재적으로 난류가 될 수 있으며, 앞서 설명한 바와 같이, 평탄하고 거친 표면 도금에 대한 대류를 개선시키는 데 유용하다. 위 설명은 WLP 또는 WLP-유형의 피처를 갖는 웨이퍼에서 대량 전달 및 대류의 물리적 기초에 대한 이해를 돕기 위한 것이다. 이는 여기서 설명되는 방법 및 장치에 대해 필요한 도금 조건이나 액션 메커니즘을 제한하는 설명이 아니다.
특히, 대량 전달 경계층과 유사한 크기의 피처(예를 들어, TSV 및 WLP 기판에서 통상적으로 나타나는 수 ㎛ 또는 수십 ㎛ 수준의 요홈 또는 돌출부)를 갖는, 패턴처리된 기판은 회전하는 기판의 중심에서 "싱귤래리티" 또는 도금 이상을 생성할 수 있다(도 1D 참조). 이러한 도금 불균일성은 각속도가 0이거나 그 근처일 때 평탄한 도금 표면의 회전축에서 발생한다. 상술한 바와 같이 흐름 정형판을 이용하는 장치의 일부에서, 일부 다른 중앙-이상-완화 메커니즘이 없는 경우 이러한 현상이 또한 관측되었다. 이러한 메커니즘이 없는 경우에, 도금 속도는 패턴처리된 워크피스 표면 간에 일반적으로 평탄한 피처에서 상당히 균일하고 빠르며, 단지 워크피스의 중심에서는 속도가 상당히 느리고 피처 형태가 일반적으로 불균일하다(예를 들어 중심 근처에서 오목하다). 이는, 패턴처리되지 않은 기판 상에서의 유사한 조건에서의 도금이 전체적으로 균일한 도금 프로파일 또는 가끔씩 심지어는 역전된 도금 프로파일(즉, 도금 속도가 워크피스 표면 전체에 대해 상당히 균일하고 중심에서는 훨씬 더 높아 돔형 중심 영역을 형성)을 생성하는 경우, 특히 흥미롭다. 다른 테스트에서, 총 충돌류 볼륨 및/또는 속도가 중심에서 증가할 때, 증착 속도가 여기서 증가할 수 있으나, 중심에서의 피처의 일반적 형태는 대개 변하지 않는다(평탄하기보다는 돔형이거나 불규칙하다).
이러한 중심의 불균일성은 기판의 도금면 간에 흐르는 전해질에 기판 중심에서 전달력을 생성하는 횡방향 이동 유체를 제공함으로써 완화되거나 제거될 수 있다. 이러한 전단력은 여기서 일부 설명되는 다수의 메커니즘 중 임의의 것에 의해 공급될 수 있다. 간단하게 말하자면, 이러한 메커니즘은 (1) 회전하는 워크피스의 중심에 인접한 구멍 중 적어도 일부가 수직으로부터 벗어나는 각도(더욱 일반적으로는 회전하는 기판의 도금면에 수직이지 않은 각도)를 갖는 유체 정형판과 같은, 회전하는 기판의 중심 근처의 구멍의 수, 배향, 분포에서 균일도로부터 편차를 갖는 흐름 정형판과, (2) 워크피스 표면과 흐름 정형판 사이의 상대적 모션의 횡방향 요소(예를 들어, 화학적 기계적 폴리싱 장치에서 공급되는 것과 같은 상대적으로 선형 또는 궤도 모션)와, (3) 도금 셀에 제공되는 하나 이상의 왕복 또는 회전 패들(예를 들어, 패들휠 또는 임펠러)과, (4) 워크피스의 회전축으로부터 이격되고 흐름 정형판에 부착되거나 인접한 회전 조립체와, (5) 회전하는 워크피스를 향하여 연장되고 흐름 정형판의 원주에 부착되거나 원주에 인접한 방위각적으로 균일하지 않은 흐름 제한기("흐름 전환기"라고도 함)와, (6) 중심을 포함한 일반적 웨이퍼 표면 간에 횡방향 흐름을 도입하는 다른 메커니즘을 포함한다.
이러한 각각이 메커니즘이 아래에서 더욱 상세하게 설명될 것이다. 첫번째 언급된 메커니즘과 관련하여, 도금 구멍의 분포의 불균일성은, (a) 도금 중심 영역에서의 구멍 밀도 증가, 및/또는, (b) 중심 영역에서의 구멍 분포의 무작위성일 수 있다. 다섯번째 메커니즘과 관련하여, 흐름 전환기는 회전하는 기판과 흐름 정형판 사이에 거의 닫힌 챔버를 제공한다. 일부 경우에, 더욱 상세하게 아래에서 설명되는 바와 같이, 흐름 전환기 및 관련 하드웨어는 에지 요소의 상부와 기판 홀더 주변부 사이의 영역의 대부분에 걸쳐 매우 작은 갭(가령, 약 0.1 내지 0.5 ㎜)을 제공하거나 생성한다. 나머지 주변부 영역에서는, 거의 닫힌 챔버로부터 전해질이 흘러나오는 비교적 작은 저항의 경로를 큰 갭에 제공하는 에지 요소의 갭이 존재한다(도 2A 내지 도 2C 참조).
C. 설계 및 작동 파라미터
다양한 관련 파라미터가 본 단락에서 언급될 것이다. 이러한 파라미터는 상호관련되는 경우가 자주 있다. 그럼에도 불구하고, 일반적 작동 공간 및 일반적 장치 설계 공간의 예를 제공하기 위해 개별적으로 설명될 것이다. 당 업자는 요망 도금 속도 또는 균일한 증착 프로파일과 같은 특정 결과를 도출하기 위해본 발명의 설명을 고려할 때, 이러한 파라미터들의 적절한 조합을 선택할 수 있다. 추가적으로, 여기서 제시되는 파라미터 중 일부는 도금되는 피처 및 기판의 크기, 및/또는 도금되는 셀과 함께 스케일링될 수 있다. 달리 명기하지 않을 경우, 언급되는 파라미터들은 약 1 리터보다 큰 흐름 정형판 아래의 전해질 챔버 볼륨을 갖는 전기도금 셀을 이용하여 300 ㎜ 웨이퍼를 도금하기에 적합하다.
흐름 정형판의 구멍을 빠져나가는 전해질 유량 및 웨이퍼 상의 충돌
흐름 정형판의 구멍을 통과하는 유량은 도금 셀의 작동과 관련이 있을 수 있다. 일반적으로, 흐름 정형판을 통과하는 고속의 충돌류를 갖는 것이 바람직하다. 일부 실시예에서, 이와 같이, 흐름 정형판의 개별 구멍으로부터 빠져나가는 유량은 적어도 약 10 ㎝/sec이고, 가끔씩은 약 15 ㎝/sec 만큼이거나, 약 20 ㎝/sec, 또는 그 이상일 수도 있다. 도금 구멍 및 웨이퍼 표면으로부터의 거리는 일반적으로 5 ㎜보다 작아서, 웨이퍼 표면에 충돌하기 전에 상술한 유체 속도의 잠재적 소실을 최소화시킬 수 있다. 본질적으로, 각각의 관통 구멍의 각각의 개구는 충돌류의 마이크로기류를 제공한다.
비교적 작은 개구부(0.03 인치 또는 그 미만의 직경)를 갖는 흐름 정형판에서, 점성 벽체는 개구부 내부에 관성 유체역학력을 일반적으로 지배할 것이다. 이러한 경우에, 레이놀드 수는 파이프 내의 흐름의 경우 난류값 임계치(>2000)보다 한참 아래일 것이다. 따라서, 구멍 자체 내부의 흐름은 층류일 것이다. 그럼에도 불구하고, 흐름은 약 10 내지 20 ㎝/sec의 속도로 진행한 후, 도금면을 강하게 직접(예를 들어, 직각으로) 가격한다. 이러한 충돌류는 관측되는 바람직한 결과를 위해 적어도 부분적으로 책임이 있다고 판단된다. 예를 들어, 평탄한 표면에 구리의 제한 전류 도금 속도를 측정하여, 고속 충돌 유체 마이크로기류가 있을 때와 없을 때의 경계층 두께를 결정하였다. 흐름 정형판은 1/2 인치 두께의 판으로서, 약 300 ㎜ 직경 영역 위에 고르게 배열되는 6500개의 드릴링된 0.026 인치 구멍을 갖는다. 구멍의 면적이 웨이퍼 도금면 아래의 총 면적의 약 3%만을 점유함에도 불구하고, 제한 전류는 웨이퍼의 회전을 30 RPM으로 유지하면서, 3 ㎝/sec로부터 18.2 ㎝/sec로 구멍 유속을 변화시킬 때, 100%만큼 증가되는 것이 발견되었다.
흐름 정형판을 통한 볼륨 유량
흐름 정형판을 통과하는 전체 볼륨 유량은 흐름 정형판 내 개별 구멍으로부터의 선형 유량에 직접 구속된다. 전형적인 흐름 정형판의 경우에(예를 들어, 다수의 동일 직경을 갖는 약 300 ㎜ 직경 중 하나), 도금 구멍을 통과하는 볼륨 유량은 약 5 리터/분 이상, 또는 약 10 리터/분 이상, 또는 40 리터/분 이상일 수 있다. 일례로서, 24 리터/분의 볼륨 유량은 약 18.2 ㎝/sec의 전형적인 도금 구멍의 출구에서의 선형 유속을 생성한다.
기판 작업 표면의 회전 중심축 간의 횡방향 유량
회전하는 기판 표면에 평행한 흐름은 기판에 대한 회전축에서 일반적으로 0이 아니어야 한다. 이러한 평행 흐름은 기판 표면 상의 유체역학적 경계층 바로 외부에서 측정된다. 일부 실시예에서, 기판 중심 간의 흐름은 약 3 ㎝/sce보다 크고, 특히, 약 5 ㎝/sec보다 크다. 이러한 흐름은 패턴처리된 웨이퍼의 회전축에서의 도금 속도 감소를 완화시키거나 제거한다.
흐름 정형판을 통과하는 전해질의 압력 강하
소정의 실시예에서, 흐름 정형판의 구멍을 통과하는 전해질의 압력 강하는 온건하다(가령, 0.5 내지 3 토르(일 실시예에서 0.03 pis 또는 1.5 토르)). 도 2A 내지 2I와 관련하여 설명되는 흐름 전환기 구조를 이용하는 설계와 같은 일부 설계에서, 흐름 정형판 간의 압력 강하는, 기판 표면 상의 충돌류가 기판 표면에서 적어도 상대적으로 균일함을 보장하도록, 차폐부 또는 에지 요소의 열린 갭에 대한 압력 강하에 비해 훨씬 커야만 한다.
웨이퍼 및 흐름 정형판 사이의 거리
소정의 실시예에서, 웨이퍼 홀더 및 관련 위치결정 메커니즘은 흐름 정형 엘리먼트의 평행한 상측 표면에 매우 가깝게 회전 웨이퍼를 홀딩한다. 전형적인 경우에, 이격 거리는 약 1 내지 10 ㎜ 또는 약 2 내지 8 ㎜ 다. 이러한 작은 판-웨이퍼 거리는 특히, 웨이퍼 회전 중심 근처에서, 패턴의 개별적인 구멍의 인접도 "이미징"과 관련된 웨이퍼 상의 도금 패턴을 생성할 수 있다. 이러한 현상을 방지하기 위해, 일부 실시예에서, 개별 구멍(특히 웨이퍼 중심 근처의 구멍)은 작은 크기(예를 들어, 판-웨이퍼 갭의 약 1/5 미만)를 갖도록 구성되어야 한다. 웨이퍼 회전을 이용하여 연결될 때, 작은 구멍 크기는, 판으로부터 기류로, 나타나는 충돌 유체의 유속의 시간 평균을 가능하게 하고, 작은 스케일의 불균일성을 방지한다(예를 들어, ㎛ 수준). 이러한 예방책에도 불구하고, 사용되는 도금 배스의 성질에 따라(특히, 증착되는 특정 금속, 전도도, 및 이용되는 배스 첨가제), 일부 경우에, 증착은 사용되는 개별 구멍 패턴에 대응하는, 가변 두께의 근접도-이미징 패턴 및 시간 평균 노출로 마이크로-불균일 패턴에 나타나기 쉽다. 이는, 불균일하고 증착에 영향을 미치는 이미징 흐름 패턴을 한정 구멍 패턴이 생성할 경우 발생할 수 있다. 이러한 경우에, 웨이퍼 중심 간에 횡방향 흐름을 도입함으로써, 그렇지 않을 경우 발견되는 마이크로-불균일성을 크게 제거할 수 있다.
흐름 정형판의 다공성
다양한 실시예에서, 흐름 정형판은 정상 작동 볼륨 유량에서 높은 수직 충돌 유량 및 점성 후방 압력을 제공하기에 충분히 낮은 다공성 및 구멍 크기를 갖는다. 일부 경우에, 흐름 정형판의 약 1 내지 10%가, 유체를 웨이퍼 표면에 도달하게 하는 열린 영역이다. 특정 실시예에서, 흐름 정형판의 열린 영역은 약 3.2%이고, 유효 총 열린 단면적은 약 23 ㎠다.
흐름 정형판의 구멍 크기
흐름 정형판의 다공성은 여러가지 방식으로 구현될 수 있다. 다양한 실시예에서, 작은 직경의 많은 수직 구멍으로 구현된다. 일부 경우에, 흐름 정형판은 개별적인 "드릴링된" 구멍으로 구성되는 것이 아니라, 연속 다공 물질의 신터링된 판에 의해 생성된다. 이와 같이 신터링된 판의 예가 미국특허공보 제6,964,792호에 개시되어 있고, 그 내용은 본 발명에서 참고자료로 사용된다. 일부 실시예에서, 드릴링된 비-연통 구멍은 약 0.01 내지 0.05 인치의 직경을 갖는다. 일부 경우에, 구멍은 약 0.02 내지 0.03 인치의 직경을 갖는다. 상술한 바와 같이, 다양한 실시예에서, 구멍은 기껏해야 웨이퍼와 흐름 정형판 사이의 갭 거리의 약 0.2배인 직경을 갖는다. 구멍은 일반적으로 원형의 단면을 갖지만, 반드시 그럴 필요는 없다. 더욱이, 손쉬운 구성을 위해, 판 내 모든 구멍이 동일 직경을 가질 수 있다. 그러나, 꼭 그러한 것은 아니며, 구멍의 개별적 크기 및 국부 밀도가 판 표면에 걸쳐 변할 수 있다.
일례로서, 다수의 작은 구멍들(가령, 0.026 인치 직경을 갖는 6465개의 구멍)이 제공되는 적절한 세라믹 또는 플라스틱(일반적으로 유전 절연 및 기계적으로 견고한 물질)로 만들어진 고체판이, 유용한 것으로 알려져 있다. 판의 다공성은, 높은 충돌 속도를 생성하는데 필요한 총 유량이 너무 크지 않도록, 일반적으로 약 5퍼센트 미만이다. 작은 구멍을 이용할 경우, 큰 구멍을 이용하는 경우에 비해 판 사이의 압력 강하가 크게 나타나서, 판을 통한 더욱 균일한 상향 속도를 생성한다.
일반적으로, 흐름 정형판에 걸친 구멍의 분포는 균일한 밀도를 갖고 무작위적이지 않다. 그러나, 일부 경우에, 구멍의 밀도가, 특히, 반경방향으로, 변할 수 있다. 특정 실시예에서, 아래 상세하게 설명되는 바와 같이, 회전 기판의 중심을 향해 흐름을 지향시키는 판의 영역 내의 구멍의 직경 및/또는 밀도가 더 크다. 더욱이, 일부 실시예에서, 회전 기판의 중심 근처로 전해질을 지향시키는 구멍은 웨이퍼 표면에 대해 직각이 아닌 각도의 흐름을 유도할 수 있다. 더욱이, 이 영역 내의 구멍은 제한된 수의 구멍과 웨이퍼 회전 간의 상호작용으로 인해 무작위적이거나 부분적으로 무작위적인 분포의 불균일 도금 "링"을 가질 수 있다. 일부 실시예에서, 흐름 전환기의 열린 부분 근처에 놓인 구멍 밀도는 부착된 흐름 전환기의 열린 부분으로부터 멀리 놓인 흐름 정형판의 영역 상에서보다 낮다.
기판의 회전 속도
웨이퍼의 회전 속도는 실질적으로 변할 수 있다. 웨이퍼 아래의 작은 거리에 놓인 흐름 정형판과 충돌류가 없을 때, 90 rpm을 넘는 회전 속도는 방지되어야 하며, 이는, 웨이퍼의 외측 에지에서 난류가 일반적으로 형성되어, 불균일한 대류 조건을 발생시키기 때문이다. 그러나, 충돌하는 흐름 정형판을 이용하거나, 및/또는, 제시되는 난류를 갖는 경우와 같이, 여기서 개시되는 실시예의 대부분에서는 훨씬 더 큰 범위의 회전 속도(예를 들어, 20 내지 200 rpm 또는 그보다 큰 회전 속도)가 사용될 수 있다. 회전 속도가 높으면 웨이퍼 중심을 제외하곤 웨이퍼 표면 대부분의 전단을 크게 증가시킨다. 그럼에도 불구하고, 높은 회전 속도는 중심 싱귤래리티/이상의 상대적 스케일을 증폭시키거나, 포커싱하거나, 또는 수정하는 경향이 또한 있어서, 중심 간에 횡방향 흐름을 도입하는 것이, 높은 회전 속도에서 작동시, 이를 제거하는데 필요한 경우가 가끔 있다.
기판의 회전 방향
일부 실시예에서, 웨이퍼 방향은 전기도금 프로세스 중 주기적으로 변한다. 이러한 접근법의 한가지 이점은, (각도 방향으로) 유체 흐름의 선단 에지에 놓였던 개별 피처의 일부분 또는 개별 피처들의 어레이가 회전 방향 역전시 흐름의 말단 에지의 피처가 될 수 있다는 점이다. 물론, 그 역도 성립한다. 각도에 따른 유체 흐름의 이러한 역전은, 워크피스 면 상의 피처에 걸친 증착 속도를 고르게 하는 경향이 있다. 소정의 실시예에서, 회전 역전은 전체 도금 프로세스를 통해 대략 동일한 시간구간을 다수 회 발생시켜서, 피처 깊이 소용돌이에 대한 대류가 최소화된다. 일부 경우에, 회전은 웨이퍼 도금 과정 중 적어도 4회 역전된다. 예를 들어, 한 벌의 발진에서 5번의 시계 방향 및 5번의 반시계 방향 도금 회전 단계가 사용될 수 있다. 일반적으로, 회전 방향 변화는 방위각 방향으로 상향/하향 불균일성을 완화시킬 수 있으나, 충돌류 및 웨이퍼 교차 흐름과 같은 다른 무작위적인 영향과 겹쳐지지 않을 경우, 반경방향 불균일성에 제한된 영향을 미친다.
기판 표면, 표면-에지에 걸친 전계증착 균일성
상술한 바와 같이, 웨이퍼의 도금면에 걸쳐 균일한 두께로 모든 피처를 도금하는 것이 일반적으로 바람직하다. 소정의 실시예에서, 도금 속도 및 도금되는 피처의 두께는, 10% 또는 그 미만의 웨이퍼 내 절반 범위 (WIW R/2%) 불균일성을 갖는다. WIW-R/2는 웨이퍼 반경 간에 복수의 다이에서 수집되는 특정 피처 타입의 총 두께 범위를 전체 웨이퍼에 걸친 피처의 평균 두께의 두배로 나눈 값으로 규정된다. 일부 경우에, 도금 프로세스는 약 5% 또는 이보다 우수한 WIW-R/2 균일도를 갖는다. 본 발명에서 설명되는 장치 및 방법은 높은 증착 속도(예를 들어, 5 ㎛/분 또는 그 이상)로 이러한 수준의 균일도를 달성하거나 넘을 수 있다.
전계증착 속도
많은 WLP, TSV 및 그외 다른 애플리케이션들은 초고속 전계충전을 필요로한다. 일부 경우에, 전기도금 프로세스는 적어도 약 1 ㎛/분의 속도로 ㎛ 스케일의 피처를 충전한다. 일부 경우에, 이는 적어도 약 5 ㎛/분의 속도로 이러한 피처를 충전한다(일부 경우에는 적어도 약 10 ㎛/분). 여기서 설명되는 실시예들은 높은 도금 균일성을 유지하면서도 이와 같이 높은 도금 속도를 이용할 수 있도록 효율적인 물질 전달을 생성한다.
흐름 정형판의 추가적 특성
흐름 정형판은 여러가지 구조를 가질 수 있다. 일부 실시예에서, 흐름 정형판은 다음의 일반적 (정성적) 특성을 제공한다. 1) 워크피스 표면에서 전해질의 로컬 전단력을 생성하도록 회전 워크피스에 가깝게 슬립 경계부가 위치하지 않고, 2) 상대적으로 얇은 금속화된 또는 고도로 저항성인 큰 표면을 전기도금할 때 워크피스 반경에 걸쳐 균일한 전위 및 전류 분포를 제공할 수 있는 상당한 이온 저항이 존재하며, 3) 웨이퍼 표면 내로 직접 초고속 유체를 전달하는 다량의 유체 마이크로기류가 존재한다. 상당한 이온 저항은 중요하고, 이는 WLP 및 TSV 도금에서, 전체적으로 웨이퍼 상에 금속 증착이 거의 또는 전혀 없을 수 있고, 크로스 웨이퍼 저항, 또는, 웨이퍼 주변부로부터 웨이퍼 중심까지의 저항이 전체 프로세스를 통해 높게 유지될 수 있기 때문이다. 전체 도금 프로세스를 통해 상당한 이온 저항을 가지게 될 경우, 균일한 도금 프로세스를 유지하기 위한 유용한 수단이 될 수 있고, 그렇지 않을 경우에 비해, 더 얇은 시드층을 이용할 수 있다. 이는 미국특허출원 제12/291,356호에 기재된 "Terminal Effect"를 취급하며, 그 내용은 본 발명에서 참고자료로 사용된다.
많은 실시예에서, 흐름 정형 엘리먼트의 구멍이 상호연결되지 않고 비-연통형일 수 있다(즉, 서로 분리되어 있고 흐름 정형 엘리먼트의 본체와 상호연결 채널을 형성하지 않는다). 이러한 구멍은 1차원 관통 구멍이라 불릴 수 있는데, 이는 구멍이 일차원적으로 연장되기 때문이고, 예를 들어, 웨이퍼의 도금 표면에 수직으로 연장되기 때문이다. 즉, 채널들이 흐름 정형 엘리먼트의 기판 대면 표면에 대해 약 90도의 각도로 배향된다. 일 실시예에서, 흐름 정형 엘리먼트의 채널들이 흐름 정형 엘리먼트의 기판을 면하는 표면에 대해 약 20도 내지 약 60도(다른 실시예에서는 약 30도 내지 약 50도)의 각도로 배향된다. 일 실시예에서, 흐름 정형 엘리먼트는 서로 다른 각도로 배향되는 관통 채널들을 포함한다. 흐름 정형 엘리먼트 상의 구멍 패턴은 균일한 요소, 불균일한 요소, 대칭 요소, 및 비대칭 요소를 포함할 수 있다. 즉, 구멍의 밀도 및 패턴이 흐름 정형 엘리먼트 간에 바뀔 수 있다. 소정의 실시예에서, 채널들은 채널들 중 하나와 만나지 않는 기판 대면 표면에 평행한, 긴 범위의 선형 경로를 방지하도록 배열된다. 일 실시예에서, 채널들은 채널들 중 하나와 만나지 않는 기판을 면하는 표면에 평행한 약 10 ㎜ 또는 이보다 큰, 긴 범위의 선형 경로를 방지하도록 배열된다.
흐름 정형 엘리먼트는 이온성 저항 물질로 구성되며, 폴리에틸렌, 폴리프로필렌, 폴리비닐리덴 디플루오라이드(PVDF), 폴리테트라플루오로에틸렌, 폴리설폰, 및 폴리카보네이트 중 한가지 이상을 포함한다. 일 실시예에서, 흐름 정형 엘리먼트의 두께는 약 5 ㎜ 내지 약 10 ㎜ 사이에 있다.
소정의 실시예에서, 복수의 채널들은 서로 실질적으로 평행하고, 다른 실시예에서는 복수의 채널 중 적어도 일부가 서로 평행하지 않다. 소정의 실시예에서, 흐름 정형 엘리먼트는 약 6000개 내지 약 12000개의 구멍을 갖는 디스크다. 일 실시예에서, 흐름 정형 엘리먼트는 균일하지 않은 밀도의 구멍을 갖고, 밀도가 큰 구멍들은 기판 도금 면의 회전축에 면하는 흐름 정형 엘리먼트의 영역에 존재한다. 일 실시예에서, 흐름 정형 엘리먼트 내 복수의 구멍은 흐름 정형 엘리먼트 내의 연통 채널들을 형성하지 않으며, 실질적으로 모든 복수의 구멍들이 5 ㎜보다 크지 않은 기판의 표면과 면하는 요소의 표면 상에 주요한 크기 또는 직경의 개구부를 갖는다.
본 발명과 함께 이용되는 흐름 정형판은 앞서 참고자료로 사용된다고 밝힌 미국특허출원 제12/291,356호에 언급된 사항에서 벗어나는 소정의 특징들을 가질 수 있다. 이는 (1) 낮은 이온성 저항(가령, 시드 웨이퍼의 저항보다 훨씬 작은 저항), (2) 더 많은 수의 구멍, 그리고 (3) 더 얇은 구조(가령, 판의 두께가 1/4 인치 또는 그 미만)를 포함한다.
상술한 파라미터를 이용하여, 장치 및 방법이 도면을 참고하여 아래에서 상세하게 설명된다.
D. 중심 도금 불균일성을 처리하기 위한 장치
여기서 설명되는 발명의 일부 형태는 다양한 타입의 도금 장치에 이용될 수 있으나, 단순함과 명료성을 위해, 대부분의 예는 웨이퍼-페이스-다운 "파운튼" 도금 장치에 관계될 것이다. 이러한 장치에서, 도금될 워크피스(일반적으로 반도체 웨이퍼)는 실질적으로 수평 배향(일부 경우에 진정한 수평으로부터 몇도 정도 변할 수 있음)을 갖는 것이 일반적이고, 일반적으로 수직 상향으로 전해질 대류로 도금 중 회전한다. 셀/장치의 파운튼 도금 클래스의 요소 중 한가지 예는 미국, 캘리포니아, San Jose에 소재한 Novellus Systems, Inc. 사의 제품인 Sabre Electroplating System이 있다. 추가적으로, 파운튼 전기도금 시스템은 2010년 2월 11일자로 출원된 미국특허출원공보 제2010-0032310A1 및 미국특허 제6,800,187호에 기재되어 있고, 그 내용 전체는 본 발명에서 참고자료로 사용된다.
언급한 바와 같이, 패턴처리되는 웨이퍼 상에서, 웨이퍼 중심, 그리고 중심 인근의 작은 반경 영역에 걸쳐서의 전기도금 속도는 나머지 웨이퍼 부분의 전기도금 속도(실질적으로 균일함)에 비해 상대적으로 느리고 도금 피처 형태도 우수하지 못하다. 도 1D는 종래의 파운튼-타입 도금 구조가 사용될 때 300 ㎜ 웨이퍼에 구리의 전기도금 구동으로부터의 결과를 도시한다. 이 결과는 3.5 ㎛/분의 속도로 도금되는 50 ㎛ 두께의 포토레지스트에 구획되는 50 ㎛ 폭의 피처를 갖고 구리로 도금된 웨이퍼에 대해 얻은 것이다. 도금은, 중심-교차 웨이퍼 흐름 전단을 구체적으로 도입하기 위한 교정 수단없이, 20 lpm의 총 시스템 유량과 앞서 설명한 흐름 판으로, 90 rpm으로 웨이퍼가 회전하면서 수행되었다. 높은 증착 속도로 도금할 때, 예를 들어, 현재의 WLP 도금 기능 영역의 상한 임계치를 상회하는 부분의 속도로 도금할 때, 종래의 확산기 및 웨이퍼 회전 조건은 웨이퍼의 중심 영역에서 불균일한 증착을 방지하기에 불충분하다. 이는, 회전이 느리고, 충돌 흐름이 최소한이며, 웨이퍼 중심 영역에서 유체의 전단이 불충분하기 때문이다. 웨이퍼 표면의 실제 중심 회전축에서, 0의 각속도와 관련된 "싱귤래리티"가 존재한다.
효율적인 물질 전달 기능을 가지면서도, 싱귤래리티는 보상될 수 있고, 따라서, 고속의 균일한 도금이 달성된다. 따라서, 여기서 설명되는 장치는 웨이퍼 레벨 패키징 피처, TSV, 등을 도금하도록 구성된다. 다양한 금속이 여기서 설명되는 장치를 이용하여 도금될 수 있고, 물질 전달 문제로 인해 종래에 도금이 어려웠던 금속을 포함한다. 일 실시예에서, 여기서 설명되는 장치는 구리, 주석, 주석-납 조성물, 주석-은 조성물, 니켈, 주석-구리 조성물, 주석-은-구리 조성물, 금, 이들의 합금 중에서 선택되는 그룹으로부터 선택되는 하나 이상의 금속을 전기도금하도록 구성된다.
관측된 불균일성을 처리하기 위한 다양한 메커니즘이 위에 소개된 바 있다. 소정의 실시예에서, 이러한 메커니즘은 회전 워크피스의 표면에서 유체 전단을 일으킨다. 각각의 실시예가 아래에 상세하게 설명된다.
일 실시예는 (a) 실질적으로 평면형인 기판에 금속을 전기도금하면서 전해질과 애노드를 담도록 구성되는 도금 챔버와, (b) 전기도금 동안 기판의 도금 면이 애노드로부터 분리되도록 상기 실질적으로 평면형인 기판을 홀딩하도록 구성되는 기판 홀더와, (c) 전기도금 동안 기판의 도금 면에 실질적으로 평행하고 기판의 도금 면으로부터 분리된 기판 대면 표면을 포함하는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는 흐름 정형 엘리먼트를 통해 구성되는 복수의 비-연통 채널과 함께 이온성 저항 물질을 포함하며, 상기 비-연통 채널은 전기도금 동안 상기 흐름 정형 엘리먼트를 통해 전해질을 전달할 수 있는, 상기 흐름 정형 엘리먼트와, (d) 상기 흐름 정형 엘리먼트의 기판 대면 표면 상의 흐름 전환기로서, 상기 흐름 전환기는 상기 흐름 정형 엘리먼트의 원주를 부분적으로 따르는 벽체 구조를 포함하고, 전기도금 동안 상기 흐름 정형 엘리먼트와, 상기 실질적으로 평면형인 기판 사이의 부분적인 또는, "의사" 챔버("pseudo" chamber)를 구획하는, 상기 흐름 전환기를 포함하는 전기 도금 장치다.
일 실시예에서, 상기 흐름 정형 엘리먼트는 디스크 형태이고 상기 흐름 전환기는 상기 흐름 정형 엘리먼트에 부착되거나 일체형으로 구성되는 슬롯형 환형 스페이서를 포함한다. 일 실시예에서, 흐름 전환기의 벽체 구조는 단일 갭을 갖고, 상기 단일 갭은 약 40도 내지 약 90도 사이의 아크를 차지한다. 흐름 전환기의 벽체 구조 높이는 약 1 ㎜ 내지 약 5 ㎜ 사이다. 소정의 실시예에서, 전기도금 동안 상기 벽체 구조의 상부 표면이 상기 기판 홀더의 하부 표면으로부터 약 0.1 내지 약 0.5 ㎜ 사이에 있도록, 그리고, 전기도금 동안 상기 흐름 정형 엘리먼트의 상부면은 기판 홀더의 하부면으로부터 약 1 ㎜ 내지 약 5 ㎜ 사이에 있도록, 상기 흐름 전환기가 구성된다.
소정의 실시예에서, 상기 장치는 전기도금 동안 흐름 정형 엘리먼트의 구멍을 빠져나가는 적어도 약 10 ㎝/sec의 평균 유속을 생성하는 조건 하에서 기판 도금 면의 방향으로 전해질을 유동시키도록 구성된다. 소정의 실시예에서, 장치는 기판의 도금 면의 중심점 사이에서 약 3 ㎝/sec 또는 그보다 큰 횡방향 전해질 속도를 생성하는 조건 하에서 작동하도록 구성된다.
소정의 실시예에서, 상기 벽체 구조는 내측부보다 높은 외측부를 갖는다. 실시예들은 의사 챔버 내에 벤트 영역을 형성하는 하나 이상의 갭을 제외하곤, 의사 챔버로부터의 전해질의 흐름을 제한하는 피처를 포함한다.
일 실시예는 기판에 금속을 전기도금하기 위한 장치에 있어서, 상기 장치는, (a) 기판에 금속을 전기도금하면서 전해질 및 애노드를 담도록 구성되는 도금 챔버와, (b) 전기도금 동안 기판의 도금 면이 애노드로부터 이격되도록 기판을 홀딩할 수 있게 구성되는 기판 홀더로서, 상기 기판 홀더는 기판의 에지와 콘택트하여 전기도금 동안 기판에 전류를 제공하도록 구성되는 하나 이상의 전력 콘택트부를 갖는, 상기 기판 홀더와, (c) 전기도금 동안 기판과 애노드 사이에 배치되도록 정형 및 구성되는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는 전기도금 동안 기판의 도금 면에 실질적으로 평행한, 그리고, 약 10 ㎜ 또는 그 미만의 간격만큼 기판의 도금 면으로 이격되는, 평탄한 표면을 갖고, 상기 흐름 정형 엘리먼트는 전해질의 흐름을 상기 기판의 도금 면을 향하게 하는 복수의 구멍을 또한 구비한, 상기 흐름 정형 엘리먼트와, (d) 기판 도금 면의 방향으로 전기도금 셀의 전해질을 유동시키면서 기판 및/또는 흐름 정형 엘리먼트를 회전시키는 메커니즘과, (e) 상기 기판의 도금 면에서 유동하는 전해질에 전단력을 공급하는 메커니즘을 포함하고, 상기 장치는, 전기도금 동안 상기 흐름 정형 엘리먼트의 구멍을 빠져나가는 적어도 약 10 ㎝/sec의 평균 유속을 생성하는 조건 하에서 기판 도금 면의 방향으로 전해질을 유동하도록, 그리고, 상기 기판의 도금 면의 중심점 사이에서 적어도 약 3 ㎝/sec의 전해질 속도로 기판의 도금 면에 평행한 방향으로 전해질을 유동시키도록, 구성된다. 다양한 전단력 메커니즘이 아래에서 상세하게 설명된다.
흐름 전환기
소정의 실시예는 웨이퍼의 도금면에서, 특히, 도금면 상의 중심 회전축에서, 횡방향 전단력을 부여한다. 이러한 전단은 웨이퍼 중심에서 관측되는 증착 속도의 불균일성을 감소시키거나 제거한다고 판단된다. 이 단락에서, 전단은 흐름 정형판의 원주에 부착되거나 인접하여 위치하며 회전 워크피스를 향해 연장되는 방위각적으로 불균일한 흐름 전환기를 이용함으로써 부여된다. 일반적으로, 흐름 전환기는 의사 챔버의 벤트부를 제외하곤 의사 챔버로부터 전해질의 흐름을 적어도 부분적으로 제한하는 벽체 구조를 가질 것이다. 벽체 구조는 상부 표면을 가질 것이고, 일부 실시예에서 상부 표면은 평탄하고, 다른 실시예에서 상부 표면은 수직 요소, 경사, 및/또는 곡면부를 가질 것이다. 여기서 설명되는 일부 실시예에서, 흐름 전환기의 에지부의 상부 표면은 에지부의 상부와 기판 홀더 주변부 사이의 영역의 상당부에 걸쳐 웨이퍼 홀더의 하부와 흐름 전환기 사이에 매우 작은 갭(가령, 약 0.1 내지 0.5 ㎜)을 제공한다. (30도 내지 120도 사이의 아크인) 이 영역 바깥에서, 흐름 전환기의 내부 표면과 흐름 정형판, 웨이퍼 홀더의 소정의 표면, 웨이퍼 도금 면 사이에 형성되는 거의 닫힌 챔버로부터 전해질이 유동하기 위한 비교적 저저항의 경로를 제공하는 흐름 전환기 본체(예를 들어, 환형 본체로부터 제거되는 세그먼트)에 갭이 존재한다.
일 실시예에서, 전기 도금 장치의 전단력 공급 메커니즘은 슬롯형 스페이서를 포함하고, 상기 슬롯형 스페이서는, 흐름 정형 엘리먼트의 원주 상에 또는 원주에 인접하게 위치하고 기판 홀더를 향해 돌출하여, 상기 흐름 정형 엘리먼트와 상기 기판 홀더 사이에 부분 챔버를 구획하며, 상기 슬롯형 스페이서는 상기 부분 챔버로부터 전해질에 대한 저저항 경로를 제공하도록 특정 각도 섹션에 걸친 슬롯을 포함한다. 도 2A 내지 도 2D 및 관련 CAD 도면인 도 2E 내지 도 2I는 전환기 조립체(204)를 생성하기 위해, 흐름 정형 판(202)(도 2E 내지 도 2K의 5)와 조합하여 슬롯형 스페이서(200)가 사용되는 구현예를 도시하며, 상기 전환기 조립체(204)는, 회전가능 구동 조립체(101)에 인접하여 위치할 때, 그리고, 상기 판(202)의 관통 구멍을 통해 충분한 흐름이 제공될 때, 고속 증착 영역에 실질적으로 균일한 도금을 제공한다. 도 2A는 슬롯형 스페이서(200)(방위각적으로 비대칭인 흐름 전환기)가 흐름 정형 판(202)과 어떻게 조합되어 조립체(204)를 형성하는 지를 도시한다. 슬롯형 스페이서(200)는 나사, 등을 이용하여 부착될 수 있다. 당 업자라면, 실시예가 조립체 내에서 조합된 개별 유동 정형 판 및 흐름 전환기로 설명되지만, 이러한 조립체보다는, 한 블록의 물질로부터 밀링되는 단일 본체가 동일한 용도로 기능할 수 있음을 이해할 수 있을 것이다. 따라서, 일 실시예는, 여기서 설명되는 흐름 전환기/흐름 정형판의 용도로 기능하도록 구성되는 단일 본체를 갖는 흐름 정형 엘리먼트다.
조립체(204)는 도금될 기판에 인접하여 위치한다. 예를 들어, 조립체(101)의 가장 가까운 부분(도 1A 및 도 1B와 관련하여 설명된 컵(102)의 베이스)은 방위각적 슬롯형 스페이서(200)의 상부로부터 약 1 ㎜ 미만 내에 놓인다. 이러한 방식으로, 한정된 공간 또는 의사 챔버가 웨이퍼와 흐름 정형 판 사이에 형성되고, 웨이퍼 표면에 충돌하는 전해질의 다수가 스페이서(200)의 슬롯형 부분을 통해 빠져나간다. 구획된 반경을 갖는 링에 대한 선형 치수 또는 각도로 규정될 수 있는 치수 A는 많은 또는 적은 흐름이 슬롯을 통과할 수 있도록 변화할 수 있고, 치수 B는 상술한 의사 챔버 내 큰 볼륨 또는 작은 볼륨을 생성하도록 변화할 수 있다. 도 2B는 조립체(101)에 인접하여 위치하는 조립체(206)의 단면도다. 소정의 실시예에서, 스페이서(200)의 상부와 조립체(101)의 하부 사이의 갭인 치수 C는, 약 0.1 내지 0.5 ㎜의 수준이고, 다른 실시예에서 약 0.2 내지 0.4 ㎜ 수준이다.
도 2C는 웨이퍼가 회전하고 있지 않을 때 웨이퍼와 판(202) 간의 의사 챔버 내의 전해질 흐름 패턴을 도시한다. 특히, 도면은 웨이퍼의 도금 면에 바로 인접한 흐름 패턴의 대표 벡터를 도시한다. 전해질은 도금 표면에 수직으로 웨이퍼 상에 충돌하지만, 그후, 편향되어, 스페이서(200)의 슬롯으로부터 도금 표면에 평행하게 유동한다. 이러한 흐름 패턴은, 의사 챔버 내의 "벤트" 또는 큰 개구부가 위치하는 흐름 전환기(200)로부터 세그먼트가 제거되는 영역에 대해 좁은 갭 C(도 2B 참조)을 통해 유동하도록 저항을 이용하여 생성된다. 벤트 영역으로부터 그리고 벤트 영역을 향해 가장 먼 의사 챔버 내 지역으로부터 흐름 정형 판 사이에서 흐름 벡터의 크기가 증가한다. 이는 갭으로부터 가장 먼 지역(고압)으로부터, 그리고 갭에 인접한 지역(저압)으로부터 압력 차를 고려함으로써 합리화될 수 있다. 또한, 벤트로부터 가장 먼 의사 챔버의 지역에서 흐르는 전해질은, 벤트 근처의 영역에서 참인 것처럼, 정형 판의 추가 마이크로기류로부터 조합된 흐름의 모멘텀 및 추가적 속도를 즐기지 못한다. 소정의 실시예에서, 아래 상세하게 설명되는 이러한 유량 벡터 크기는 도금 균일성을 추가적으로 증가시키기 위해 더욱 균일하게 이루어진다.
도 2D는 웨이퍼가 일 방향으로 회전할 때 웨이퍼 면에서의 흐름 패턴의 대표 벡터를 나타낸다. 전해질이 회전 웨이퍼의 회전축 또는 중심(굵은 "X"로 표시됨) 사이에서 횡방향으로 유동한다. 따라서, 전단류가 웨이퍼의 중심 사이에서 구축되어, 불충분한 전단류가 존재할 때 관측되는 중심에서의 느린 도금을 완화시키거나 제거한다.
일부 실시예에서, 흐름 저항성 마이크로-다공질 필터 물질 또는 양이온 전도 막과 같은, 실질적으로 흐름 저항성인, 그러나 이온 전도성인 필름(예를 들어, E.I. du Pont de Nemours and Company 사 제품인 설포네이티드 테트라플로로에틸렌 기반 플로로폴리머-코폴리머인 Naflon)이 흐름 전환기의 열린 흐름 슬롯에 인접한 판의 영역의 흐름 판의 바로 아래에 배치된다. 일 실시예에서, 이 부분은 판의 면적의 약 절반에 해당한다. 다른 실시예에서, 이 부분은 판의 면적의 약 1/3에 해당하고, 다른 실시예에서, 약 1/4에 해당하며, 또 다른 실시예에서는 1/4보다 작다. 이러한 구조에 따라, 이온 전류가 방해받지 않고 대량으로 구멍을 통과할 수 있으나, 해당 영역에서 상향으로 뛰어드는 흐름은 방지되어, 동일한 총 유량에 대해 웨이퍼 중심 근처에서 크로스 흐름을 증가시키고, 웨이퍼 도금 표면 사이에서 흐름 벡터를 정규화시킨다. 예를 들어, 이 부분이 판의 면적의 반일 경우, 이는 슬롯의 대향 측부에 위치하는 구멍에서 흐름 속도를 두배로 하게 되고, 슬롯 인근의 판의 절반 상에 있는 구멍을 통한 흐름을 제거한다. 당 업자라면, 흐름 전환기/흐름 정형판 구조를 포함하는 특정 도금 장치의 구조에 따라, 횡방향 흐름 벡터를 정규화시키도록 막의 배치 및 형태가 최적화될 수 있다는 것을 이해할 수 있을 것이다. 이러한 막 대신에, 흐름 정형판의 관통 구멍 패턴을 조정하여, 구멍의 밀도가 흐름 전환기의 갭 근처에서 낮게 되고, 이와 유사하게, 특정 시스템의 구조 및 작동 파라미터에 따라 갭 인근의 구멍의 패턴이 좌우될 것이다. 더욱 유연한 접근법은 일부 고정된 구멍 패턴을 갖는 흐름 정형판을 이용하고 상술한 막 및/또는 구멍 블로킹을 이용하여 웨이퍼 도금 표면 사이에서 요망하는 횡방향 흐름 특성을 생성하는 것이다. 횡방향 흐름 특성을 개선시키기 위한 추가적인 설명은 다음의 도면에 대한 설명에 포함된다. 예를 들어, 웨이퍼 도금 표면 사이에서 횡방향 흐름 벡터를 정규화시키기 위한 방법 및 장치가 도 7A 내지 도 7C와 관련하여 추가적으로 설명될 것이다.
실제 도금 장치 구성요소의 CAD 도면으로부터 도출한 도 2E-2I에서, 장치(특히, 전환기 조립체)의 추가적인 피처가 도시된다. 가능하다면, 도 2E-2I의 일부 구성요소의 부호 편성은 이전 도면의 부호 편성과 부합된다(예를 들어, 웨이퍼(145), 흐름 전환기(200) 및 흐름 정형 판(202)). 도 2E 내지 도 2I의 다른 피처들은 다음의 도면 부호에 의해 식별된다. 도 2E는 도금 셀 조립체에 부착되는 조립체(204)를 사시도로 도시하고, 웨이퍼 홀더(101)를 단면도로 도시한다. 도면 부호(206)는, "콘"(210)에 대해 웨이퍼를 위치에 홀딩하기 위해 컵을 상하로 이동시킬 수 있는, 컵(212)에 연결하기 위한 '상판'을 식별한다. 스트럿(208)은 컵(212)을 상판(206)에 연결한다. 공압 및 전기 연결과 같은 다양한 연결을 홀딩하는 하우징(205)이 콘(210)에 연결된다. 콘은 콘 내 가요성 캔틸레버 구조를 생성하기 위해 컷 아웃(cut out)(207)을, 그리고, 밀봉 O-링(230)을 또한 포함한다. 컵(212)은 메인 컵 본체 또는 구조(222)와, 웨이퍼(145)와 연결하기 위한 전기 콘택트부(224)와, 콘택트부(224)에 전기를 전달하기 위한 버스 판(226)과, 조립체(101)의 하측 표면을 구획하는, 컵 하부(228)를 포함한다(도 2A 내지 도 2D는 도 1A 및 도 1B 및 관련 설명이 일례의 웨이퍼 홀딩 및 위치 결정 조립체(100) 및 조립체의 단면(101)에 대한 개념을 제공한다는 점을 또한 주목하자).
슬롯형 스페이서(200)(도 2A 내지 도 2D 참조)는 흐름 정형판(202)(도 2A 내지 도 2D 참조)과 콘택트한다. 컷아웃 또는 슬롯(201)은 슬롯형 스페이서 내에 존재하고, 전기도금 동안 전해질의 탈출을 위한 저저항 경로를 제공한다. 본 예에서, 장착 나사는 슬롯형 스페이서(200)를 흐름 정형판(202)에 연결한다. 고정 부재(220)는 판(202)을 메인 셀 본체(216)에 연결한다. 원형 벽체(214)는 애노드액을 홀딩하는 애노드 챔버로부터 분리되는 캐소드액을 홀딩하는 캐소드 챔버의 외측 영역을 구획한다.
흐름 정형판(202)의 상측 표면과 웨이퍼(145)의 도금 표면 사이에 갭(232)이 존재한다(도 2B의 치수 C 참조). 이 갭은 흐름 전환기의 내부 영역의 약 2 내지 4 ㎜일 수 있다. 그러나, 슬롯형 스페이서가 위치하는 원주 지점에는, 일부 실시예에서 약 0.1 내지 0.5 ㎜인 갭(234)이 존재한다. 이러한 작은 갭(234)은 컵 하부(228)의 하측 표면과 슬롯형 스페이서(200)의 상측 표면 사이의 거리로 특성화된다. 물론, 이러한 작은 갭(234)이 스페이서(200)의 개구부(201)에는 존재하지 않는다. 이러한 개구부에서는 컵 하부와 판(202) 사이의 갭이 갭(232)과 같다. 소정의 실시예에서, 갭(232, 234) 사이의 차이 갭 크기는 10의 대략 배수다.
대안의 실시예 세트로서, 여기서 설명되는 전단류를 생성하기 위해 액체 흐름이 장벽으로 사용된다. 이러한 실시예에서, 에지 갭은 상술한 바와 같이 반드시 매우 작을(가령, 2 ㎜) 필요는 없고, 크로스 흐름을 생성하는 효과가 발생할 것이다. 일례에서, 셀이 도 2A 내지 도 2I와 관련하여 일반적으로 설명될 때, 슬롯형 스페이서(200)가 일반적으로 점유하는 영역에서, 웨이퍼 홀더를 향해 실질적으로 상향으로 지향되는 유체의 상향 유동 기류를 생성하는 메커니즘(가령, 하나 이상의 유체 기류)가 존재하여, 그렇지 않을 경우 갭을 통해 유체가 누출되려 하는 영역에서 액체 "벽체"를 생성할 것이다. 다른 실시예에서, 스페이서는 웨이퍼 홀더의 주변부 너머로 외향으로 연장되고, 약 1 내지 10 cm의 거리로 웨이퍼 방향으로 횡방향 상향으로 연장되어, 웨이퍼와 홀더가 끼워맞춰지는 "누출형" 컵을 생성한다. 흐름 전환기에서처럼, 누출형 컵은 벽체가 없는 부분을 갖고, 여기를 통해 흐름 판에 유입된 액체가 컵과 웨이퍼 사이의 갭을 빠져나간다. 위 실시예들이 웨이퍼와 인서트 사이의 매우 작은 갭에 대한 필요성을 감소시키지만, 웨이퍼 중심 사이의 총 크로스 흐름은 웨이퍼 간격에 대한 흐름 정형판에 의해 부분적으로 결정되며, 이 파라미터는 상술한 바와 같이 대략 동일하게 유지되는 것이 일반적이다.
도 2H는 전기도금 셀의 보다 완전한 도면을 단면으로 도시한다. 도시되는 바와 같이, 전기 도금 셀은 원형 벽체(214)에 의해 부분적으로 구획되는 상측 또는 캐소드 챔버(215)를 포함한다. 셀의 상측 캐소드 챔버 및 하측 애노드 챔버는 이온 전달 막(240)(가령, Naflon)과 뒤집힌 원추형 지지 구조물(28)에 의해 분리된다. 넘버(248)는 흐름 정형판(202)까지의, 그리고 흐름 정형판(202)을 통한 전해질의 흐름 경로 라인을 표시한다. 애노드 챔버는 전력을 애노드에 전달하기 위한 충전판(243)과 구리 애노드(242)를 포함한다. 이는 애노드 상부 표면에 충돌하는 방식으로 애노드 표면에 전해질을 운반하기 위한 일련의 플루트(246)와 유입 매니폴드(247)를 또한 포함한다. 캐소드액 흐름 유입구(244)는 애노드(242)의 중심과 애노드 챔버를 통과한다. 이 구조는 도 2H에서 반경방향/수직 화살표로 도시되는 바와 같이, 캐소드액을 상측 챔버(215)로 운반한다. 도 2I는 웨이퍼의 도금 표면에 언집한 위치에서, 정형판(202) 내, 그리고 갭(232) 내로 구멍을 통해 유동하는 전해질에 대한 흐름 스팀라인(248)을 도시한다.
도 2E 내지 도 2I에 도시되는 셀 피처 중 일부가 아래 설명되는 도 1A, 1B, 3B에 또한 도시된다. 장치는 하나 이상의 컨트롤러를 포함할 것이며, 상기 컨트롤러는 특히, 컵 및 콘 내 웨이퍼의 위치 결정, 흐름 정형판에 대한 웨이퍼의 위치 결정, 웨이퍼의 회전, 그리고, 애노드 및 웨이퍼에 대한 전류 전달을 제어한다.
흐름 전환기 실시예의 일부 일반적인, 그러나 비-제한적인 특징들이 아래 로마자 I-XII에서 설명된다.
I. 흐름 정형판 "챔버"에 대한 거의 닫힌 웨이퍼와 작은 갭 영역을 생성하기 위한 구조물.
II. 더욱 구체적인 실시예에서, 흐름 정형판 챔버에 대한 거의 닫힌 웨이퍼는 흐름 정형판 상에, 또는 흐름 정형판의 일부분으로 위치하는 주변부 에지 요소(슬롯형 스페이서)와 웨이퍼 홀더 주변부 사이 공간의 대부분 사이에서 매우 작은 갭(가령, 약 0.1 내지 0.5 ㎜)을 형성함으로써 생성된다.
III. 장치는 흐름 정형판 위에서 비교적 높은 각속도(가령, 적어도 약 30 rpm)로 웨이퍼를 회전시켜서, 높은 수준의 유체 전단을 생성한다. 이러한 유체 전단은 웨이퍼 인근에 있는 (고정식)정형판의 상측 표면과 이동하는 웨이퍼 사이의 큰 속도차에 의해 야기된다.
IV. 셀의 일 영역이 유체 유출구인 "벤트"로 기능한다. 이러한 벤트는 개구부이고, 일부 경우에는 유출구 갭이다(가령, 상술한 슬록형 스페이서의 갭). 이는 흐름 정형판과 회전 웨이퍼 사이에서 "챔버"에 구멍을 생성한다. 벤트는 흐름 정형판을 통해 상향으로 이동하는 유체를 90도만큼 방향을 변경시켜서, 벤트 위치를 향한 소정 각도로, 웨이퍼 표면에 평행하게 고속으로 이동시킨다. 이러한 유출구 벤트 또는 갭은 (흐름 정형판 및/또는 웨이퍼/컵의 외측 에지에 해당하는) "챔버"의 외측 원주부의 호 부분을 둘러싸서, 챔버에 방위각적 비대칭을 나타내게 된다. 일부 경우에, 벤트 또는 갭과 경계를 이루는 각도는 약 20도 내지 120도 또는 약 40도 내지 90도다. 이러한 갭을 통해, 유체의 대부분이 셀 챔버로 유입되어 정형판 내 구멍을 통과한 후 셀을 빠져나간다(그리고 이는 배스에 재순환을 위해 리캡처된다).
V. (유체) 흐름 정형판은 작동 유량에서 실질적인 점성 배압을 유도하는 낮은 다공성 및 포어 크기는 갖는 것이 일반적이다. 일례로서, 가령, 6465 x 0.026 인치의, 많은, 매우 작은 구멍을 내부에 갖춘 고체판이 유용하게 도시되어 있다. 고체판의 다공성은 약 5% 미만인 것이 일반적이다.
VII. 약 300 ㎜ 직경의 흐름 정형판을 이용하는 (그리고 다수의 구멍을 갖는) 소정의 실시예에서, 약 5 리터/분 또는 그 이상의 유량이 사용된다. 일부 경우에, 유량은 적어도 약 10 리터/분이고, 가끔씩은 40리터/분에 달한다.
VIII. 다양한 실시예에서, 흐름 정형판 간의 압력 강하의 크기는 웨이퍼 아래와 유출구 갭에 대향된 챔버 내의 위치와 유출구 갭 사이의 압력 강하보다 크거나 대략 동일하며, 따라서, 흐름 매니폴드로 기능한다.
IX. 흐름 정형판은 웨이퍼를 대부분 상향으로, 그리고 직접 실질적으로 균일한 흐름을 전달한다. 이는 흐름의 대부분이 흐름 정형판으로부터 챔버에 유입되거나, 유출구 갭을 통해, 그리고, 유출구 갭 근처에서 주로 외향으로 나타나는 경로에 의해 라우팅(단락)되는 상황을 방지한다.
X. 흐름 전환기 없이, 정형판과 웨이퍼의 에지 사이에 큰 갭(일 ㎜보다 큰 수준)을 갖는 경우와 달리, 흐름이 웨이퍼 아래의 영역에 누적됨에 따라, 유출구 갭의 방향으로 웨이퍼에 평행하게 주로 통과하여야 하는 흐름에 대해 반경방향 외향 궤적의 경로로부터 최소저항 경로가 변경된다. 따라서, 유체는 웨이퍼 표면에 평행한 횡방향으로 가로지르도록 지향되며, 특히, 웨이퍼의 중심을 지난다. 중심으로부터 모든 방향으로 반경방향 외향으로는 더이상 지향되지 않는다.
XI. 중심 및 다른 위치에서의 횡방향 흐름의 속도는, 다양한 갭의 크기(흐름 정형판에서 웨이퍼까지, 유출구 갭, 슬롯형 스페이서에서 웨이퍼 홀더 주변 하부까지), 총 유량, 웨이퍼 회전 속도를 포함하는 다수의 설계 및 작동 파라미터에 따라 좌우된다. 그러나, 다양한 실시예에서, 웨이퍼 중심에서의 흐름은 적어도 약 3 ㎝/sec이며, 또는 적어도 약 5 ㎝/sec다.
XII. "경사 유입"을 허용하도록 웨이퍼와 홀더를 틸트시키는 메커니즘이 사용될 수 있다. 이러한 틸트는 상측 챔버 내 벤트나 갭을 향할 수 있다.
다른 실시예는 벤트나 갭을 제외하고 의사 챔버로부터의 흐름을 추가적으로 방지하는 수직 표면을 포함하는 흐름 전환기를 포함한다. 수직 표면은 흐름 정형판(202) 및 흐름 전환기(303)를 포함하는 흐름 전환기/흐름 정형판 조립체(304)를 도시하는 도 3A의 모습으로 표현될 수 있다. 흐름 전환기(300)는 도 2A와 관련하여 설명한 흐름 전환기(200)와 매우 유사하며, 일부 세그먼트를 제거한 일반적으로 환형 형태를 갖는다. 그러나, 흐름 전환기(300)는 수직 요소를 갖도록 형성 및 구성된다. 도 3A의 하부는 흐름 전환기(300)의 단면을 도시한다. 웨이퍼 홀더의 최하측 표면 아래에 있는 평탄한 상부 표면보다는, 흐름 전환기(300)의 상부 표면은, 내측 원주부로부터 시작되어 반경방향 외향으로 이동하여 웨이퍼 홀더의 최하측 표면보다 위에 놓인 상부 표면에서 종료되는, 종국에는 수직 표면이 되는 경사진 표면을 갖도록 정형된다. 따라서, 본 예에서, 벽체 구조는 내측부보다 높은 외측부를 갖는다. 소정의 실시예에서, 외측부의 높이는 약 5 ㎜ 내지 약 20 ㎜ 사이이고, 내측부의 높이는 약 1 ㎜ 내지 약 5 ㎜ 사이다.
도 3A의 예에서, 흐름 전환기는 수직 내측 표면(301)을 갖는다. 표면이 완벽한 수직일 필요는 없으며, 예를 들어, 경사진 표면으로 충분하다. 본 실시예의 중요한 특징은, 흐름 전환기의 상부 표면과 웨이퍼 홀더의 하부 표면 사이의 좁은 갭(도 2B의 C)이 웨이퍼 홀더 표면의 일부 경사진, 및/또는 수직 구성요소를 포함하도록 연장된다는 것이다. 이론적으로, 이러한 "좁은 갭 연장부"는 경사 또는 수직 표면에 반드시 포함되는 것은 아니며, 차라리, 의사 챔버로부터 유체 탈출을 방지하기 위해 좁은 갭을 생성하거나 좁은 갭을 더 좁히기 위해, 웨이퍼 홀더의 하측 표면과 흐름 전환기의 상측 표면이 등록되는 영역을 확장하는 단계를 포함할 수 있다. 그러나, 장치의 전체 풋프린트를 감소시키기 위해, 좁은 갭을 통한 유체 손실이 적은 동일한 결과를 얻기 위해, 단순히 좁은 갭을 경사진 및/또는 수직의 표면으로 확장하는 것이 더욱 바람직한 경우가 많다.
웨이퍼 홀더(101)에 등록된 조립체(304)의 부분 단면도를 도시하는 도 3B와 관련하여, 수직 표면(301)(본 예에서, 웨이퍼 홀더(101)의 수직부와 함께함)는 흐름 전환기 상부 표면과 웨이퍼 홀더 사이에서 상술한 좁은 간격을 연장시킨다(도 2B의 C 참조). 일반적으로, 반드시 그런 것은 아니지만, 도 3B에 도시되는 바와 같이, 이러한 수직 및/또는 경사 표면 간의 거리(302)는 웨이퍼 홀더와 흐름 전환기의 수평 표면 간의 거리 C보다 작다. 이러한 해석에서, 어떤 관통 구멍도 없는 흐름 정형판(202)의 부분(202a)과, 관통 구멍을 갖는 부분(202b)이 도시된다. 일 실시예에서, 벽체 구조의 내측 표면이 전기도금 동안 기판 홀더의 외측 표면으로부터 약 0.1 내지 약 2 ㎜ 사이에 있도록 흐름 전환기가 구성된다. 본 예에서, 갭(302)이 이 거리를 나타낸다. 이와 같이 갭을 추가적으로 좁힘으로써, 의사 챔버 내 더 많은 유체 압력이 생성되고, (웨이퍼 홀더(101)와 대향되는 흐름 전환기(300)의 세그머트 부분에 해당하는) 벤트로부터 그리고 웨이퍼 도금 표면 사이에에서의 전단류가 증가한다. 도 3C는 설명되는 수직 갭의 변화에 따라 300 ㎜ 웨이퍼 상의 도금되는 구리의 균일도를 도시하는 그래프다. 도시되는 바와 같이, 다양한 갭 거리에서, 고도로 균일한 도금을 얻을 수 있다.
도 3D는 수직 요소를 갖는, 흐름 전환기(305-330)의 다양한 변형을 도시한다. 도시되는 바와 같이, 수직 표면이 도금 표면에 정확하게 수직일 필요는 없으며, 따라서, 흐름 전환기의 상부 표면의 경사진 부분일 필요도 없다(단면(315) 참조). 단면(320)에서 도시되는 바와 같이, 흐름 전환기의 내측 표면이 완전히 곡면형 표면일 수 있다. 단면(310)은 갭을 연장하는 비스듬한 표면만이 존재할 수 있을을 도시한다. 당 업자라면, 흐름 전환기의 형태가, 갭 연장을 생성하기 위해 등록되는 웨이퍼 홀더에 따라 좌우될 수 있다는 것을 이해할 수 있을 것이다. 일 실시예에서, (예를 들어, 흐름 정형판의 상부 표면에 비해) 수평으로부터 편향되는 표면은 수평으로부터 약 30도 내지 약 90도 사이로 편향하는 적어도 일부분을 갖는다.
도 3A 내지 도 3D와 관련하여 설명되는 흐름 전환기는 웨이퍼 도금 표면과 흐름 정형판 사이의 더욱 균일한 횡방향 흐름을 생성하는 것을 돕는다. 도 3E는 도 3A 내지 도 3D와 관련하여 설명되는 흐름 전환기(도 3E의 우측부)가 사용될 때에 비해 도 2A 내지 도 2I와 관련하여 설명되는 흐름 전환기(도 3E의 좌측부)가 사용될 때 생성되는 횡방향 흐름 패턴의 서프 이미지 헤이즈 맵(Surf Image Haze Map)의 상부를 도시한다. 이러한 헤이즈 맵은 도금 전류를 인가하지 않으면서 시드층을 갖는 웨이퍼에서의 유동 도금 용액의 결과다. 도금 용액 내 황산은 시드 웨이퍼 표면을 에칭하여, 레이저-기반 입자/결함 검출기를 이용하여 분석될 때, 흐름 패턴을 반영하는 패턴을 생성한다. 각각의 테스트에서, (202)와 같은 흐름 정형판이 사용되었고, 구멍 패턴은 흐름 전환기 내측 원주부 내부의 판의 전체 영역에 걸친, 규칙적이며 균일한 정사각형 패턴의 구멍이었다(전환기로부터 제거된 부분은 마치 제거되지 않은 것처럼 위치하였다). 도 3E의 상측 중간부의 도면은 흐름 전환기의 배향과, 좌상부로부터 우하부까지 갭 바깥의 흐름 방향을 나타낸다. 헤이즈 맵의 어두운 부분은 충돌하는 수직 흐름을 나타내고, 밝은 영역은 횡방향 흐름을 나타낸다. 좌측 맵에서 도시되는 바와 같이, 웨이퍼 사이에서 수직 흐름의 합류를 표시하는 어두운 영역의 여러 브랜치들이 존재한다. 즉, 흐름 정형판 표면 상의 관통 구멍의 규칙적 분포로 인해, 횡방향 흐름 성분이 충돌하는 흐름 성분보다 작은 유체를 위한 긴-범위의 경로가 존재한다. 이러한 긴-범위 경로는 웨이퍼 도금 표면 사이에서 도금 균일성에 부정적 영향을 미치며, 긴-범위 경로를 최소화시키는 것이 바람직하다. 도 3E의 우측부에서 헤이즈 맵에 의해 표시되는 바와 같이, 도 3A 내지 도 3D와 관련하여 설명되는 흐름 전환기를 이용할 때(예를 들어, 수직 내부 표면), 웨이퍼 사이에서 더욱 균일하고 증가된 양의 횡방향 흐름이 존재한다.
흐름 정형판의 불균일한 구멍 분포
소정의 실시예에서, 흐름 정형판은 관통 구멍의 불균일한 분포를 가져서, 도금 중 웨이퍼 표면 사이에서 증가된, 및/또는 고도로 균일한 횡방향 흐름을 단독으로, 또는, 흐름 전환기와 조합하여 생성한다.
일부 실시예에서, 불균일한 구멍 분포는 나선형 패턴을 갖는다. 도 4A는 이러한 흐름 정형판(400)의 평면도다. 관통 구멍의 나선형 패턴의 중심이 거리 D에서 구멍들의 원형 영역의 중심으로부터 이격된다. 도 4B는 유사한 흐름 정형판(405)을 도시하고, 그 이격 정도는 더 크며, 거리 E에 해당한다. 도 4C는 다른 유사한 흐름 정형판(410)을 도시하며, 구멍의 나선형 패턴의 중심이 구멍이 점유하는 원형 영역 내에 포함되지 않고, 차라리, 구멍의 나선형 패턴의 중심이 관통 구멍을 포함하는 원형 영역 내에 포함되지 않도록 이격 정도가 나타난다. 이러한 이격된 나선형 패턴을 이용함으로써, 도금 중 웨이퍼 표면 간의 횡방향 흐름이 개선된다. 이러한 흐름 정형판에 대해서는 미국특허가출원 제 61/405,608호에서 상세하게 설명되고 있으며, 그 내용은 본 발명에 참고자료로 사용된다.
도 5A는 도 4C와 관련하여 설명되는 흐름 정형판(웨이퍼 회전 없음)과 연계하여 사용되는, 도 3A와 관련하여 설명되는 흐름 전환기를 이용함으로써 나타나는 흐름 패턴을 나타내는 헤이즈 맵을 도시한다. 헤이즈 맵은, 본 예에서 나선형 패턴에 해당하는 불균일한 관통 구멍 패턴으로 인해, 충돌 흐름 성분이 지배하는 유체 흐름에 대한 긴 범위 경로가 존재하더라도 최소한에 불과한, 거의 완전한 횡방향 흐름이 존재한다. 도 5B는 전환기와 웨이퍼 홀더 사이의 특정 갭(3 ㎜)에서 도 5A와 관련하여 설명되는 흐름 전환기/흐름 정형판 조합을 이용할 때 도금 균일성 결과를 도시한다. 300 ㎜ 웨이퍼 상의 도금 균일성은 매우 높다.
불균일한 관통 구멍 패턴은 나선 형태와는 다른 형태를 포함할 수 있다. 소정의 실시예에서, 흐름 전환기는 구멍 불균일성을 갖는 흐름 정형판과 조합되어 사용되지 않는다. 예를 들어, 도 6은 중심 저속 도금 문제점을 취급하기 위한 일 구조를 나타내는 조립체(600)를 도시한다. 도금 장치(600)는 애노드(160)와 전해질 유입구(165)를 갖는 도금 배스(155)를 갖는다. 본 예에서, 흐름 정형판(605)은 웨이퍼 사이에서 불균일한 충돌 흐름을 생성한다. 구체적으로, 구멍 크기 및 밀도의 반경방향 분포 변화와 같이, 흐름 정형판의 불균일한 구멍 분포로 인해, 외측 영역에 비해 웨이퍼의 중심에서 더 큰 흐름이 존재한다. 굵은 점선의 화살표로 표시되는 바와 같이, 본 예에서, 웨이퍼 중심에서 나타나는 결과적인 낮은 도금 속도와 불충분한 물질 전달을 보상하기 위해 웨이퍼 중심 근처에서 더 큰 흐름이 생성된다(예를 들어, 도 1D를 참조).
상술한 바와 같이 종래의 도금 방식에서 웨이퍼 표면에 걸쳐 불충분한 흐름 전단 및 이에 따른 불균일한 물질 전달이 존재한다고 판단된다. 웨이퍼의 다른 영역에 비해 웨이퍼 중심에서의 유량을 증가시킴으로써, 웨이퍼 중심 근처에서의 낮은 도금 속도를 방지할 수 있다. 이러한 결과는, 중심 영역에서의 결과적인 전단 정도 및 충돌하는 흐름 기류의 수를 증가시키기 위해, 흐름 정형판과 같은 웨이퍼에 대한 배향 각도 및/또는 구멍 수를 증가시킴으로써 달성될 수 있다.
일반적으로, 구멍 밀도, 크기, 및/또는 분포(가령, 균일한지, 또는 무작위적인지)는 흐름 정형판의 중심 근처에서 변화한다. 일부 실시예에서, 구멍 밀도는 구멍 근처에서 증가한다. 대안으로서, 또는 추가적으로, 구멍은 중신 근처에서 자신의 패턴의 일부 무작위적인 분포를 가정하며, 이러한 구멍 분포는 흐름 정형 상의 다른 곳 어디에서도 규칙적인 또는 주기적인 배열로 제공될 수 있다. 일부 실시예에서, 흐름 정형판의 중심 영역의 일부 구멍을 커버하도록 부분 커버링이 제공될 수 있다. 소정의 실시예에서, 이러한 커버링은 이온성 전도 흐름 방지 부재를 포함한다. 이에 따라, 최종 사용자가 특정 도금 요건에 부합하도록 구멍 밀도 및/또는 분포를 맞춤화할 수 있다.
흐름 포트 횡방향 흐름 개선
일부 실시예에서, 전해질 흐름 포트는 여기서 설명되는 흐름 정형판 및 흐름 전환기와 조합하여, 또는 단독으로, 횡방향 흐름을 돕도록 구성된다. 다양한 실시예들이 흐름 정형판 및 흐름 전환기와의 조합과 관련하여 아래에서 설명되지만, 본 발명이 이에 제한되지는 않는다. 도 2C와 관련하여 설명한 바와 같이, 소정의 실시예에서, 웨이퍼 표면에 걸쳐 전해질 흐름 벡터의 크기가, 벤트나 갭에 인접한 위치에서 크고, 웨이퍼 표면에 걸쳐 점진적으로 작아지며, 벤트 또는 갭으로부터 가장 먼 의사 챔버의 내부에서 가장 작다. 도 7A에서 도시되는 바와 같이, 적절히 구성되는 전해질 흐름 포트를 이용함으로써, 횡방향 흐름 벡터의 크기가 웨이퍼 표면에 걸쳐 더욱 균일하다.
도 7B는 도금 배스(155) 내에, 전해질(175)에 부분적으로 잠긴, 웨이퍼 홀더(101)를 갖는, 도금 셀(700)의 단순화된 단면도를 도시한다. 도금 셀(700)은 흐름 정형 판(705)을 포함한다. 애노드(160)가 판(705) 아래에 놓인다. 판(705) 위에는 도 3A 및 3D와 관련하여 설명된 흐름 전환기(315)가 위치한다. 이 도면에서, 흐름 전환기의 벤트 또는 갭이 도면의 우측부 상에 놓이고, 따라서, 가장 큰 점선 화살표로 표시되는 것처럼 좌측에서 우측으로 횡방향 흐름을 부여한다. 일련의 작은 수직 화살표들은 판(705) 내 수직 배향 관통 구멍들을 통한 흐름을 나타낸다. 판(705) 아래에는 판(705) 아래의 챔버 내로 전해질을 유입시키는 일련의 전해질 유입 흐름 포트(710)가 또한 존재한다. 본 도면에서, 애노드액 및 캐소드액 챔버를 분리시키는 막이 존재하지 않으나, 막이 이러한 도금 셀에 포함될 수도 있다.
본 예에서, 흐름 포트(710)는 셀(155)의 내부 벽체 주위로 반경방향으로 분포된다. 소정의 실시예에서, 웨이퍼 도금 표면 간의 횡방향 흐름을 개선시키기 위해, 웨이퍼, 판(705) 및 흐름 전환기(315) 사이에 형성되는 의사 챔버 내 벤트 또는 갭에 인접하여 위치하는, 우측부 상의 흐름 포트와 같은 흐름 포트들 중 하나 이상이 차단된다. 이러한 방식으로, 충돌류가 판(705) 내 모든 관통 구멍을 통과하는 것이 허용되지만, 의사 챔버 내 갭 또는 벤트로부터 먼 좌측부에서의 압력은 더 높고, 따라서, 웨이퍼 표면 간의 횡방향 흐름(본 예에서 좌측으로부터 우측 방향으로)이 개선된다. 소정의 실시예에서, 차단된 흐름 포트는 흐름 전환기의 세그먼트화된 부분의 방위각과 적어도 동일한 방위각 주위로 위치한다. 특정 실시예에서, 흐름 정형판 아래의 전해질 챔버의 원주의 90도 방위각 섹션 상의 전해질 흐름 포트가 차단된다. 일 실시예에서, 이러한 90도 방위각 섹션은 흐름 전환기 고리의 열린 세그먼트에 등록된다.
다른 실시예에서, 전해질 유입 흐름 포트는 벤트 또는 갭으로부터 먼 흐름 전환기의 부분 아래의 영역에서 더 높은 압력을 선호하도록 구성된다(도 7B의 Y 참조). 일부 사례에서, 선택된 유입 포트를 단순히 물리적으로 차단하는 것이, 특별하게 구성된 전해질 유입 포트를 갖는 셀을 설계하는 것보다 좀더 편리하고 유연하다. 이는, 흐름 정형판 및 관련 흐름 전환기의 구조가 요망하는 다른 도금 결과와 함께 변화할 수 있고, 따라서, 단일 도금 셀 상의 전해질 유입 구조를 변경할 수 있는 점에서 더욱 유연하기 때문이다.
다른 실시예에서, 하나 이상의 전해질 유입 포트를 차단하면서, 또는 차단하지 않으면서, 댐, 배플, 또는 그외 다른 물리적 구조물이 벤트 또는 갭으로부터 먼 흐름 전환기의 부분 아래의 영역에서 더 높은 압력을 선호하도록 구성된다. 예를 들어, 도 7C와 관련하여, 배플(720)은 벤트 또는 갭으로부터 먼 흐름 전환기의 부분 아래의 영역에 높은 압력을 선호하도록 구성된다(도 7C의 Y 참조). 도 7D는 웨이퍼 홀더(101), 흐름 전환기(315), 또는 흐름 정형판(705)이 없는 도금 셀(155)의 평면도로서, 배플(720)이 포트(720)로부터 발원하는 전해질 흐름을 증진시켜서 영역 Y에서 합류시키고 따라서 해당 영역에서 압력을 증가시킨다. 당 업자라면, 전단 흐름 벡터가 실질적으로 균일한, 의사 챔버 내 웨이퍼 표면에 걸쳐 횡방향 흐름을 증진시키도록 고압 영역을 생성하고자, 전해질의 흐름을 채널링하기 위해, 수평, 수직, 경사, 또는 그외 다른 요소를 갖는 여러가지 방식으로 물리적 구조가 배향될 수 있다는 것을 이해할 수 있을 것이다.
일부 실시예는 흐름 정형판 및 흐름 전환기 조립체와 연계하여 횡방향 흐름을 개선하도록 구성되는 전해질 유입 흐름 포트를 포함하지 않는다. 도 7E는 웨이퍼 홀더(101)에 의해 홀딩되고, 위치 결정되며, 회전하는, 웨이퍼(145) 상에 구리를 도금하기 위한 도금 장치(725)의 구성요소들의 단면도를 도시한다. 장치(725)는 애노드액과, 구리 애노드(160)를 갖는 애노드 챔버를 갖춘 듀얼 챔버 셀인 도금 셀(155)을 포함한다. 애노드 챔버 및 캐소드 챔버는 지지 부재(735)에 의해 지지되는 양이온 막(74)에 의해 분리된다. 도금 장치(725)는 흐름 정형판(410)을 포함한다. 흐름 정형판(410) 위에 흐름 전환기(325)가 배치되어, 횡방향 전단 흐름의 생성을 돕는다. 흐름 포트(710)를 통해 (막(740) 위의) 캐소드 부재 내로 캐소드액이 유입된다. 흐름 포트(710)로부터, 캐소드액이 흐름판(410)을 통과하여, 웨이퍼(145)의 도금 표면에 충돌류를 생성한다. 캐소드액 흐름 포트(710)에 추가하여, 추가적인 흐름 포트(710a)가 흐름 전환기(325)의 벤트나 갭으로부터 먼 위치의 그 유출구에서 캐소드액을 유입시킨다. 본 예에서, 흐름 포트(710a)의 유출구는 흐름 정형판(410) 내 채널로 형성된다. 그 기능적 결과는, 웨이퍼 표면에 걸쳐 횡방향 흐름을 개선시키고 웨이퍼에 걸쳐 흐름 벡터를 정규화하기 위해, 흐름판과 웨이퍼 도금 표면 사이에 형성되는 의사 챔버 내로 캐소드 액이 직접 유입되는 것이다.
도 7F는 도 2C와 유사한 순서도를 도시하지만, 본 도면에서는 (도 7E로부터) 흐름 포트(710a)가 도시된다. 도 7F에 도시되는 바와 같이, 흐름 포트(710a)의 유출구는 흐름 전환기(325)의 내측 원주부의 90도 범위에 걸친다. 당 업자라면, 본 발명의 범위를 벗어나지 않으면서 포트(710a)의 위치 및 구조, 치수가 변할 수 있다는 것을 이해할 수 있을 것이다. 당 업자라면, 도 7E와 관련하여 설명되는 바와 같이 채널과 조합하여 및/또는 흐름 전환기(325)의 채널 또는 포트로부터 캐소드액 유출구를 갖는 동등한 구성이 존재할 수 있음을 이해할 수 있을 것이다. 다른 실시예는 흐름 전환기의 (하부) 측벽에 하나 이상의 포트를 포함한다. 즉, 흐름 정형판 상부 표면에 가장 가까운 측벽에 하나 이상의 포트를 포함하며, 상기 하나 이상의 포트는 벤트 또는 갭에 대향된 흐름 전환기의 일부분에 위치한다. 도 7G는 흐름 정형판(410)과 조합된 흐름 전환기(750)를 도시하며, 흐름 전환기(750)는 흐름 전환기의 갭에 대향되는 흐름 전환기로부터 전해질을 공급하는 캐소드액 흐름 포트(710b)를 갖는다. 흐름 포트(710a, 710b)는 웨이퍼 도금 표면 또는 흐름 정형판 상부 표면에 대해 소적 각도로 전해질을 공급할 수 있다. 하나 이상의 흐름 포트가 웨이퍼 표면에 충돌류 및/또는 횡방향 (전단) 흐름을 전달할 수 있다.
일 실시예에서, 도 7E 내지 도 7G와 관련하여 설명되는 예에서처럼, 여기서 설명되는 흐름 정형판은 도 3A 내지 도 3D와 관련하여 설명된 흐름 전환기와 연계하여 사용되며, 횡방향 흐름 개선을 위해 구성되는 흐름 포트가 흐름판/흐름 전환기 조립체와 함께 또한 사용된다. 일 실시예에서, 흐름 정형판은 불균일한 구멍 분포를 갖고, 일 실시예에서, 나선형 구멍 패턴을 갖는다.
흐름 정형판의 경사 구멍
횡방향 흐름을 증가시켜서 고속 도금 방식으로 더욱 균일한 도금을 달성하는 다른 방법은, 흐름 정형판에 경사-구멍 배향을 이용하는 것이다. 즉, 흐름 정형판은 구멍이 연장되는 상부 및 하부 평행 표면에 대해 경사진 구멍 치수를 갖는 비-연통형의 관통 구멍을 갖는다. 이는 조립체(800)를 나타내는 도 8A에 도시된다. 흐름 정형판(805)의 관통 구멍은 기울어져 있고, 따라서, 웨이퍼(145)의 표면 상에 충돌하는 전해질 흐름은 직각이 아닌 각도로 충돌하여, 회전 웨이퍼의 중심에서 전단력을 부여한다. 이러한 경사 배향을 갖는 흐름 정형판의 추가적인 세부사항은 2010년 7월 2일자 미국특허출원 제61/361,333호에 개시되어 있고, 그 내용은 본 발명에서 참고자료로 사용된다.
도 8B는 6천개 또는 9천개의 경사 관통 구멍을 갖는 흐름 정형판을 이용할 때 구리로 도금되는 300 ㎜ 웨이퍼 상의 반경방향 위치에 대한 도금 두께 변화를 나타내는 그래프로서, 90 rpm 웨이퍼 회전으로 유량 및 두께를 최적화시킨다. 데이터로부터 확인할 수 있는 바와 같이, 24 lpm에서 6천개의 구멍을 갖는 흐름판을 이용할 때, 도금은, 6 lpm의 판을 통한 유량으로 판이 9천개의 구멍을 가질 때만큼 균일하지 않다. 따라서, 구멍의 수, 유량, 등은 웨이퍼 표면 사이에서 균일한 도금을 얻는데 충분한 전단류를 획득하도록 경사 관통 구멍을 갖는 흐름 정형판을 이용할 때 최적화될 수 있다. 도 8C는 경사 관통 구멍을 갖는 흐름 정형판을 이용하여 구리로 도금될 때 20 ㎜ 웨이퍼 상의 반경 방향 위치 대비 증착률를 도시하는 그래프다. 6 lpm에서, 균일도는 12 lpm에서보다 크다. 이는 경사 관통 구멍을 갖는 흐름 정형판을 이용함으로써, 웨이퍼에 걸친 물질 전달이 웨이퍼 중심에서의 낮은 도금 속도를 보상하도록 조정될 수 있음을 나타낸다. 경사 관통 구멍 흐름 정형판은 넓은 범위의 경계층 조건에 대해 상당히 균일한 도금 조건을 나타낸다.
패들 전단 셀 실시예
도 9A는 다른 실시예로서, 회전 패들(900)이 회전 웨이퍼 바로 아래의 웨이퍼 표면에서의 전해질 흐름의 전단을 생성하고 대류를 증가시키는데 사용되어, 고속 도금 조건 하에서 물질 전달을 개선시킨다. 본 실시예에서, 패들 휠(900)은 인터위빙 패들을 갖는 스핀들 형태로 제공된다(도 9B 참조). 본 실시예에서, 패들 휠(900)은 베이스(905) 상에 장착되고, 베이스(905)는, 도금 중 패들 휠이 웨이퍼(145)의 도금 표면에 인접하게 위치하는, 도금 챔버 내로 통합된다. 이는 대류를 증가시키고, 일부 경우에는 웨이퍼 표면에서 실질적인 전단 및 난류를 증가시켜서, 고속 도금 방식으로 충분한 물질 전달을 달성한다. 베이스(905)는 전해질이 통과할 수 있는 다수의 구멍(910)을 갖는다. 베이스(905)의 우측 하부에는 패들 휠(900)을 갖는 스핀들을 구동하기 위한 구동 메커니즘이 위치한다. 패들 조립체는 베이스 상에 조립체로 장착되는 카운터 회전 임펠러를 포함한다. 패들 조립체를 갖는 베이스는 애노드 챔버로부터 캐소드 챔버를 나타내는데 사용되는 양이온 막과 웨이퍼 사이에 끼워맞춰지는 모듈형 유닛이다. 따라서, 패들 조립체는 캐소드액 내 웨이퍼 도금 표면에 인접하여 위치하고, 따라서, 웨이퍼 표면에서 전해질의 전단류를 생성한다.
흐름 정형판에 대한 기판의 궤도 또는 병진 모션
도 10은 웨이퍼 표면의 중심축에서 개선된 전단류를 나타내기 위해 궤도 모션이 사용되는 실시예를 도시한다. 본 예에서, 도금 챔버가 이용되며, 도금 챔버는 조립체(101)가 전해질 내에서 궤도 운동하고 있을 때 웨이퍼 홀더(101)를 수용하기에 충분한 직경을 갖는다. 즉, 도금 중 웨이퍼를 홀딩하는 조립체(101)는, Z축을 따라 시계 방향 및 반시계 방향으로 회전할 뿐 아니라 X축 및/또는 Y축을 따라 병진 운동까지 한다. 이러한 방식으로, 웨이퍼의 중심은 웨이퍼 표면의 나머지에 대해 난류 또는 흐름판에 걸친 더 작은 전단 영역을 나타내지 않는다. 일 실시예에서, 전기도금 장치의 전단력 인가 메커니즘은 기판 도금면의 회전축을 이동시키는 방향으로 기판 및/또는 흐름 정형 엘리먼트를 흐름 전단 요소에 대해 새 위치로 이동시키는 메커니즘을 포함한다.
당 업자라면, 수많은 방식으로 궤도 모션이 구현될 수 있다는 것을 이해할 수 있을 것이다. 화학적 기계적 폴리싱 장치는 우수한 유사성을 제공하고, CMP에 사용되는 많은 궤도 시스템들이 본 발명의 우수한 효과와 함께 이용될 수 있다.
흐름 정형판의 일부분인 축을 벗어난 회전 요소
일 실시예에서, 전단력을 인가하기 위한 전기도금 장치의 메커니즘은 흐름 정형 엘리먼트에 대해 기판의 회전 방향을 역전시키도록 구성되는, 흐름 정형 엘리먼트 및/또는 기판을 회전시키기 위한 메커니즘을 포함한다. 그러나, 소정의 실시예에서, 전기도금 장치의 전단력 인가 메커니즘은, 기판 도금 면의 회전축 사이에서 전해질의 흐름을 생성하기 위해 기판의 도금면과 흐름 정형판 사이에 위치한, 축을 벗어난, 전단 판을 회전시키기 위한 메커니즘을 포함한다. 도 11A의 실시예에서는 조립체(1100)가 흐름 정형판(1105)과, 흐름 정형판(1105)에 삽입되거나 부착되는 회전 디스크(1110)를 포함한다. 디스크(1110)는 중앙축 상에서 자유롭게 회전할 수 있고, 회전가능 디스크(1110)와 흐름 판(1105)의 몇 ㎜ 위에서 회전하는 웨이퍼(도시되지 않음)와 흐름 판 사이의 갭에 생성되는 유체를 회전시키고 이동시킴으로써 구동된다. 소정의 실시예에서, 회전가능 디스크는 회전가능 디스크의 평탄한 표면 위에서 갭의 유체의 전단에 단순히 연결함으로써 이동한다. 다른 실시예에서, 본 예에서 디스크(1110)의 오목부(1115)에 위치하는, 그리고, 회전 모션 유도를 돕는, 한 세트의 전해질 흐름 연결 핀이 존재한다. 따라서, 본 실시예에서, 디스크 자체와 판 위에서의 웨이퍼의 회전과는 다른, 디스크의 회전에 동력을 공급하기 위한 외부 메커니즘이, 요구되지 않는다. 본 실시예는 흐름 전환기의 실시예와 조합되어, 웨이퍼 중심 및 그외 다른 위치에서 더 큰 흐름 전단 조건을 생성하며, 웨이퍼 회전 만에 의해 야기되는 상향-하향 흐름-유도 도금 불균일성을 최소화시킬 수 있다.
도시되는 실시예에서, 디스크(1110)는 그 표면 영역의 적어도 일부분이 웨이퍼(145)의 중심 영역 아래에 놓이도록 구성된다. 디스크(1110)가 도금 중 회전하기 때문에, 웨이퍼의 중심 근처 영역에서 횡방향 흐름이 생성되며, 따라서, 고속 도금 방식으로 균일한 도금을 위해 물질 전달의 개선이 이루어진다. 회전가능 디스크(1110)가 없을 때, 흐름판(1105) 위의 웨이퍼를 회전시키는 모션에 의해, (웨이퍼 중심에서가 아닌) 웨이퍼 표면에서의 전단이 일반적으로 생성되지만, 디스크를 이용하는 실시예에서, 유체의 전단은 실질적으로 국부적으로 이동없는 웨이퍼에 대해 회전가능 디스크 또는 유사 요소의 상대적 모션에 의해 웨이퍼 중심에서 생성된다. 회전가능 디스크(1110)를 갖는 본 예에서, 흐름 판과 회전가능 디스크에서의 관통 구멍은 웨이퍼의 도금 표면에 수직이고(또는 실질적으로 수직이고), 동일한 크기 및 밀도를 가지나, 이에 제한되지 않는다. 회전 디스크의 영역에서, 소정의 실시예에서, 회전 디스크 내, 그리고 판 내 개별 흐름 구멍들의 합은 회전 디스크가 존재할 경우 영역 외부에서의 판 내 구멍의 합의 길이와 같다. 이러한 구조는 흐름 판/회전 디스크 부재의 두 영역 내 전류 흐름에 대한 이온성 저항이 실질적으로 동일하다는 것을 보장한다. 일반적으로 흐름판과 회전가능 디스크의 하부 표면 사이에 작은 수직 간격 또는 갭이 존재하여, 작은 바링(barring)의 존재를 수용하고 회전 디스크가 자유롭게 이동할 수 있게 하며, 흐름판 표면 상에서 마찰이 없도록 한다. 더욱이, 일부 실시예에서, 웨이퍼에 가장 가까운 이러한 두 요소의 상부 표면은 웨이퍼로부터 실질적으로 동일한 높이 또는 거리에 놓이도록 배열된다. 이러한 두 조건을 충족시키기 위해, 흐름 판의 하측 표면 아래에 돌출하는 흐름 정형판에 추가적인 물질 섹션이 존재할 수 있다.
다른 실시예에서, 도 4와 관련하여 설명한 것과 같은 경사진 관통 구멍들이 단독으로, 또는 수직 배향 관통 구멍과 조합하여, 이용될 수 있다.
일 실시예에서, 디스크(1110)는 도 9A 및 도 9B와 관련하여 설명되는 패들과 유사하게 기계적으로 구동된다. 디스크는 디스크 내에 또는 디스크 상에 설비된 자석에 시간에 따라 변하는 자기장 또는 전기장을 인가함으로써 구동될 수 있고, 또는, 회전 웨이퍼 홀더 및 회전 디스크에 포함된 내부 요소를 통해 자기적으로 연결될 수 있다. 후자의 경우에, 구체적인 예로서, 웨이퍼 홀딩 및 회전 클램쉘의 주변부의 한 세트의 동등하게 이격된 자석이 회전 디스크(1110)에 삽입된 한 세트의 대응하는 자석들에 대한 결합을 생성한다. 웨이퍼 홀더의 자석이 웨이퍼 및 셀의 중심 주위로 이동/회전함에 따라, 자석들은 디스크를 구동하여 동일방향으로 웨이퍼/홀더를 이동시킨다. 개별 자석들은 이들이 가장 강하게 결합하는 디스크의 개별 자석들로부터 멀리 이동하게 되지만, 웨이퍼 홀더 및 디스크 내 다른 자기 쌍은 웨이퍼 홀더/디스크 회전과 함께 회전함에 따라 서로 접근한다. 또한, 회전 디스크의 모션은 셀에 유입되는 유체 흐름에 이러한 모션을 결합함으로써 달성될 수 있고, 따라서, 별도의 모터, 또는 전기적 구성요소, 또는 부식성 전해질 내에서의 추가적으로 이동하는 부분에 대한 필요성을 제거한다. 도 11B는 조립체(1100)의 단면이다.
중앙 전단을 생성하는 다른 유사 장치 및 구동 메커니즘도 본 발명의 범위 내에서 고려할 수 있다. 일례로서, 회전 디스크보다는, 회전 임펠러 또는 이동식 프로펠러를 이용할 수 있으며, 앞서 언급한 바와 같이, 이동하는 웨이퍼의 유도 흐름에 의해, 흐름 판 구멍을 통한 유체의 흐름에 의해, 또는, 다른 결합 외부 수단에 의해, 하지만, 웨이퍼 및 셀의 회전축의 중심으로부터 벗어난 상태로, 회전하도록 배열되는 구성이 이용될 수 있다.
E. 중앙 도금 불균일성을 취급하기 위한 도금 방법
도 12는 여기서 설명되는 전기도금 방법에 따른 순서도(1200)를 도시한다. 웨이퍼 홀더 내에 웨이퍼가 배치된다(단계 1205). 웨이퍼 및 홀더는 도금 셀 전해질 내에 경사 침적되도록 부가적으로 기울어진다(단계 1210). 그후 웨이퍼가 전해질 내에 침적된다(단계 1215). 전기도금은 웨이퍼 도금 표면 상에서 충돌하는 전해질의 마이크로기류로, 유체역학적 전단 조건 하에서 개시된다(단계 1220). 그후 방법이 완료된다.
상술한 바와 같이, 일 실시예에서, 흐름 전환기가 사용되고 웨이퍼 및 홀더가 틸트되어, 웨이퍼 및 홀더의 선단 에지가 흐름 전환기의 갭(가령, 슬롯형 환형 구조를 가짐, 슬롯은 벤트 또는 갭의 일부분을 형성)에 등록된다. 이러한 방식으로, 웨이퍼 홀더는 침적 중 바람직한 최종 갭 거리에 가능한 가까워지며, 따라서, 흐름 전환기로부터 더 큰 거리로 침적될 필요가 없고, 여기서 설명되는 바람직한 갭 거리에서, 가깝게 위치한다.
도 13은 여기서 설명되는 방법 및 장치를 이용한 도금의 결과를 도시하며, 횡방향 전단류가 도금 중 효율적 물질 전달을 위해 사용된다. 2개의 곡선은 여기서 설명되는 바와 같이 전단류가 있는 경우와 없는 경우의 결과를 나타낸다. 웨이퍼 중심에서 전단류가 없을 경우, 싱귤래리티 또는 충분한 전단류의 결여로 인해 도 1과 관련하여 설명한 프로파일이 생성된다. 전단류가 있을 경우, 도 2A와 관련하여 설명한 바와 같이, 슬롯형 스페이서 타입의 흐름 전환기를 이용하여, 도금 증착 속도가 웨이퍼의 도금 표면에 걸쳐 실질적으로 균일하다.
일 실시예는 적어도 약 2 ㎛의 폭 및/또는 깊이를 갖는 피처를 포함하는 기판에서의 전기도금 방법으로서, 상기 방법은, (a) 기판에 금속을 전기도금하면서 전해질 및 애노드를 담도록 구성되는 도금 챔버에 기판을 제공하는 단계로서, 상기 도금 챔버는 (i) 전기도금 동안 기판의 도금 면이 애노드로부터 분리되도록 기판을 홀딩하는 기판 홀더와, (ii) 전기도금 동안 기판과 애노드 사이에 위치하도록 정형 및 구성되는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는, 전기도금 동안 상기 기판의 도금 면에 실질적으로 평행하고 기판의 도금 면으로부터 약 10 ㎜ 또는 그 미만의 간격만큼 분리된 평탄한 표면을 가지며, 상기 흐름 정형 엘리먼트가 복수의 구멍을 갖는, 상기 흐름 정형 엘리먼트를 포함하는, 단계와, (b) 상기 흐름 정형 엘리먼트의 구멍을 빠져나가는 적어도 약 10 ㎝/sec의 평균 유속을 생성하는 조건 하에서 기판 도금 면의 방향으로 전기도금 셀에서 전해질을 유동시키고, 기판 및/또는 흐름 정형 엘리먼트를 회전시키면서, 기판 도금 면에 금속을 전기도금하는 단계를 포함한다. 일 실시예에서, 전해질은 약 3 ㎝/sec, 또는, 이보다 큰 속도로 기판의 중심점에서 기판의 도금 면에 걸쳐 유동하고, 기판의 도금면에 흐르는 전해질에 전단력이 인가된다. 일 실시예에서, 적어도 약 5 ㎛/분의 속도로 피처에 금속이 전기도금된다. 일 실시예에서, 기판의 도금 표면에 전기도금되는 금속의 두께는, 적어도 1 ㎛의 두께로 도금될 때, 약 10% 또는 이보다 우수한 균일도를 갖는다. 일 실시예에서, 전단력을 인가하는 단계는, 기판 도금 면의 회전축을 이동시키는 방향으로 흐름 정형 엘리먼트 및/또는 기판을 흐름 정형 엘리먼트에 대해 새 위치로 이동시키는 단계를 포함한다. 일 실시예에서, 전단력을 인가하는 단계는, 기판 도금 면의 회전축에 걸쳐 전해질의 흐름을 생성하도록 기판의 도금 면과 흐름 정형 엘리먼트 사이에 위치하는 축을 벗어난 전단 판을 회전시키는 단계를 포함한다. 다른 실시예에서, 전단력을 인가하는 단계는, 흐름 정형 엘리먼트의 주변부 주위로 제공되는 링 구조의 갭을 향해 기판의 면 사이에서 횡방향으로 전해질을 유동시키는 단계를 포함한다. 일 실시예에서, 흐름 정형 엘리먼트에 대한 기판의 회전 방향은 도금 중 교번된다.
일 실시예에서, 흐름 정형 엘리먼트 내 구멍은 본체 내 연통 채널을 형성하지 않으며, 실질적으로 모든 구멍들이 약 5 ㎜보다 크지 않은, 기판의 표면에 면하는 요소의 표면 상에 개구부의 직경 또는 메인 치수를 갖는다. 일 실시예에서, 흐름 정형 엘리먼트는 약 6,000개 내지 약 12,000개의 구멍을 갖는 디스크다. 일 실시예에서, 흐름 정형 엘리먼트는 불균일한 밀도의 구멍을 가지며, 더 큰 밀도를 갖는 구멍들은 기판 도금 면의 회전축과 면하는 흐름 정형 엘리먼트의 영역에 존재한다.
여기서 설명되는 방법은 다마신 피처, TSV 피처, 및 웨이퍼 레벨 패키징(WLP) 피처를 전기도금하는데 사용될 수 있고, 예를 들어, 재분배층, 외부 와이어에 연결을 위한 범프, 언더-범프 금속화 피처를 전기도금하는데 사용될 수 있다. WLP 도금에 대한 추가적인 설명이 아래에 제시된다.
F. WLP 도금
여기서 설명되는 실시예들은 WLP 애플리케이션에 사용될 수 있다. 비교적 많은 양의 물질이 WLP 방식으로 증착될 경우, 도금 속도는 다마신 애플리케이션으로부터 WLP 및 TSV 애플리케이션을 차별화시키며, 따라서, 도금 표면에 대한 이온 도금의 효율적인 물질 전달이 중요하다. 더욱이, WLP 피처의 전기화학적 증착은 납, 주석, 은, 니켈, 금, 및 구리의 조합 또는 합금과 같은 다양한 금속들의 조합을 도금하는 과정을 포함할 수 있다. WLP 애플리케이션에 관련된 장치 및 방법이 2010년 12월 1일자 미국특허가출원 제61/418,781호에 개시되어 있고, 이는 본 발명에서 참고자료로 사용된다.
전기화학적 증착 과정은 집적 회로 제작 및 패키징 프로세스 중 다양한 지점에서 이용될 수 있다. IC 칩 레벨에서, 비아 내에 구리를 전기증착함으로써 다마신 피처들이 생성되어, 상호연결된 복수의 금속화층을 형성할 수 있다. 이러한 용도의 전계증착 프로세스는 현재의 집적 회로 제작 프로세스에서 폭넓게 전개되고 있다.
복수의 상호연결 금속화층 위에, 칩의 패키징이 시작된다. 다양한 WLP 기법 및 구조물이 이용되며, 그 중 일부가 여기서 설명된다. 일부 설계에서, 첫번째는 재부분층("RDL"이라고도 함)으로서, 본드 패드로부터 다양한 언더 범프 금속화 또는 솔더 범프 또는 볼 위치로 상측 레벨 콘택트부를 재분배하는 기능을 한다. 일부 경우에, RDL 라인은 표준 패키지 어레이를 핀 아웃하기 위한 규정 다이 접점을 일치시키는데 도움이 된다. 이러한 어레이는 하나 이상의 규정된 표준 포맷과 연계될 수 있다. RDL은 패키지 내 서로 다른 라인에 걸쳐 신호 전달 횟수의 균형을 맞추는데도 사용될 수 있고, 이러한 라인은 서로 다른 저항/커패시턴스/인덕턴스(RCL) 지연을 가질 수 있다. RDL은 상부 금속화층 위에 형성되는 부동태층 상에, 또는 다마신 금속화층 바로 위에 제공될 수 있다. 본 발명의 다양한 실시예들이 RDL 피처를 전기도금하는데 사용될 수 있다.
RDL 위에서, 패키지는 "언더 범프 금속화"(UBM) 구조 또는 피처를 이용할 수 있다. UBM은 솔더 범프를 위한 베이스를 형성하는 금속층 피처다. UBM은 접착층, 확산 장벽층, 산화 장벽층 중 하나 이상을 포함할 수 있다. 알루미늄은 우수한 글래스-금속 본드를 제공하기 때문에 접착층으로 자주 사용된다. 일부 경우에 RDL과 UMB 사이에 층간 확산 장벽이 제공되어, 구리 확산, 등을 차단한다. 본 발명의 원리에 따라 전기도금될 수 있는 한가지 층간 물질은 예를 들어 니켈이다.
패키지에 외부 와이어를 부착하거나 납땜하는데 범프가 사용된다. 범프는 와이어 본딩 기술에 이용되는 것보다 더 작은 칩 조립체들을 제조하기 위해 플립 칩 설계에 사용된다. 범프는 범프로부터 주석, 등이 확산되어 하부 패드의 구리에 도달하는 것을 방지하기 위해 하부 층간 물질을 요구할 수 있다. 층간 물질은 본 발명의 원리에 따라 도금될 수 있다.
추가적으로, 그리고 더욱 최근에는, 구리 필라(copper pillar)가 본 발명에 따라 전기도금되어, 플립 챕 구조물을 생성하고 또다른 칩이나 소자의 범프 및/또는 UBM 사이에서 콘택트부를 형성한다. 일부 경우에, 구리 필라를 이용하여 솔더 물질의 양을 감소시킬 수 있고(가령, 칩 내 총 납 솔더의 양을 감소시킬 수 있고) 솔더 범프를 이용할 때 달성될 수 있는 것보다 더 치밀한 피치 제어를 구현할 수 있다.
추가적으로, 우선적으로 구리 필라를 형성하면서, 또는 구리 필라를 형성하지 않으면서 범프들의 다양한 금속이 전기도금될 수 있다. 범프는 주석-은 합금과 같은 납없는 조성물로부터, 그리고, 공융점이 낮은 납-주석 조성물을 포함하는 고융점 납-주석 조성물로부터 형성될 수 있다. 언더 범프 금속화의 조성은 금 또는 티켈-금 합금, 니켈, 및 팔라듐의 필름을 포함할 수 있다.
따라서, 본 발명을 이용하여 도금될 수 있는 WLP 피처 또는 층들은 기하 구조 및 재료 측면에서 이종 그룹이다. WLP 피처를 형성하기 위해 본 발명에 따라 전기도금될 수 있는 물질의 일부 예가 아래에 나열된다.
1. 구리: 솔더 조인트 아래에 사용될 수 있는, 필라를 형성하기 위해 구리가 이용될 수 있다. 구리는 RDL 물질로 또한 사용된다.
2. 주석 솔더 물질: 납-주석 - 원소들의 이러한 조합의 다양한 조성은, IC 애플리케이션에서 시장 솔더링의 약 90%를 포함한다. 공융 물질이 일반적으로 약 60% 원자비의 납과 약 40% 원자비의 주석을 포함한다. 두 원소의 증착 전위 E0s가 거의 동일하기 때문에(약 10mV 차이) 상대적으로 도금이 용이하다. 주석-은 - 일반적으로 이 물질은 약 3% 원자비 미만의 은을 함유한다. 문제점은 주석과 은을 함께 도금하고 적절한 농도를 유지하는 것이다. 주석과 은은 서로 상당히 다른 E0s를 갖고(거의 1V 차이), 은이 주석에 비해 안정하고 도금 선호도가 높다. 따라서, 매우 낮은 농도의 은을 갖는 용액에서도, 은은 쉽게 도금되고 용액으로부터 쉽게 고갈될 수 있다. 이렇나 문제점은 100% 주석을 도금하는 것이 바람직함을 암시한다. 그러나, 원소 주석은 조밀 육방 격자(HCP)를 가지며, 이는 서로 다른 결정 방향으로 서로 다른 CTEs를 갖는 결정 격자를 형성한다. 이는 정상 사용 중 기계적 파괴를 야기할 수 있다. 주석은 "주석 단결정"(tin whiskers)을 형성한다고 또한 알려져 있고, 이 현상은 인접 피처들 간에 단락을 생성할 수 있다고 알려져 있다.
3. 니켈 - 상술한 바와 같이, 이 원소는 UBM 애플리케이션에 사용되며, 주로, 구리 확산 장벽으로 기능한다.
4. 금
일 실시예에서, 앞서 설명한 전기도금되는 피처는 웨이퍼 레벨 패키징 피처다. 일 실시예에서, 웨이퍼 레벨 패키징 피처는 재분배층, 외부 와이어에 연결을 위한 범프, 또는, 언더-범프 금속화 피처다. 일 실시예에서, 전기도금되는 금속은 구리, 주석, 주석-납 조성물, 주석-은 조성물, 니켈, 주석-구리 조성물, 주석-은-구리 조성물, 금, 및 이들의 합금 중에서 선택된다.
본 발명은 위에서 제시한 실시예만으로 제한되지 않으며, 청구범위에 기재된 사상 및 범위 내에서 변형될 수 있다.

Claims (30)

  1. 요홈형 피처들을 포함하는 기판 상의 전기도금 방법에 있어서,
    (a) 기판에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성되는 도금 챔버에 상기 기판을 제공하는 단계로서,
    상기 도금 챔버는,
    (i) 전기도금 동안 상기 기판의 도금 면이 상기 애노드로부터 이격되도록 상기 기판을 홀딩하는 기판 홀더; 및
    (ii) 전기도금 동안 상기 기판과 상기 애노드 사이에 위치하도록 구성 및 정형되는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는, 전기도금 동안 상기 기판의 상기 도금 면에 실질적으로 평행하고 상기 기판의 상기 도금 면으로부터 10 ㎜ 이하의 간격만큼 이격된 평탄한 표면을 가지며, 상기 흐름 정형 엘리먼트는 복수의 구멍들을 갖는, 상기 흐름 정형 엘리먼트를 포함하는, 상기 도금 챔버에 상기 기판을 제공하는 단계; 및
    (b) 상기 기판의 상기 도금 면의 중심점을 가로지르는 상기 전해질의 횡방향 유속이 적어도 3 ㎝/sec이도록 상기 전해질을 흘리는 동안 그리고 상기 기판을 회전시키는 동안 상기 기판 도금 면 상으로 금속을 전기도금하는 단계로서, 상기 전해질을 흘리는 것은 상기 기판의 상기 도금 면에 실질적으로 평행한 횡방향 전해질 흐름으로 상기 흐름 정형 엘리먼트의 상기 구멍들을 나가는 전해질 흐름을 방향전환하는 것을 포함하는, 상기 기판 도금 면 상으로 금속을 전기도금하는 단계를 포함하는, 전기도금 방법.
  2. 제 1 항에 있어서,
    상기 전기도금된 금속은 구리, 주석, 주석-납 조성물, 주석-은 조성물, 니켈, 주석-구리 조성물, 주석-은-구리 조성물, 금, 및 이들의 합금으로 구성되는 그룹으로부터 선택되는, 전기도금 방법.
  3. 제 1 항에 있어서,
    상기 흐름 정형 엘리먼트의 상기 구멍들을 나가는 상기 전해질의 평균 유속은 적어도 10 ㎝/sec인, 전기도금 방법.
  4. 제 1 항에 있어서,
    전기도금 동안 적어도 30 rpm의 속도로 상기 기판을 회전시키는 단계를 포함하는, 전기도금 방법.
  5. 제 1 항에 있어서,
    상기 흐름 정형 엘리먼트의 상기 구멍들은 비-연통 채널들인, 전기도금 방법.
  6. 제 1 항에 있어서,
    상기 전해질은 전기도금 동안 5 ㎝/sec 이상의 횡방향 유속으로 상기 기판의 중심점에서 상기 기판의 상기 도금 면을 가로질러 흐르는, 전기도금 방법.
  7. 제 1 항에 있어서,
    상기 흐름 정형 엘리먼트는 폴리에틸렌, 폴리프로필렌, 폴리비닐리덴 디플루오라이드 (PVDF), 폴리테트라플루오로에틸렌, 폴리설폰, 및 폴리카보네이트로 구성된 그룹으로부터 선택된 이온성 저항 물질을 포함하는, 전기도금 방법.
  8. 제 1 항에 있어서,
    상기 흐름 정형 엘리먼트는 6,000 내지 12,000 개의 홀들을 갖는 디스크인, 전기도금 방법.
  9. 제 1 항에 있어서,
    상기 흐름 정형 엘리먼트는 상기 기판 도금 면의 회전 축에 면하는 상기 흐름 정형 엘리먼트의 영역에 보다 큰 밀도의 구멍들이 존재하는 불균일한 밀도의 구멍들을 갖는, 전기도금 방법.
  10. 제 1 항에 있어서,
    상기 흐름 정형 엘리먼트는 5 ㎜ 내지 10 ㎜ 두께인, 전기도금 방법.
  11. 제 1 항에 있어서,
    전기도금 동안 상기 흐름 정형 엘리먼트에 대해 상기 기판의 회전 방향을 역전시키는 단계를 더 포함하는, 전기도금 방법.
  12. 제 1 항에 있어서,
    상기 기판 상의 상기 피처들은 웨이퍼 레벨 패키징 피처들인, 전기도금 방법.
  13. 제 1 항에 있어서,
    적어도 5 ㎛/분의 속도로 상기 피처들에 금속을 전기도금하는 단계를 포함하는, 전기도금 방법.
  14. 제 1 항에 있어서,
    상기 도금 챔버는 상기 전해질의 횡방향 흐름을 증가시키도록 구성된 적어도 하나의 전해질 흐름 포트를 포함하는, 전기도금 방법.
  15. (a) 실질적으로 평면형인 기판에 금속을 전기도금하는 동안 전해질과 애노드를 담도록 구성되는 도금 챔버;
    (b) 전기도금 동안 상기 기판의 도금 면이 상기 애노드로부터 분리되도록 상기 실질적으로 평면형인 기판을 홀딩하도록 구성되는 기판 홀더; 및
    (c) 전기도금 동안 상기 기판의 상기 도금 면에 실질적으로 평행하고 상기 기판의 상기 도금 면으로부터 분리된 기판 대면 표면을 포함하는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는 상기 흐름 정형 엘리먼트를 통해 구성되는 복수의 채널과 함께 이온성 저항 물질을 포함하며, 상기 채널은 전기도금 동안 상기 흐름 정형 엘리먼트를 통해 상기 전해질을 전달하게 되고 나선형 패턴의 중심이 상기 흐름 정형 엘리먼트의 중심으로부터 오프셋되도록 채널 개구부들이 상기 흐름 정형 엘리먼트의 상기 기판 대면 표면 상에 상기 나선형 패턴으로 배치되는, 상기 흐름 정형 엘리먼트를 포함하는, 전기도금 장치.
  16. 제 15 항에 있어서,
    상기 나선형 패턴의 상기 중심은 상기 흐름 정형 엘리먼트의 주변부 내에 있는, 전기도금 장치.
  17. 제 15 항에 있어서,
    상기 나선형 패턴의 상기 중심은 상기 흐름 정형 엘리먼트의 주변부를 너머 연장하는, 전기도금 장치.
  18. 제 15 항에 있어서,
    상기 채널들은 상기 흐름 정형 엘리먼트의 바디에 유체적으로 연결되지 않는, 전기도금 장치.
  19. 제 15 항에 있어서,
    상기 흐름 정형 엘리먼트의 상기 기판 대면 표면의 면적의 2 내지 5 %가 상기 채널의 개구부들에 의해 점유되는, 전기도금 장치.
  20. 제 15 항에 있어서,
    상기 나선형 패턴은 부분적으로 동심인 루프들을 포함하는, 전기도금 장치.
  21. 제 15 항에 있어서,
    상기 복수의 채널들은 서로 실질적으로 평행한, 전기도금 장치.
  22. 제 15 항에 있어서,
    상기 복수의 채널들 중 적어도 일부는 서로 평행하지 않은, 전기도금 장치.
  23. 제 15 항에 있어서,
    상기 흐름 정형 엘리먼트는 폴리에틸렌, 폴리프로필렌, 폴리비닐리덴 디플루오라이드 (PVDF), 폴리테트라플루오로에틸렌, 폴리설폰, 및 폴리카보네이트로 구성된 그룹으로부터 선택된 이온성 저항 물질로 이루어진 디스크인, 전기도금 장치.
  24. 제 15 항에 있어서,
    상기 흐름 정형 엘리먼트는 5 ㎜ 내지 10 ㎜ 두께인, 전기도금 장치.
  25. 제 15 항에 있어서,
    상기 흐름 정형 엘리먼트의 상기 기판 대면 표면은 전기도금 동안 10 ㎜ 이하의 거리만큼 상기 기판의 상기 도금 면으로부터 이격되는, 전기도금 장치.
  26. 제 15 항에 있어서,
    상기 복수의 채널들은 상기 흐름 정형 엘리먼트의 상기 기판 대면 표면에 대해 90 °의 각도로 배향되는, 전기도금 장치.
  27. 제 15 항에 있어서,
    상기 흐름 정형 엘리먼트는 6,000 내지 12,000 개의 채널들을 갖는 디스크인, 전기도금 장치.
  28. 제 15 항에 있어서,
    상기 장치는 전기도금 동안 상기 흐름 정형 엘리먼트의 상기 채널들을 나가는 적어도 10 ㎝/s의 평균 유속을 생성하는 조건 하에서 상기 기판 도금 면의 상기 방향으로 전해질을 흘리도록 구성되는, 전기도금 장치.
  29. 제 15 항에 있어서,
    상기 흐름 정형 엘리먼트의 상기 기판 대면 표면 상의 흐름 전환기를 더 포함하고, 상기 흐름 전환기는 상기 흐름 정형 엘리먼트의 원주를 부분적으로 따르는 벽체 구조를 포함하고, 하나 이상의 갭들을 갖고, 그리고 전기도금 동안 상기 흐름 정형 엘리먼트와 상기 실질적으로 평면형인 기판 사이에 의사 챔버를 구획하는, 전기도금 장치.
  30. 기판 상의 전기도금 방법에 있어서,
    (a) 기판에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성되는 도금 챔버에 상기 기판을 제공하는 단계로서,
    상기 도금 챔버는,
    (i) 전기도금 동안 상기 기판의 도금 면이 상기 애노드로부터 이격되도록 상기 기판을 홀딩하는 기판 홀더; 및
    (ii) 전기도금 동안 상기 기판의 상기 도금 면에 실질적으로 평행하고 상기 기판의 상기 도금 면으로부터 이격된 기판 대면 표면을 갖는 흐름 정형 엘리먼트로서, 상기 흐름 정형 엘리먼트는 상기 흐름 정형 엘리먼트를 통해 구성되는 복수의 채널과 함께 이온성 저항 물질을 포함하며, 상기 채널은 전기도금 동안 상기 흐름 정형 엘리먼트를 통해 상기 전해질을 전달하게 되고 나선형 패턴의 중심이 상기 흐름 정형 엘리먼트의 중심으로부터 오프셋되도록 채널 개구부들이 상기 흐름 정형 엘리먼트의 상기 기판 대면 표면 상에 상기 나선형 패턴으로 배치되는, 상기 흐름 정형 엘리먼트를 포함하는, 상기 도금 챔버에 기판을 제공하는 단계; 및
    (b) 상기 기판을 회전시키는 동안 그리고 상기 흐름 정형 엘리먼트의 상기 채널들을 통해 상기 기판 도금 면의 방향으로 상기 전기도금 셀 내에서 상기 전해질을 흘리는 동안 상기 기판 도금 면 상으로 금속을 전기도금하는 단계를 포함하는, 전기도금 방법.
KR1020170168351A 2010-07-02 2017-12-08 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어 KR101931035B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US36133310P 2010-07-02 2010-07-02
US61/361,333 2010-07-02
US37491110P 2010-08-18 2010-08-18
US61/374,911 2010-08-18
US40560810P 2010-10-21 2010-10-21
US61/405,608 2010-10-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020110066023A Division KR101809751B1 (ko) 2010-07-02 2011-07-04 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어

Publications (2)

Publication Number Publication Date
KR20170139477A KR20170139477A (ko) 2017-12-19
KR101931035B1 true KR101931035B1 (ko) 2018-12-19

Family

ID=45398858

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020110066023A KR101809751B1 (ko) 2010-07-02 2011-07-04 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어
KR1020170060181A KR101860670B1 (ko) 2010-07-02 2017-05-15 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어
KR1020170168351A KR101931035B1 (ko) 2010-07-02 2017-12-08 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020110066023A KR101809751B1 (ko) 2010-07-02 2011-07-04 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어
KR1020170060181A KR101860670B1 (ko) 2010-07-02 2017-05-15 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어

Country Status (4)

Country Link
US (4) US8795480B2 (ko)
KR (3) KR101809751B1 (ko)
CN (2) CN102330140B (ko)
TW (2) TWI572749B (ko)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US20110226613A1 (en) 2010-03-19 2011-09-22 Robert Rash Electrolyte loop with pressure regulation for separated anode chamber of electroplating system
US10094034B2 (en) * 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9404194B2 (en) 2010-12-01 2016-08-02 Novellus Systems, Inc. Electroplating apparatus and process for wafer level packaging
SG10202004261TA (en) * 2012-05-14 2020-06-29 Novellus Systems Inc Cross flow manifold for electroplating apparatus
US9534308B2 (en) 2012-06-05 2017-01-03 Novellus Systems, Inc. Protecting anodes from passivation in alloy plating systems
CN103590079A (zh) * 2012-08-14 2014-02-19 亚洲电镀器材有限公司 一种电镀方法
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
AT514042B1 (de) * 2012-12-12 2015-12-15 Lam Res Ag Steigerung der Hydrodynamik eines Elektrolyten für den effizienten Massentransfer während einer elektrolytischen Abscheidung
KR102214898B1 (ko) * 2012-12-12 2021-02-10 노벨러스 시스템즈, 인코포레이티드 전기도금 동안 효율적인 대량 전달을 위한 전해질 유체역학의 향상
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) * 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9951437B2 (en) * 2013-08-20 2018-04-24 Taiwan Semiconductor Manufacturing Company Limited Insulator plate for metal plating control
US10450667B2 (en) 2014-10-27 2019-10-22 International Business Machines Corporation System for treating solution for use in electroplating application and method for treating solution for use in electroplating application
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9481942B2 (en) * 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
EP3064615B1 (de) * 2015-03-03 2021-05-19 MTV NT GmbH Verfahren zur elektrolytischen Beschichtung komplexer Bauteile
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9816194B2 (en) * 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
USD793972S1 (en) * 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
USD778247S1 (en) 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
JP2017216443A (ja) * 2016-05-20 2017-12-07 ラム リサーチ コーポレーションLam Research Corporation 再配線層における均一性を実現するためのシステム及び方法
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
CN109964331B (zh) * 2016-12-02 2021-09-03 应用材料公司 薄膜封装处理系统和工艺配件
US10692735B2 (en) * 2017-07-28 2020-06-23 Lam Research Corporation Electro-oxidative metal removal in through mask interconnect fabrication
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
JP6999195B2 (ja) 2017-08-30 2022-01-18 エーシーエム リサーチ (シャンハイ) インコーポレーテッド めっき装置
US10781527B2 (en) * 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US10094035B1 (en) * 2017-10-16 2018-10-09 Lam Research Corporation Convection optimization for mixed feature electroplating
KR20230125095A (ko) 2017-11-01 2023-08-28 램 리써치 코포레이션 전기화학적 도금 장치 상에서 도금 전해질 농도 제어
DE102017128439B3 (de) * 2017-11-30 2019-05-02 AP&S International GmbH Vorrichtung zur stromlosen Metallisierung einer Zieloberfläche wenigstens eines Werkstücks
WO2019164920A1 (en) * 2018-02-23 2019-08-29 Lam Research Corporation Electroplating system with inert and active anodes
WO2020072649A1 (en) * 2018-10-03 2020-04-09 Lam Research Corporation Apparatus for an inert anode plating cell
CN113260739A (zh) * 2018-10-31 2021-08-13 朗姆研究公司 纳米孪晶铜结构的电沉积
TWI810250B (zh) * 2019-02-27 2023-08-01 大陸商盛美半導體設備(上海)股份有限公司 電鍍裝置
CN110544636B (zh) * 2019-08-13 2020-12-18 广东芯华微电子技术有限公司 一种提高foplp芯片线路良率的封装方法
US11268208B2 (en) * 2020-05-08 2022-03-08 Applied Materials, Inc. Electroplating system
PL3910095T3 (pl) * 2020-05-11 2022-05-23 Semsysco Gmbh Układ rozprowadzania płynu procesowego do chemicznej i/lub elektrolitycznej obróbki powierzchni obracalnego podłoża
JP7356401B2 (ja) * 2020-05-12 2023-10-04 株式会社荏原製作所 プレート、めっき装置、及びプレートの製造方法
US11401624B2 (en) * 2020-07-22 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Plating apparatus and method for electroplating wafer
KR102401521B1 (ko) * 2021-02-25 2022-05-24 가부시키가이샤 에바라 세이사꾸쇼 도금 장치 및 도금 장치의 기포 제거 방법
US11587148B2 (en) 2021-03-08 2023-02-21 Capital One Services, Llc Item level data determination device, method, and non-transitory computer-readable media
TWI784691B (zh) * 2021-08-27 2022-11-21 台灣先進系統股份有限公司 水平式電鍍系統
CN115142104B (zh) * 2022-07-28 2024-04-26 福州一策仪器有限公司 电镀装置、多通道电镀装置组和电镀反应系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003018879A1 (fr) 2001-08-22 2003-03-06 Optical Forming Corporation Appareil et procede d'electroformage

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298U (ja) 1983-04-15 1984-10-30 篠塚 調一郎 人工枝、支柱等の連結具
US4633893A (en) 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4856544A (en) 1984-05-21 1989-08-15 Cfm Technologies, Inc. Vessel and system for treating wafers with fluids
US4738272A (en) 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
DE3585797D1 (de) 1985-06-24 1992-05-07 Cfm Technologies Inc Behandlung von halbleiterscheiben mit einer fluessigkeitsstroemung.
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
AU7403694A (en) 1994-07-19 1996-02-16 American Plating Systems, Inc. Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US20020066464A1 (en) 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
KR20010024368A (ko) 1997-09-30 2001-03-26 세미툴 인코포레이티드 접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조전극을 구비하는 전기도금 시스템
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
AU2233399A (en) 1998-02-12 1999-08-30 Acm Research, Inc. Plating apparatus and method
US6261433B1 (en) 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
US6454918B1 (en) * 1999-03-23 2002-09-24 Electroplating Engineers Of Japan Limited Cup type plating apparatus
JP3331332B2 (ja) 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 カップ式めっき装置
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
JP4288010B2 (ja) 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6254742B1 (en) * 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
TW499329B (en) 1999-09-17 2002-08-21 Product System Inc Chemically inert megasonic transducer system
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6562204B1 (en) 2000-02-29 2003-05-13 Novellus Systems, Inc. Apparatus for potential controlled electroplating of fine patterns on semiconductor wafers
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US7456113B2 (en) 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US20020062839A1 (en) 2000-06-26 2002-05-30 Steven Verhaverbeke Method and apparatus for frontside and backside wet processing of a wafer
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6802946B2 (en) * 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6610189B2 (en) * 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
JP2002289568A (ja) 2001-03-23 2002-10-04 Dainippon Screen Mfg Co Ltd 基板洗浄装置およびそれに用いる超音波振動エレメント
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6605525B2 (en) * 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6800187B1 (en) * 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US7608356B2 (en) 2001-07-13 2009-10-27 William M. Risen, Jr. Ion conducting polymer membranes
KR100394808B1 (ko) * 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6881318B2 (en) * 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
TWI224531B (en) 2001-09-11 2004-12-01 Ebara Corp Substrate processing apparatus and method
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US8002962B2 (en) * 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10229001B4 (de) * 2002-06-28 2007-02-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
US20040118694A1 (en) * 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
JP2004068158A (ja) 2002-08-08 2004-03-04 Texas Instruments Inc Ecd反応器内の電流密度改善および機構充填制御方法並びに装置
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
US7374646B2 (en) * 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US8261757B2 (en) 2003-06-24 2012-09-11 Lam Research Ag Device and method for wet treating disc-like substrates
JP2005133160A (ja) * 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4681221B2 (ja) 2003-12-02 2011-05-11 ミライアル株式会社 薄板支持容器
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
JP4583811B2 (ja) * 2004-05-31 2010-11-17 吉田 英夫 めっき処理方法
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7935240B2 (en) 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US7255970B2 (en) * 2005-07-12 2007-08-14 Az Electronic Materials Usa Corp. Photoresist composition for imaging thick films
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
JP2009536450A (ja) 2006-05-05 2009-10-08 ラム・リサーチ・アクチエンゲゼルシヤフト プレート状基材を湿式処理するための装置と方法
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN101220500A (zh) 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 晶圆凸点制造挂具
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003018879A1 (fr) 2001-08-22 2003-03-06 Optical Forming Corporation Appareil et procede d'electroformage

Also Published As

Publication number Publication date
CN106637363B (zh) 2019-01-15
US20120000786A1 (en) 2012-01-05
TWI572749B (zh) 2017-03-01
TW201204877A (en) 2012-02-01
US9394620B2 (en) 2016-07-19
KR20170057217A (ko) 2017-05-24
CN106637363A (zh) 2017-05-10
US9464361B2 (en) 2016-10-11
US20140299477A1 (en) 2014-10-09
US8795480B2 (en) 2014-08-05
TW201612367A (en) 2016-04-01
CN102330140A (zh) 2012-01-25
TWI504786B (zh) 2015-10-21
KR20170139477A (ko) 2017-12-19
US20160376722A1 (en) 2016-12-29
KR101809751B1 (ko) 2017-12-15
CN102330140B (zh) 2016-12-07
KR101860670B1 (ko) 2018-05-23
KR20120003405A (ko) 2012-01-10
US20140299478A1 (en) 2014-10-09

Similar Documents

Publication Publication Date Title
KR101931035B1 (ko) 전기도금 중 효율적인 물질 전달을 위한 유체역학적 전해질 제어
US10662545B2 (en) Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10190230B2 (en) Cross flow manifold for electroplating apparatus
TWI640661B (zh) 用於電鍍期間之有效率質量傳送的電解液流體動力學之增強
CN111094636B (zh) 用于在电镀期间控制横流和冲击电解液的输送的方法和装置
US20080041727A1 (en) Method and system for depositing alloy composition
KR102563118B1 (ko) 혼합된 피처 전기도금을 위한 대류 최적화
TW202038409A (zh) 低溫銅-銅直接接合
US20230175162A1 (en) Cross flow conduit for foaming prevention in high convection plating cells
US11585008B2 (en) Plating apparatus for plating semiconductor wafer and plating method
US20240141541A1 (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant