CN109964331B - 薄膜封装处理系统和工艺配件 - Google Patents

薄膜封装处理系统和工艺配件 Download PDF

Info

Publication number
CN109964331B
CN109964331B CN201780071381.4A CN201780071381A CN109964331B CN 109964331 B CN109964331 B CN 109964331B CN 201780071381 A CN201780071381 A CN 201780071381A CN 109964331 B CN109964331 B CN 109964331B
Authority
CN
China
Prior art keywords
height
chamber
exhaust manifold
process kit
flow path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780071381.4A
Other languages
English (en)
Other versions
CN109964331A (zh
Inventor
栗田真一
斯里坎特·V·雷切尔拉
苏哈斯·博斯基
芮祥新
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202110975901.2A priority Critical patent/CN113793911B/zh
Publication of CN109964331A publication Critical patent/CN109964331A/zh
Application granted granted Critical
Publication of CN109964331B publication Critical patent/CN109964331B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45585Compression of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

本公开内容涉及用于薄膜封装(TFE)的方法和设备。在一个实施方式中,公开了一种用于原子层沉积(ALD)腔室的工艺配件,所述工艺配件包括介电窗口、密封框架和与所述密封框架连接的掩模框架,其中所述掩模框架具有在掩模框架的相对侧上形成在掩模框架中的进气通道和出气通道。

Description

薄膜封装处理系统和工艺配件
背景
技术领域
本公开内容的实施方式总体涉及一种用于处理大面积基板的设备。更具体地,本公开内容的实施方式涉及一种用于器件制造的原子层沉积(ALD)系统和用于ALD系统的喷头的原位清洁方法。
背景技术
有机发光二极管(OLED)用来制造用于显示信息的电视屏幕、计算机监视器、移动电话、其它手持装置等。典型的OLED可以包括位于两个电极之间的有机材料层,所述两个电极都以形成具有可单独通电的像素的矩阵显示面板的方式沉积在基板上。OLED一般放在两个玻璃面板之间,并且玻璃面板的边缘被密封以将OLED封装在玻璃面板中。
OLED工业、以及利用基板处理技术的其它工业必须封装湿敏器件以保护湿敏器件免于环境湿气暴露。已经提出用薄保形材料层作为减小通过封装层的水蒸气透过率(WVTR)的手段。目前,商业上可以实现许多方式。正在考虑使用ALD工艺来覆盖湿敏器件以确定这些涂层的保形性质是否能提供比其它涂层更有效的防湿气层。
ALD基于原子层外延(ALE)并且采用化学吸附技术来在连续循环中在基板表面上输送前驱物分子。所述循环将基板表面暴露于第一前驱物并且然后暴露于第二前驱物。可选地,可以在前驱物的引入操作之间引入净化气体。第一前驱物和第二前驱物反应以在基板表面上形成作为膜的产物化合物。重复所述循环以将层形成到期望厚度。
一种执行ALD的方法是通过前驱物气体的时间分离(TS)脉冲。这种方法具有优于其它方法的若干优点,但是TS-ALD的一个缺点是将通过沉积而涂覆暴露于前驱物的每个表面(例如,腔室的内部)。如果不定期地去除这些沉积物,它们将倾向于剥离并最终会剥落,从而导致颗粒最终在基板上,这降低了沉积层的防湿气性能。如果没有有效的方法从腔室表面原位清洁不期望的沉积物,那么必须移置那些腔室表面以进行“离线”清洁。如果必须打开腔室来完成腔室表面的移置和更换以进行清洁,那么必须破坏腔室中的真空(例如,使腔室达到大气压力),并且这种真空的破坏将导致腔室停机时间过长。
因此,需要一种允许以最少停机时间去除和清洁腔室的将积聚外来的沉积物的主要关键元件的处理腔室。
发明内容
本公开内容涉及用于在器件(诸如OLED)上的薄膜封装(TFE)的方法和设备。
在一个实施方式中,公开了一种用于在ALD腔室中使用的工艺配件,所述工艺配件包括介电窗口、密封框架和与所述密封框架连接的掩模框架,其中所述掩模框架具有在掩模框架的相对侧上形成在掩模框架中的进气通道和出气通道。
在另一个实施方式中,公开了一种用于在ALD腔室中使用的工艺配件,所述工艺配件包括:介电窗口;密封框架;掩模框架,所述掩模框架与所述密封框架连接,其中所述掩模框架具有在掩模框架的相对侧上形成在掩模框架中的进气通道和出气通道;和掩模片,所述掩模片耦接到所述掩模框架。
在另一个实施方式中,提供了一种用于执行ALD的处理系统。所述处理系统一般包括腔室主体、基座主体、围绕所述基座主体设置的多个支撑构件、至少一个工艺气体入口、至少一个泵送口和工艺配件。所述工艺配件通常包括介电窗口、密封框架和与所述密封框架连接的掩模框架,其中所述掩模框架具有在掩模框架的相对侧上形成在掩模框架中的进气通道和出气通道。
在另一个实施方式中,提供了一种用于执行ALD的方法。所述方法一般包括将基板和工艺配件定位在ALD处理腔室内,其中所述工艺配件包括窗口、平行于所述窗口设置的掩模和与所述窗口和所述掩模连接的框架。所述框架具有至少一个入口通道和至少一个出口通道。所述方法进一步包括使工艺气体流过所述入口通道以进入容积和通过所述出口通道将多余气体从所述工艺配件泵出。
附图简述
为了能够详细地理解本公开内容的上述特征所用方式,可以参考实施方式进行上文简要概述的本公开内容的更具体的描述,其中一些实施方式图示于附图中。然而,将注意,附图仅图示本公开内容的典型实施方式,并且因此不应视为对本公开内容的范围的限制,因为本公开内容可以允许其它等效实施方式。
图1图示根据本公开内容的某些方面的示例性处理系统。
图2是示出说明性ALD处理腔室的局部横截面侧视图。
图3A-图3C是ALD处理腔室的另一个实施方式的各种视图。
图4A是工艺配件的另一个实施方式的等距分解图。
图4B是沿着图4A的线4B-4B的工艺配件的横截面图。
图4C和图4D是图4B中所示的工艺配件的相对端的局部放大图。
图5A和图5B分别是入口歧管和出口歧管的部分的等距横截面图。
图6A示出具有在处理位置的工艺配件和基板的处理腔室。
图6B示出在处理腔室中的在传送位置的基板。
图6C示出基板传送出处理腔室而工艺配件在传送位置。
图7是示出根据本公开内容的工艺序列的实施方式的图表。
图8是掩模对准系统的一个实施方式的等距图。
图9A是根据一个实施方式的工艺配件的等距分解图。
图9B是根据一个实施方式的工艺配件的平面图。
图10A是根据一个实施方式的工艺配件的部件的等距图。
图10B是图10A的工艺配件的部件的横截面。
图11A是根据一个实施方式的工艺配件的部件的等距图。
图11B是图11A的工艺配件的部件的横截面。
为了促进理解,已经尽可能使用相同的参考数字标示各图共有的相同要素。设想在一个实施方式中公开的要素可以有益地用于其它实施方式,而无需赘述。
具体实施方式
本公开内容的实施方式包括处理系统,所述处理系统可操作以在基板上沉积多个层,所述多个层能够用作封装层,所述封装层在形成于基板上的OLED层上。所述系统包括多个处理腔室,每个处理腔室可操作以沉积多个层中的一个或多个。处理系统还包括至少一个传送腔室和至少一个装载锁定腔室。至少一个传送腔室使得能够在多个处理腔室之间传送基板而不破坏处理系统中的真空。至少一个装载锁定腔室使得能够在不破坏处理系统中的真空的情况下从处理系统装载和去除基板。处理系统还包括掩模腔室,所述掩模腔室使得能够装载和去除在处理腔室中使用的掩模,而不破坏处理系统中的真空。
本公开内容的实施方式包括化学气相沉积(CVD)处理腔室,CVD处理腔室可操作以使掩模相对于基板对准,将掩模定位在基板上,和执行CVD以在形成于基板上的OLED上沉积封装层。在CVD处理腔室中执行的CVD工艺可以是等离子体增强CVD(PECVD),但是本文所述的实施方式可以与其它类型的处理腔室一起使用,而不限于与PECVD处理腔室一起使用。由CVD处理腔室沉积的封装层可以包括氮化硅SiN,但是本文所述的实施方式可以与其它类型的处理腔室一起使用,而不限于与SiN CVD处理腔室一起使用。
本公开内容的实施方式包括ALD处理腔室,ALD处理腔室可操作以使掩模相对于基板对准,将掩模定位在基板上,和执行ALD以在形成于基板上的OLED上沉积封装层。在ALD处理腔室中执行的ALD工艺可以是时间分离ALD(TS-ALD),但是本文所述的实施方式可以与其它类型的处理腔室一起使用,而不限于与TS-ALD处理腔室一起使用。由ALD处理腔室沉积的封装层可以包括氧化铝Al2O3,但是本文所述的实施方式可以与其它类型的处理腔室一起使用,而不限于与Al2O3CVD处理腔室一起使用。
本文所述的实施方式可以与其它类型的沉积工艺一起使用,而不限于用于封装形成在基板上的OLED。本文所述的实施方式可以与各种类型、形状和大小的掩模和基板一起使用。
基板不限于任何特定的大小或形状。一方面,术语“基板”表示任何多边形、方形、矩形、弯曲或其它非圆形工件,举例而言诸如用于制造平板显示器的玻璃或聚合物基板。
在下面的描述中,除非另有说明,否则术语“一种气体”和“多种气体”可互换地使用,并且表示一种或多种前驱物、反应物、催化剂、载气、净化气体、清洁气体、流出物、以上项的组合,以及任何其它流体。
图1是示出根据本公开内容的一个实施方式的说明性处理系统100的横截面俯视图。示例性基板102示出为与处理系统100相邻。处理系统100包括装载锁定腔室104、传送腔室106、传送腔室106内的传送(例如,工具和材料转运(handling))机器人108、第一CVD处理腔室110、第二CVD处理腔室112、第三CVD处理腔室114、ALD处理腔室116和掩模腔室118。第一CVD处理腔室110、第二CVD处理腔室112、ALD处理腔室116和每个腔室的相关联的硬件优选地由一种或多种工艺相容材料形成,举例而言诸如铝、阳极氧化铝、镀镍铝、碳钢、不锈钢、石英和以上项的组合和合金。第一CVD处理腔室110、第二CVD处理腔室112、第三CVD处理腔室114和ALD处理腔室116可以是圆形、矩形或其它形状的,如要涂覆的基板的形状和其它处理要求所需的。
传送腔室106包括在与装载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、第三CVD处理腔室114、ALD处理腔室116和掩模腔室118相邻的侧壁中的狭缝阀开口121、123、125、127、129。传送机器人108定位和配置成能够将一个或多个工具(例如,基板转运叶片)插入通过狭缝阀开口121、123、125、127、129的每一个并进入相邻腔室。也就是说,传送机器人可以经由在传送腔室106的与其它腔室中的每一个腔室相邻的壁中的狭缝阀开口121、123、125、127、129将工具插入装载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、第三CVD处理腔室114、ALD处理腔室116和掩模腔室118中。用狭缝阀120、122、124、126、128选择性打开和关闭狭缝阀开口121、123、125、127、129,以在将基板、掩模、工具或其它物品要插入相邻腔室中的一个腔室或从相邻腔室中的一个腔室去除时允许进出相邻腔室的内部。
传送腔室106、装载锁定腔室104、第一CVD处理腔室110、第二CVD处理腔室112、ALD处理腔室116和掩模腔室118包括与真空系统(例如,真空泵)流体连通的一个或多个孔(未示出)。孔为各种腔室内的气体提供出口。在一些实施方式中,腔室各自连接到分开且独立的真空系统。在其它实施方式中,一些腔室共用真空系统,而其它腔室具有分开且独立的真空系统。真空系统可以包括真空泵(未示出)和节流阀(未示出),以调节通过各个腔室的气流。
除了基板之外,放置在第一CVD腔室110、第二CVD腔室112和ALD处理腔室116内的掩模、掩模片和其它物品可以称为“工艺配件”。工艺配件物品可以从处理腔室移置以进行清洁或更换。传送腔室106、掩模腔室118、第一CVD处理腔室110、第二CVD处理腔室112和ALD处理腔室116的大小和形状设为允许在它们之间传送掩模、掩模片和其它工艺配件物品。也就是说,传送腔室106、掩模腔室118、第一CVD处理腔室110、第二CVD处理腔室112和ALD处理腔室116的大小和形状设为使得任何工艺配件物品都可以完全地包含在它们中的任一个内,其中所有狭缝阀开口121、123、125、127、129由每个狭缝阀开口121、123、125、127、129对应的狭缝阀120、122、124、126、128关闭。因此,可以移置和更换工艺配件物品而不破坏处理系统的真空,因为掩模腔室118用作气闸,从而允许将工艺配件物品从处理系统移置而不破坏除掩模腔室之外的任何腔室中的真空。此外,传送腔室106与掩模腔室118之间的狭缝阀开口129,传送腔室106与CVD处理腔室110、112之间的狭缝阀开口123、125,和传送腔室106与ALD处理腔室116之间的狭缝阀开口127的大小和形状全都设为允许工艺配件物品在传送腔室106与掩模腔室118、CVD处理腔室110、112和ALD处理腔室116之间传送。
掩模腔室118在掩模腔室118的与传送腔室106的狭缝阀开口129相对的一侧具有门130和门口(doorway)131。门口的大小和形状设为允许将掩模和其它处理工具传送进出掩模腔室118。门130能够在关闭时在门口131范围内形成气密密封。掩模腔室118的大小和形状设为允许任何工艺配件物品完全地包含在掩模腔室118内,其中门130关闭并且通向传送腔室106的狭缝阀128关闭。也就是说,掩模腔室118的大小和形状设为使得任何工艺配件物品都可以从传送腔室106移动到掩模腔室118中,并且可以在不使掩模腔室118的门130打开的情况下关闭狭缝阀128。
为了简化和易于描述,现将描述在处理系统100内执行的示例性涂覆工艺。示例性涂覆工艺由工艺控制器控制,工艺控制器可以是可位于第三CVD处理腔室114处的计算机或计算机系统。
参考图1,基板的示例性处理可选地开始于传送机器人108从掩模腔室118取出掩模并将掩模放置在ALD处理腔室116中。将掩模放置在ALD处理腔室116中是可选的,因为掩模可以由较早的处理留在ALD处理腔室116中,并且相同的掩模可以用于处理多个基板。类似地,传送机器人108可以可选地从掩模腔室118取出其它掩模,并且将掩模放置在第一CVD处理腔室110和第二CVD处理腔室112中。在将掩模放置在第一CVD处理腔室110和第二CVD处理腔室112和ALD处理腔室116中时,可以打开和关闭在腔室之间的适当的狭缝阀122、124、126、128。
接着,传送机器人108从装载锁定腔室104取出基板并将基板放置在第一CVD处理腔室110中。工艺控制器控制阀、致动器和处理腔室的其它部件以执行CVD处理。工艺控制器使狭缝阀122关闭,以将第一CVD处理腔室110与传送腔室106隔离。工艺控制器还使基板支撑构件或基座定位基板以进行CVD处理。如果传送机器人没有将掩模放置在正确的处理位置,那么工艺控制器可以启动一个或多个致动器以定位掩模。替代地或附加地,基座也可以定位掩模以进行处理。掩模用于掩蔽基板的某些区域并防止在基板的那些区域上发生沉积。
工艺控制器现在启动阀以开始使前驱物和其它气体流入第一CVD处理腔室110中。前驱物气体可包括例如硅烷SiH4。工艺控制器控制加热器、等离子体放电部件和气体流动以使CVD工艺发生并在基板上沉积材料层。在一个实施方式中,沉积层可以是氮化硅SiN,但是本公开内容的实施方式不限于这种材料。如上面所指出,本公开内容的实施方式还可以用于执行PECVD。继续在基板的示例性处理中的CVD工艺,直到沉积层达到所需厚度。在一个示例性实施方式中,所需厚度为5,000埃至10,000埃(500nm至1,000nm)。
当第一CVD处理腔室110中的CVD工艺完成时,工艺控制器使第一CVD处理腔室110的真空度与传送腔室106的真空度匹配,并且然后控制基座将基板降低到传送位置。工艺控制器还使在第一CVD处理腔室110和传送腔室106之间的狭缝阀122打开,并且然后引导传送机器人108从第一CVD处理腔室110取出基板。然后,工艺控制器使在第一CVD处理腔室110与传送腔室106之间的狭缝阀122关闭。
接着,工艺控制器使在传送腔室106与ALD处理腔室116之间的狭缝阀126打开。传送机器人108将基板放置在ALD处理腔室116中,并且工艺控制器使在传送腔室106与ALD处理腔室116之间的狭缝阀126关闭。工艺控制器还使基板支撑构件或基座定位基板以进行ALD处理。如果传送机器人没有将掩模放置在正确的处理位置,那么工艺控制器可以启动一个或多个致动器以定位掩模。替代地或附加地,基座可以定位掩模以进行处理。掩模用于掩蔽基板的某些区域并且防止在基板的那些区域上发生沉积。
工艺控制器现在启动阀以开始使前驱物和其它气体流入ALD处理腔室116中。一种或多种所使用的特定气体取决于一种或多种要执行的工艺。气体可以包括三甲基铝(CH3)3Al(TMA)、氮N2和氧O2,然而,气体不限于此,并且可以包括一种或多种前驱物、还原剂、催化剂、载体、净化气体、清洁气体或以上项的任何混合或组合。气体可以从一侧引入ALD处理腔室中并且跨基板流动。取决于处理系统的要求,工艺控制器可以控制阀,使得在任何特定时刻都只有一种气体被引入ALD处理腔室中。在其它实施方式中,可以在ALD处理腔室116中用诸如TEMAZ(四乙基甲基氨基锆Zr[N(CH3)(C2H5)]4)的前驱物执行ZrO2膜形成工艺。
工艺控制器还控制能够将气体激活成活性物质并保持活性物质的等离子体以使活性物质与基板反应并涂覆基板的电源。例如,可以使用基于射频(RF)或微波(MW)的功率放电技术。激活还可以通过基于热的技术、气体击穿技术、高强度光源(例如,UV能量)或暴露于x射线源来产生。在示例性工艺中,氧被激活成等离子体,并且等离子体与基板反应并在基板上沉积氧层。然后,工艺控制器使TMA跨基板流动,并且TMA与基板上的氧层反应,以在基板上形成氧化铝层。工艺控制器使得重复以下步骤:使氧流动、将氧激活成等离子体,和使TMA流动以在基板上形成附加的层。工艺控制器继续重复所述步骤,直到沉积的氧化铝层是期望厚度的。在一个示例性实施方式中,所需厚度是500埃至700埃(50nm至70nm)。
当ALD处理腔室116中的ALD工艺完成时,工艺控制器使ALD处理腔室116被抽空并且然后控制基座以将基板降低到传送位置。工艺控制器还使ALD处理腔室116与传送腔室106之间的狭缝阀126打开并且然后引导传送机器人108从ALD处理腔室116取出基板。然后,工艺控制器使在ALD处理腔室116与传送腔室106之间的狭缝阀126关闭。
仍然参考图1,接着,工艺控制器使传送腔室106与第二CVD处理腔室112之间的狭缝阀124打开。传送机器人108将基板放置在第二CVD处理腔室112中,并且工艺控制器使传送腔室106与第二CVD处理腔室112之间的狭缝阀124关闭。第二CVD处理腔室112中的处理类似于上述的第一CVD处理腔室110中的处理。在基板的示例性处理中,继续在第二CVD处理腔室112中执行的CVD工艺,直到沉积层达到期望厚度。在一个示例性实施方案中,期望厚度为5,000埃至10,000埃(500nm至1,000nm)。
因此,当第二CVD处理腔室112中的工艺完成时,基板将涂覆有5,000埃至10,000埃厚的第一SiN层、500埃至700埃厚的Al2O3层和5,000埃至10,000埃厚的第二SiN层。相信与仅有SiN相比,Al2O3层降低通过封装层的水蒸气透过率,由此与仅使用SiN的封装相比提高封装的可靠性。
在上面参考图1所述的示例性工艺中,CVD处理腔室110、112和ALD处理腔室116中的每一个都装载有掩模。或者,处理系统100可以执掩模与基板一起从处理腔室移动到处理腔室的工艺。也就是说,在第二示例性工艺中,将基板和掩模(同时地或单独地)放置在第一CVD处理腔室110中,并且关闭在传送腔室106与第一处理腔室110之间的狭缝阀122。然后在基板上执行CVD工艺。然后将基板和掩模(同时地或单独地)移动到ALD处理腔室116中,并且关闭在传送腔室与ALD处理腔室116之间的狭缝阀126。然后在基板上执行ALD工艺。然后将基板和掩模(同时地或单独地)移动到第二CVD处理腔室112中。然后在基板上执行CVD工艺,并且然后将基板和掩模从第二CVD处理腔室112去除。如果完成,那么可以将基板从处理系统100去除,并且掩模可以用于处理新的基板或从处理系统100去除以例如进行清洁。
图2是示出根据本文所述的实施方式的具有工艺配件202的说明性ALD处理腔室200的局部横截面侧视图。图2中所示的ALD处理腔室200类似于图1中所示的ALD处理腔室116。在一个实施方式中,处理腔室200包括腔室主体204、盖组件206,基座或基板支撑组件208、工艺气体入口210和泵送口212。盖组件206设置在腔室主体204的上端处,并且基板支撑组件208至少部分地设置在腔室主体204内。
腔室主体204包括形成在腔室主体的侧壁中的狭缝阀开口214,以提供进出处理腔室200内部的通路。如上面参考图1所述,狭缝阀开口214选择性打开和关闭以允许通过传送机器人(参见图1)进出腔室主体204的内部。
在一个或多个实施方式中,腔室主体204包括与真空系统220流体连通的一个或多个孔216和218。真空系统220包括真空泵222和一个或多个阀224和226。孔216为处理腔室200内的气体提供出口,而孔218为来自泵送口212的气体提供通路。真空系统220由工艺控制器控制,以保持ALD处理腔室内的压力适于ALD工艺。真空系统220可以用于保持处理腔室200的内部容积228中的第一压力。真空系统220还可以用于保持限定在工艺配件202内的容积230内的第二压力(在下面更详细地描述)。在本公开内容的一个实施方式中,第一压力可以小于第二压力。
工艺配件202是在处理腔室200的内部容积228内可移动的。工艺配件202至少包括掩模框架232,掩模框架232包括介电窗口234。工艺配件202还可以包括将介电窗口234固定到掩模框架232的密封框架235。盖组件206包括射频(RF)阴极236,射频(RF)阴极236能在处理腔室200内和/或工艺配件202内产生反应物质的等离子体。工艺配件202可以由支撑构件238选择性升高和降低。支撑构件238还可以用作工艺配件202的对准和/或定位装置。基板102被示出为由可移动地设置在基板支撑组件208中的升降杆239支撑。基板102在图2中被示出为在传送位置以使得机器人转运叶片(未示出)可以接取基板102的与基板支撑组件208相对的表面。在处理位置,基板102可以由基板支撑组件208升高到与工艺配件202相邻的位置。具体地,基板102适于与耦接到掩模框架232的掩模片241接触或接近掩模片241。
在图2中所示的视图中,工艺配件202由支撑构件238推动以接触盖组件206的表面和/或将介电窗口234定位在RF阴极236附近。具体地,当工艺配件202被推靠在盖组件206的下表面上时,分别耦接到工艺气体入口210和泵送口212的气体入口242和气体出口244被定位成分别与进气通道248和出气通道250流体连通。掩模框架232包括可压缩密封件252,可压缩密封件252环绕分别在进气通道248与气体入口242之间和在出气通道250与气体出口244之间的界面。以这种方式,可以提供前驱物气体到工艺气体入口210并且通过形成在掩模框架232中的进气通道248到容积230。气体可以在容积230中跨掩模片241和基板102流动,通过形成在掩模框架232中的出气通道250排放。排放气体可以通过泵送口212流到真空系统220。
控制诸如膜应力的膜性质可以是可行的。在一个实施方式中,膜应力可以通过RF阴极236与基板支撑组件208上的基板102之间的间距来控制。在其它实施方式中,可以通过修改RF阴极的下表面来修改和/或控制膜性质。例如,“挖取”RF阴极236的下表面(即,在RF阴极236的中心处的横截面较薄,而在RF阴极的边缘处较厚)可以有效地改善应力均匀性。
在ALD处理腔室200中的处理期间,可以控制RF阴极236的温度(通过例如工艺控制器)。可以利用温度控制来影响工艺配件202和基板102的温度并改善ALD处理的性能。RF阴极236的温度可以通过例如高温计(未示出)或ALD处理腔室200中的其它传感器来测量。RF阴极236可以例如通过电加热元件(未示出)加热,并且通过冷却流体(例如以商品名
Figure GDA0002063398800000111
销售的传热流体)的循环来冷却。可以使用能够将气体激活成活性物质并保持活性物质的等离子体的任何电源。例如,可以使用基于射频(RF)或微波(MW)的功率放电技术。激活还可以通过基于热的技术、气体击穿技术、高强度光源(例如,UV能量)或暴露于x射线源来产生。
如上面所讨论的,至少在ALD处理期间,处理腔室200的内部容积228和工艺配件202的容积230中的压力可以是不同的。在一个示例中,真空系统220可以保持处理腔室200的内部容积228内的第一压力和容积230内的第二压力,第二压力大于第一压力。在一些实施方式中,第一压力可以是约0.3Torr至约0.2Torr,而第二压力可以比第一压力高约100mTorr。
图3A-图3C是ALD处理腔室300的另一个实施方式的各种视图。图3A是根据本文所述的实施方式的具有工艺配件202的ALD处理腔室300的横截面图。图3A中所示的ALD处理腔室300类似于图1中所示的ALD处理腔室116。在图3A-图3C中,基板102以及工艺配件202被示出为在处理位置。在这一位置,诸如TMA、TEMAZ、氧、二氧化碳或以上项的组合的工艺气体可以从工艺气体入口210流过容积230而到达泵送口212。工艺气体可以连续地或脉冲地流动通过容积230以跨基板102和掩模片241流动。在一个实施方式中,在介电窗口234与基板102之间形成的容积230在处理腔室300的内部容积228内形成反应腔室。
图4A是可用作上述的工艺配件202的工艺配件400的另一个实施方式的等距分解图。工艺配件400包括掩模框架232和介电窗口234。工艺配件400还可以包括将介电窗口234耦接到掩模框架232的密封框架235。密封框架235可以通过诸如螺栓或螺钉的紧固件(未示出)耦接到掩模框架232。环形密封件405可以设置在密封框架235与掩模框架232之间。掩模框架232还包括在掩模框架232的相对侧上的可压缩密封件252。在一些实施方式中,工艺配件400包括掩模片241。掩模片241可以通过诸如螺栓或螺钉的紧固件(未示出)耦接到掩模框架232。掩模片241包括穿过掩模片241的主侧面形成的多个孔410。
工艺配件400还可以包括入口歧管415和出口歧管420。入口歧管415和出口歧管420定位在掩模框架232的相对侧上。入口歧管415和出口歧管420可以容纳在形成于掩模框架232中的相应通道425中。
密封框架235、入口歧管415和出口歧管420可以由诸如铝的金属材料制成。掩模框架232和掩模片241可以由具有低热膨胀系数(CTE)的金属材料制成,所述金属材料诸如铁和镍的合金(FeNi),所述铁和镍的合金可以以商品名“INVAR”或“INVAR 36”销售。介电窗口234可以由石英、硼硅酸盐玻璃材料或强化玻璃材料制成。环形密封件405和可压缩密封件252可以由聚合材料制成,所述聚合材料诸如聚四氟乙烯(PTFE)或其它类型的弹性和/或可压缩聚合物材料。
图4B是沿着图4A的线4B-4B的工艺配件400的横截面图。图4C和图4D是图4B中所示的工艺配件400的端部的局部放大图。
如图4C和图4D所示,可压缩密封件252在掩模框架232的相对侧上环绕通道425。在图4C中入口歧管415被示出为在通道425中,而在图4D中出口歧管420被示出为在通道425中。图4C中示出了入口孔口430,入口孔口430将入口歧管415流体耦接到容积230。图4D中示出了出口孔口435,出口孔口435将容积230流体耦接到出口歧管420。在一些实施方式中,中间容积440设在容积230与孔口430和孔口435之间。在掩模片241与工艺配件400一起使用的实施方式中,掩模片241的上表面445限定中间容积440的一侧。掩模片241的下表面450适于接触基板(未示出)。
可压缩密封件252可以包括耦接到安装部分460的可压缩部分455。安装部分460可以容纳在形成于掩模框架232中的键槽465中。由于大部分的可压缩部分455从掩模框架232的上表面470延伸,与O形环的大部分定位在O形环槽中的常规的圆形密封件或O形环相比,根据这个实施方式的可压缩密封件252可以提供改善的密封。
图5A和图5B分别是入口歧管415和出口歧管420的部分的等距横截面图。根据一个实施方式,入口歧管415和出口歧管420分别包括多个入口孔口430和出口孔口435。
图6A-图6C是示例性处理腔室600的各种横截面图。图6A-图6C中所示的处理腔室600可以类似于图2中所示的ALD处理腔室200。图6A-图6C中示出了示例性工艺配件605。工艺配件605可以与上述的工艺配件202或工艺配件400类似地配置。
图6A示出在处理位置的工艺配件605和基板102。基板支撑组件208的基座主体610被提升到与RF阴极236相邻的位置。处理位置可以类似于图3中所述的实施方式。
图6B示出在处理腔室600中降低的基板支撑组件208的基座主体610。升降杆239的上部部分被示出为在传送位置支撑基板102。降低基板支撑组件208的基座主体610促进升降杆239与处理腔室600的底表面的接触。升降杆239可移动地设置在基座主体610中,使得基座主体的降低使基板102与基座主体610间隔开。由升降杆239支撑的基板102可以位于处理腔室600中的某个高度处,在所述高度传送机器人(未示出)可以通过开口214接取基板102。
图6C示出基板102被传送出处理腔室600。支撑工艺配件605的支撑构件238降低,使得工艺配件605在传送位置。由支撑构件238支撑的工艺配件605可以位于处理腔室600中的某个高度处,在所述高度传送机器人(未示出)可以通过开口214接取工艺配件605。
图7是示出根据本公开内容的工艺序列的实施方式的图表。可以通过使平面RF等离子体中的连续氧/二氧化碳流过如本文所述的工艺配件的容积230来执行工艺序列。
图8是掩模对准系统的一个实施方式的等距图。掩模对准系统也可以用作反应腔室对准系统(即,如本文所述的工艺配件202)。
掩模框架被构造和设计成由四个支撑构件238支撑,四个支撑构件238可以在X和Y方向上移动以进行对准和在Z方向上移动以更换掩模。掩模框架具有掩模片,并且掩模片通过视觉(相机)系统与基板基准标记(显示图案)对准。
对准系统包括具有升降机构的一个或多个X-Y台。X-Y台横向地以及竖直地(向上或向下)移动支撑构件238的每一个。X-Y台可以独立地或共同地移动支撑构件238中的每一个。
图9A是根据一个实施方式的工艺配件900的分解等距图。工艺配件900被示出为从在工艺配件900安装在处理腔室中时的位置反转。工艺配件900类似于工艺配件202,但是使用扩散器902和排气歧管904。盖906在表面中具有凹槽910、912,扩散器902和排气歧管904分别设置在凹槽910、912中。
掩模框架908耦接到盖906,其中扩散器902和排气歧管904在掩模框架908与盖906之间。掩模框架908具有由槽限定穿过掩模框架908的气体入口942和气体出口944。当组装工艺配件900时,扩散器902中的槽946与掩模框架908中的气体入口942对准。类似地,当组装工艺配件900时,槽948与掩模框架908中的气体出口944对准。
进入口920在扩散器902中设置在与槽946相对的端部处。泵送口922类似地在排气歧管904中设置在与槽948相对的端部处。将参考图10和图11详细地讨论进入口920和泵送口922。
图9B是组装的工艺配件900的平面图。示出了工艺配件900,其中扩散器902和排气歧管904设置在盖906中的凹槽910、912中。掩模框架908设置在盖906上方。气体出口944与排气歧管904中的槽948(未示出)对准。气体入口942与扩散器902中的槽946(未示出)对准。
图10A是扩散器902的等距图。扩散器902由上部构件1002和下部构件1004形成。凹槽1006形成在下部构件1004中。当上部构件1002和下部构件1004耦接时,凹槽1006限定从进入口920到槽946的流体(诸如处理气体)的流动路径。
当设置在图9A-图9B的盖906内时,上部构件1002耦接到下部构件1004。在一个实施方式中,上部构件1002由螺纹紧固件耦接到下部构件1004。在其它实施方式中,上部构件1002和下部构件1004通过粘合(bonding)或闩锁(未示出)耦接。密封件1008可选地环绕凹槽1006设置在上部构件1002与下部构件1004之间。在一个实施方式中,密封件1008是设置在燕尾槽中的O形环。
图10B是沿着图10A中指示的剖面线的扩散器902的横截面,其中上部构件1002耦接到下部构件1004。在扩散器902的中心处,在从进入口920朝向槽946的径向距离1070处测量在凹槽1006与上部构件1002的下表面1080之间的高度1040。下表面1080朝向外表面1060渐缩。在凹槽1006的周边处,在从进入口920朝向槽946的径向距离1072处测量在上部构件1002的下表面1080与凹槽1006之间的高度1042。高度1040、1042是由凹槽1006和上部构件1002限定的流动路径的横截面的高度。如图10B所示,高度1042大于高度1040。也就是说,在中心部分处在凹槽1006与上部构件1002之间的距离小于在周边区域处在凹槽1006与上部构件1002之间的距离。下表面1080的轮廓随距进入口920的距离而非线性地(例如椭圆形地)渐缩。随着距进入口920的距离(诸如径向距离1070、1072)增大,在凹槽1006与下表面1080之间的高度也增大。在一个实施方式中,在凹槽1006与下表面1080之间的高度(例如,高度1040、1042)与距进入口920的径向距离的平方根成比例。在另一个实施方式中,在凹槽1006与下表面1080之间的高度(例如,高度1040、1042)与距进入口920的径向距离和距进入口920的第二径向距离的比率的平方根成比例。例如,第一径向距离可以是距进入口920的中心的径向距离,第二径向距离可以是距进入口920的边缘的径向距离。凹槽1006的椭圆形轮廓使从进入口920流到槽946的气体的流导均等。因此,气流在槽946的宽度上基本上均匀地通向基板(未示出)。
图11A是排气歧管904的等距图。排气歧管904由上部构件1102和下部构件1104形成。口1190可选地穿过上部构件1102形成。口1190允许上部构件与形成在下部构件1104中的凹槽1106之间流体连通。当上部构件1102和下部构件1104耦接时,凹槽1106限定从槽948到泵送口922的流体(诸如工艺气体)的流动路径。例如,口1190可以用于对凹槽1106内的气流进行采样。泵送口922具有的直径大体上大于图10A-图10B的进入口920的直径,以减小泵送口922的泵送导致的气体流导的非均匀性。
当设置在图9A-图9B的盖906内时,上部构件1102耦接到下部构件1104。在一个实施方式中,上部构件1102由螺纹紧固件耦接到下部构件1104。在其它实施方式中,上部构件1102和下部构件1104通过粘合或闩锁(未示出)耦接。密封件1108可选地环绕凹槽1106设置在上部构件1102与下部构件1104之间。在一个实施方式中,密封件1108是设置在燕尾槽中的O形环
图11B是沿着图11A中指示的剖面线的排气歧管904的横截面,其中上部构件1102耦接到下部构件1104。在排气歧管904的中心处,在从泵送口922朝向槽948的径向距离1170处测量在凹槽1106与上部构件1102的下表面1180之间的高度1140。下表面1180朝向外表面1160渐缩。在凹槽1106的周边处,在从泵送口922朝向槽948的径向距离1172处测量在上部构件1102的下表面1180与凹槽1106之间的高度1142。高度1140、1142是由凹槽1106和上部构件1102限定的流动路径的横截面的高度。如图11B所示,高度1142大于高度1140。也就是说,在中心部分处在凹槽1106与上部构件1102之间的距离小于在周边区域处凹槽1106与上部构件1102之间的距离。下表面1180的轮廓随距泵送口922的距离而非线性地(例如椭圆形地)渐缩。随着距泵送口922的距离(诸如径向距离1170、1172)增大,在凹槽1106与下表面1180之间的高度也增大。在一个实施方式中,在凹槽1106与下表面1180之间的距离(例如,高度1140、1142)与距泵送口922的距离的平方根成比例。在另一个实施方式中,在凹槽1106与下表面1180之间的高度(例如,高度1140、1142)与距泵送口922的径向距离和距泵送口922的第二径向距离的比率的平方根成比例。例如,第一径向距离可以是距泵送口922的中心的径向距离,第二径向距离可以是距泵送口922的边缘的径向距离。凹槽1106的椭圆形轮廓使从槽948流到泵送口922的气体的流导均等。因此,气流在槽948的宽度上大体上均匀。
虽然以上针对本公开内容的实施方式,但是可以在不脱离本公开内容的基本范围的情况下设计本公开内容的其它和进一步的实施方式,并且本公开内容的范围由所附权利要求书确定。

Claims (11)

1.一种用于在原子层沉积(ALD)腔室中使用的工艺配件,所述工艺配件包括:
介电窗口;
密封框架;
掩模框架,所述掩模框架与所述密封框架连接,其中所述掩模框架具有在所述掩模框架的相对侧上形成在所述掩模框架中的进气通道和出气通道;
扩散器,所述扩散器耦接到所述进气通道;和
排气歧管,所述排气歧管耦接到所述出气通道,
其中所述扩散器包括:进入口;和流动路径,所述流动路径耦接到所述进入口并且部分地由椭圆渐缩表面限定,其中所述流动路径的横截面在中心部分处具有低于在周边部分处的高度的高度,并且其中在所述中心部分处的高度和在所述周边部分处的高度各自与距所述进入口的中心的第一径向距离和距所述进入口的边缘的第二径向距离的比率的平方根成比例,
并且其中所述排气歧管包括:泵送口;和流动路径,所述排气歧管的所述流动路径耦接到所述泵送口并且部分地由椭圆渐缩表面限定,其中所述排气歧管的所述流动路径的横截面在中心部分处具有低于在周边部分处的高度的高度,并且其中在所述排气歧管的所述流动路径的所述中心部分处的高度和在所述排气歧管的所述流动路径的所述周边部分处的高度各自与距所述泵送口的中心的第一径向距离和距所述泵送口的边缘的第二径向距离的比率的平方根成比例。
2.如权利要求1所述的工艺配件,进一步包括:掩模片,所述掩模片耦接到所述掩模框架。
3.如权利要求1所述的工艺配件,其中在所述介电窗口与所述掩模框架之间形成容积。
4.如权利要求3所述的工艺配件,其中所述进气通道和所述出气通道与所述容积流体连通。
5.如权利要求1所述的工艺配件,进一步包括:
可压缩密封件,所述可压缩密封件设置在所述掩模框架的相对侧,其中所述可压缩密封件耦接到形成在所述掩模框架中的键槽。
6.一种用于在原子层沉积(ALD)腔室中使用的工艺配件,所述工艺配件包括:
介电窗口;
密封框架;
掩模框架,所述掩模框架与所述密封框架连接,其中所述掩模框架具有在所述掩模框架的相对侧上形成在所述掩模框架中的进气通道和出气通道;
掩模片,所述掩模片耦接到所述掩模框架;
扩散器,所述扩散器耦接到所述进气通道;和
排气歧管,所述排气歧管耦接到所述出气通道,
其中所述扩散器包括:进入口;和流动路径,所述流动路径耦接到所述进入口并且部分地由椭圆渐缩表面限定,其中所述流动路径的横截面在中心部分处具有低于在周边部分处的高度的高度,并且其中在所述中心部分处的高度和在所述周边部分处的高度各自与距所述进入口的中心的第一径向距离和距所述进入口的边缘的第二径向距离的比率的平方根成比例,
并且其中所述排气歧管包括:泵送口;和流动路径,所述排气歧管的所述流动路径耦接到所述泵送口并且部分地由椭圆渐缩表面限定,其中所述排气歧管的所述流动路径的横截面在中心部分处具有低于在周边部分处的高度的高度,并且其中在所述排气歧管的所述流动路径的所述中心部分处的高度和在所述排气歧管的所述流动路径的所述周边部分处的高度各自与距所述泵送口的中心的第一径向距离和距所述泵送口的边缘的第二径向距离的比率的平方根成比例。
7.如权利要求6所述的工艺配件,其中在所述介电窗口与基板之间形成容积,其中所述进气通道和所述出气通道与所述容积流体连通。
8.如权利要求6所述的工艺配件,其中所述工艺配件包括设置在所述介电窗口与所述密封框架之间的密封件。
9.如权利要求6所述的工艺配件,其中所述工艺配件包括设置在所述介电窗口与所述掩模框架之间的密封件。
10.一种用于处理基板的设备,包括:
腔室主体;
基座主体;
至少一个工艺气体入口;
腔室的至少一个泵送口;和
工艺配件,其中所述工艺配件包括:
介电窗口;
密封框架;
掩模框架,所述掩模框架与所述密封框架连接,其中所述掩模框架具有在所述掩模框架的相对侧上形成在所述掩模框架中的进气通道和出气通道;
扩散器,所述扩散器耦接到所述进气通道;
排气歧管,所述排气歧管耦接到所述出气通道;
其中所述扩散器包括:进入口;和流动路径,所述流动路径耦接到所述进入口并且部分地由椭圆渐缩表面限定,其中所述流动路径的横截面在中心部分处具有低于在周边部分处的高度的高度,并且其中在所述中心部分处的高度和在所述周边部分处的高度各自与距所述进入口的中心的第一径向距离和距所述进入口的边缘的第二径向距离的比率的平方根成比例,
并且其中所述排气歧管包括:泵送口;和流动路径,所述排气歧管的所述流动路径耦接到所述排气歧管的所述泵送口并且部分地由椭圆渐缩表面限定,其中所述排气歧管的所述流动路径的横截面在中心部分处具有低于在周边部分处的高度的高度,并且其中在所述排气歧管的所述流动路径的所述中心部分处的高度和在所述排气歧管的所述流动路径的所述周边部分处的高度各自与距所述排气歧管的所述泵送口的中心的第一径向距离和距所述排气歧管的所述泵送口的边缘的第二径向距离的比率的平方根成比例;和
掩模片,所述掩模片与定位在所述基座主体上的基板接触。
11.如权利要求10所述的设备,其中在所述介电窗口与所述掩模框架之间形成容积,并且所述进气通道和所述出气通道与所述容积流体连通。
CN201780071381.4A 2016-12-02 2017-12-01 薄膜封装处理系统和工艺配件 Active CN109964331B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110975901.2A CN113793911B (zh) 2016-12-02 2017-12-01 薄膜封装处理系统和工艺配件

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
IN201641041254 2016-12-02
IN201641041254 2016-12-02
PCT/US2017/064174 WO2018102662A1 (en) 2016-12-02 2017-12-01 Thin film encapsulation processing system and process kit

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110975901.2A Division CN113793911B (zh) 2016-12-02 2017-12-01 薄膜封装处理系统和工艺配件

Publications (2)

Publication Number Publication Date
CN109964331A CN109964331A (zh) 2019-07-02
CN109964331B true CN109964331B (zh) 2021-09-03

Family

ID=62240851

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780071381.4A Active CN109964331B (zh) 2016-12-02 2017-12-01 薄膜封装处理系统和工艺配件
CN202110975901.2A Active CN113793911B (zh) 2016-12-02 2017-12-01 薄膜封装处理系统和工艺配件

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110975901.2A Active CN113793911B (zh) 2016-12-02 2017-12-01 薄膜封装处理系统和工艺配件

Country Status (6)

Country Link
US (1) US10655222B2 (zh)
JP (2) JP6948394B2 (zh)
KR (2) KR102204297B1 (zh)
CN (2) CN109964331B (zh)
TW (1) TWI658164B (zh)
WO (1) WO2018102662A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10697062B2 (en) 2018-07-11 2020-06-30 Applied Materials, Inc. Gas flow guide design for uniform flow distribution and efficient purge
WO2023027706A1 (en) * 2021-08-25 2023-03-02 Applied Materials, Inc. Process gas containment using elastic objects mated with reactor frames

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040048441A (ko) * 2002-12-03 2004-06-10 병호 최 광원자층 선택증착장치 및 광원자층 선택증착 방법
CN102330140A (zh) * 2010-07-02 2012-01-25 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
CN103493185A (zh) * 2011-04-08 2014-01-01 应用材料公司 用于uv处理、化学处理及沉积的设备与方法
CN104377317A (zh) * 2013-08-16 2015-02-25 三星显示有限公司 薄膜封装层制造设备及使用其制造显示设备的方法
KR20160136019A (ko) * 2015-05-19 2016-11-29 주식회사 케이씨텍 서셉터 및 이를 구비하는 웨이퍼 증착장치

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60196942A (ja) * 1984-03-21 1985-10-05 Hitachi Ltd フオトマスク欠陥修正方法
JP5280861B2 (ja) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
WO2012173692A1 (en) * 2011-06-17 2012-12-20 Applied Materials, Inc. Cvd mask alignment for oled processing
JP6119408B2 (ja) 2013-05-09 2017-04-26 ソニー株式会社 原子層堆積装置
JP6573892B2 (ja) * 2013-09-30 2019-09-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 移送チャンバガスパージ装置、電子デバイス処理システム、及びパージ方法。
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
KR102330725B1 (ko) * 2014-01-21 2021-11-23 어플라이드 머티어리얼스, 인코포레이티드 저압 툴 교체를 허용하는 얇은 필름 캡슐화 프로세싱 시스템 및 프로세스 키트

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040048441A (ko) * 2002-12-03 2004-06-10 병호 최 광원자층 선택증착장치 및 광원자층 선택증착 방법
CN102330140A (zh) * 2010-07-02 2012-01-25 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
CN103493185A (zh) * 2011-04-08 2014-01-01 应用材料公司 用于uv处理、化学处理及沉积的设备与方法
CN104377317A (zh) * 2013-08-16 2015-02-25 三星显示有限公司 薄膜封装层制造设备及使用其制造显示设备的方法
KR20160136019A (ko) * 2015-05-19 2016-11-29 주식회사 케이씨텍 서셉터 및 이를 구비하는 웨이퍼 증착장치

Also Published As

Publication number Publication date
US10655222B2 (en) 2020-05-19
US20180155835A1 (en) 2018-06-07
JP7369166B2 (ja) 2023-10-25
CN113793911A (zh) 2021-12-14
TW201833361A (zh) 2018-09-16
TWI658164B (zh) 2019-05-01
JP2020501020A (ja) 2020-01-16
CN109964331A (zh) 2019-07-02
JP6948394B2 (ja) 2021-10-13
KR102204297B1 (ko) 2021-01-15
KR102349330B1 (ko) 2022-01-07
WO2018102662A1 (en) 2018-06-07
KR20190077628A (ko) 2019-07-03
KR20210006531A (ko) 2021-01-18
JP2022003169A (ja) 2022-01-11
CN113793911B (zh) 2024-05-03

Similar Documents

Publication Publication Date Title
US10184179B2 (en) Atomic layer deposition processing chamber permitting low-pressure tool replacement
US11306393B2 (en) Methods and apparatus for ALD processes
JP7369166B2 (ja) 薄膜封止処理システムおよびプロセスキット
KR101525210B1 (ko) 기판 처리장치
EP2465972B1 (en) Method and system for thin film deposition
WO2020242817A1 (en) Atomic layer deposition reactor design for uniform flow distribution
KR101513504B1 (ko) 기판 처리장치
US20230407473A1 (en) Pump liner for process chamber

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant