KR101673651B1 - 열처리 장치 - Google Patents

열처리 장치 Download PDF

Info

Publication number
KR101673651B1
KR101673651B1 KR1020130128452A KR20130128452A KR101673651B1 KR 101673651 B1 KR101673651 B1 KR 101673651B1 KR 1020130128452 A KR1020130128452 A KR 1020130128452A KR 20130128452 A KR20130128452 A KR 20130128452A KR 101673651 B1 KR101673651 B1 KR 101673651B1
Authority
KR
South Korea
Prior art keywords
lid
quartz
reaction tube
bottom flange
flange
Prior art date
Application number
KR1020130128452A
Other languages
English (en)
Other versions
KR20140056034A (ko
Inventor
히로후미 가네코
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140056034A publication Critical patent/KR20140056034A/ko
Application granted granted Critical
Publication of KR101673651B1 publication Critical patent/KR101673651B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)

Abstract

본 발명은, 반응관의 노구를 밀폐할 때, 반응관의 보톰 플랜지와 덮개가 직접 맞닿는 것을 방지하고, 또한 밀봉 시일을 손상시키는 것을 방지하는 열처리 장치를 제공한다.
본 발명의 일 실시 형태에 따른 열처리 장치는, 하방에 노구를 갖는 동시에 보톰 플랜지를 갖는 원통 형상의 석영제 반응관과, 상기 반응관의 보톰 플랜지를 보유 지지하는 플랜지 보유 지지 부재와, 금속제 덮개와 상기 금속제 덮개에 의해 지지되고 상기 반응관의 노구를 밀폐하는 석영제 덮개를 갖는 덮개를 구비한다. 상기 석영제 덮개는 상기 금속제 덮개에 지지 링에 의해 고정되고, 상기 지지 링은 상기 플랜지 보유 지지 부재의 하면에 맞닿아, 상기 석영제 덮개와 상기 보톰 플랜지 사이에 간극을 형성하고, 상기 보톰 플랜지와 상기 석영제 덮개 사이의 간극의 반경 방향 바깥쪽으로, 밀봉 시일을 설치한다.

Description

열처리 장치{HEAT TREATMENT APPARATUS}
본 발명은, 열처리 장치에 관한 것이다.
반도체 장치의 제조에 있어서는, 피처리체, 예를 들어 반도체 웨이퍼에 예를 들어 산화, 확산, CVD, 어닐닝 등의 각종 열처리를 실시하는 공정이 있고, 이들 공정을 실행하기 위한 열처리 장치의 하나로서 다수매의 웨이퍼를 한번에 열처리하는 것이 가능한 종형 열처리 장치가 사용되고 있다.
일반적으로, 종래의 종형 열처리 장치는, 열처리로의 반응관과, 반응관의 노구를 개폐하도록 승강 가능하게 설치된 덮개를 구비하고, 이 덮개에 의해 반응관의 노구를 밀폐하고 있다.
일반적으로 반응관은 석영제로 되어 있고, 또한 덮개는 금속제로 되어 있다. 그리고 석영제의 반응관을 금속제의 덮개로 밀폐할 때, 반응관에 맞닿는 O링을 덮개 상에 돌출하여 설치하고 반응관과 덮개 사이에 간극을 형성한 구조의 것이 알려져 있다(특허문헌 1 참조).
혹은, 덮개가 반응관측에 위치하는 석영제의 내측 덮개부와, 내측 덮개부를 지지하는 금속제의 외측 덮개부로 이루어지고, 석영제의 반응관을 석영제의 내측 덮개부에 의해 밀폐할 때, 반응관에 맞닿는 O링을 석영제의 내측 덮개부에 설치하는 동시에, 반응관과 덮개가 맞닿는 구조의 것도 알려져 있다(특허문헌 2 참조).
일본 특허 출원 공개 제2008-177524호 일본 특허 출원 공개 제2008-199040호
종래의 종형 열처리 장치에 있어서는, 상술한 바와 같이 반응관의 노구를 덮개에 의해 밀폐하는 경우, 반응관의 보톰 플랜지를 덮개에 의해 밀폐하고 있다.
그러나 반응관 및 덮개 중, 적어도 반응관은 석영제로 되어 있으므로, 반응관의 보톰 플랜지에 덮개가 맞닿은 경우, 경년 변화에 수반하여 맞닿을 때에 석영 분말이 발생하고, 이 석영 분말이 반응관 내에 진입해 버리는 경우가 있다.
또한 반응관과 덮개가 맞닿지 않도록 반응관과 덮개 사이에 간극을 형성하면, 클리닝 가스 등의 부식성 가스가 들어가, 금속제 부품을 부식시키는 문제가 있다. 또한, 부식성 가스가 간극에 들어가지 않도록 불활성 가스 공급부를 마련해도, 밀봉 시일의 찌부러짐량의 조정 미스 등에 의해 충분한 간극이 확보되지 않는 경우에는, 부식성 가스의 역확산이 일어나 금속제 부품을 부식시킬 우려가 있다.
본 발명은, 반응관의 노구를 덮개에 의해 밀폐할 때, 반응관과 덮개가 직접 맞닿는 것을 확실하게 방지할 수 있고, 또한, 밀봉 시일을 손상시키는 일이 없는 열처리 장치를 제공한다.
본 발명은, 하방에 노구를 갖는 동시에 보톰 플랜지를 갖는 원통 형상의 석영제 반응관과, 상기 반응관의 보톰 플랜지를 보유 지지하는 플랜지 보유 지지 부재와, 금속제 덮개와 상기 금속제 덮개에 의해 지지되고 상기 반응관의 노구를 밀폐하는 석영제 덮개를 갖는 덮개를 구비하고, 상기 석영제 덮개는 상기 금속제 덮개에 지지 링에 의해 고정되고, 상기 지지 링은 상기 플랜지 보유 지지 부재의 하면에 완충재를 통해 맞닿아, 상기 석영제 덮개와 상기 보톰 플랜지 사이에 간극을 형성하고, 상기 보톰 플랜지와 상기 석영제 덮개 사이의 간극의 반경 방향 바깥쪽으로, 밀봉 시일을 설치한 것을 특징으로 하는 열처리 장치이다.
본 발명의 실시예에 있어서, 상기 밀봉 시일은 종단면이 Q자 형상이며, 상기 지지 링 상에 장착되는 커버링에 의해 고정되는 립 부분을 가진다.
본 발명의 실시예에 있어서, 상기 지지 링은 금속제로 이루어지고, 상기 지지 링 내에는, 상기 밀봉 시일을 냉각하기 위한 냉각수로가 형성된다.
본 발명의 실시예에 있어서, 상기 석영제 덮개는 상면에, 상기 밀봉 시일의 반경 방향 안쪽으로, 상기 석영제 덮개의 상면을 따라 반경 방향 중앙부로부터 반경 방향 바깥쪽으로 이행하는 열을 차단하기 위한 원주 홈이 형성된다.
삭제
이상과 같이, 본 발명의 열처리 장치에 따르면, 지지 링이 플랜지 보유 지지 부재의 하면에 맞닿아 석영제 덮개와 보톰 플랜지 사이에 간극을 형성하고, 보톰 플랜지와 석영제 덮개 사이의 간극의 반경 방향 바깥쪽으로 밀봉 시일을 설치하였으므로, 반응관의 노구를 석영제 덮개에 의해 밀폐할 때, 반응관과 석영제 덮개가 직접 맞닿는 일은 없다. 또한 보톰 플랜지와 석영제 덮개 사이의 간극의 반경 방향 바깥쪽으로 밀봉 시일을 설치하였으므로, 반응관의 노구를 석영제 덮개에 의해 확실하게 밀폐할 수 있는 동시에, 노구부를 형성하는 금속제 부품을 부식시킬 우려가 없다.
도 1은 본 발명의 실시 형태를 나타내는 열처리 장치의 종단면도.
도 2는 플랜지 보유 지지 부재에 의해 보유 지지된 반응관의 보톰 플랜지의 일 실시예를 도시하는 확대 단면도.
도 3은 플랜지 보유 지지 부재에 의해 보유 지지된 반응관의 보톰 플랜지의 다른 실시예를 도시하는 확대 단면도.
이하, 본 발명의 실시 형태에 따른 열처리 장치를 도 1 내지 도 3에 기초하여 상세하게 설명한다.
도 1을 참조하면, 본 발명의 일 실시 형태에 따른 열처리 장치(1)는 종형 열처리 장치이며, 피처리체, 예를 들어 반도체 웨이퍼(W)를 수용하여 소정의 처리, 예를 들어 산화 처리를 실시하기 위한 종형의 열처리로(2)를 구비하고, 이 열처리로(2)는, 하부가 노구(3)로서 개방된 세로로 긴 처리 용기, 예를 들어 석영제의 원통 형상의 반응관(4)과, 이 반응관(4)의 노구(3)를 개폐하는 승강 가능한 원 형상의 덮개(5)와, 상기 반응관(4)의 주위에 설치되고, 반응관(4) 내를 소정의 온도, 예를 들어 300 내지 1200℃로 가열 제어 가능한 발열 저항체를 포함하는 히터(6)를 구비하고 있다.
반응관(4)은, 1중관으로 이루어져 있다. 이 반응관(4)의 하단부에는 외향의 플랜지부(보톰 플랜지)(4a)가 형성되고, 이 보톰 플랜지(4a)는 플랜지 보유 지지 부재(7)를 통해 베이스 플레이트(8)의 하부에 보유 지지되어 있다. 이 경우, 베이스 플레이트(8)의 하방으로 반응관(4)이 관통되고, 또한, 베이스 플레이트(8) 상에 상기 히터(6)가 설치되어 있다.
반응관(4)의 보톰 플랜지(4a)에는 반응관(4) 내에 처리 가스나 퍼지용의 불활성 가스를 도입하는 복수의 가스 도입관(9)이 설치된다. 여기에서는, 설명의 편의상, 복수의 가스 도입관(9)은 하나로 보이도록 나타나고 있다. 이들 가스 도입관(9)에는 가스 공급계(도시되지 않음)의 배관이 접속되어 있다. 또한, 반응관(4)의 정상부는 점차 직경 축소되고, 이 정상부에는 배기구(10)가 형성되어 있고, 이 배기구(10)에는 반응관(4) 내를 감압 제어 가능한 진공 펌프나 압력 제어 밸브 등을 갖는 배기계의 배관이 접속되어 있다(도시 생략). 또한, 베이스 플레이트(8) 및 플랜지 보유 지지 부재(7)는, 모두 금속제, 예를 들어 SUS제로 이루어져 있고, 이 중 플랜지 보유 지지 부재(7)에 의해 반응관(4)의 보톰 플랜지(4a)가 보유 지지된다.
열처리로(2)의 하방은, 덮개(5) 상에 배치된 후술하는 열처리용 보트(이하, 간단히 '보트'라고도 한다)(16)를 반응관(4) 내에 반입(로드)하거나, 반응관(4)으로부터 반출(언로드)하거나, 혹은 보트(16)에 대한 웨이퍼(W)의 이동 탑재를 행하기 위한 작업 영역(로딩 에어리어)(12)으로 되어 있다. 이 작업 영역(12)에는 보트(16)의 반입, 반출을 행하도록 덮개(5)를 승강시키기 위한 승강 기구(13)가 설치되어 있다.
덮개(5)는, 예를 들어 SUS제의 금속제 덮개(5C)와, 금속제 덮개(5C)에 의해 지지되고 반응관(4)의 노구(3)를 밀폐하는 석영제 덮개(5B)를 갖고 있다. 금속제 덮개(5C)와 석영제 덮개(5B)를 갖는 덮개(5)는 전체적으로 복수의 완충 기구(14)를 통해 보유 지지판(15) 상에 보유 지지되어 있다. 여기에서는, 설명의 편의상, 복수의 완충 기구(14)는 하나로 보이도록 나타나고 있다. 이 보유 지지판(15)은 상기 승강 기구(13)에 연결되어 있다. 그리고 덮개(5)의 석영제 덮개(5B)는 노구(3)를 밀폐한다. 덮개(5)의 하부 중앙부에는 보트(16)를 회전시키기 위한 회전축부(27)를 갖는 회전 기구(26)가 설치되어 있다.
또한, 반응관(4)의 노구(3)를 덮개(5)에 의해 밀폐하는 구조에 대해서는 후술한다.
또한 보트(16)는, 예를 들어 석영제의 보트로 이루어지고, 대구경, 예를 들어 직경 300㎜의 다수, 예를 들어 75 내지 100매 정도의 웨이퍼(W)를 수평 상태에서 상하 방향으로 간격을 두고 다단으로 지지하는 보트 본체(17)와, 이 보트 본체(17)를 지지하는 외발의 다리부(18)를 갖고, 이들 보트 본체(17)와 다리부(18)는 일체로 형성되어 있다. 그리고 보트(16)의 외발의 다리부(18)는, 웨이퍼(W)를 원주 방향으로 회전시키기 위한 회전축부(27)에 연결되어 있다.
상기 보트 본체(17)는, 바닥판(22)과 천장판(23) 사이에 복수의 지주(24)를 개재 설치하여 이루어지고, 지주(24)는 바닥판(22) 및 천장판(23)과 예를 들어 용접 등에 의해 일체적으로 접합되어 있다. 지주(24)는, 웨이퍼(W)를 둘러싸도록 원주 방향으로 소정의 간격으로 배치된다. 도 1의 보트(16)에 있어서, 지주(24)가 설치되지 않은 좌측이 도시하지 않은 이동 탑재 기구에 의해 웨이퍼(W)의 출입을 행하기 위한 개구로 되어 있다. 지주(24)의 각각에는 다수의 웨이퍼(W)를 다단으로 보유 지지하기 위한 홈부(25)가 형성되어 있다. 다리부(18)는 소정의 굵기, 예를 들어 외경 30 내지 50㎜ 정도 및 소정의 높이, 예를 들어 하방 플랜지(19)를 포함한 높이가 250 내지 350㎜ 정도로 되어 있다.
회전 기구(26)는, 상술한 바와 같이, 회전축부(27)와, 덮개(5)의 하부 중앙부에 일체적으로 설치되어 회전축부(27)를 회전 가능하게 지지하는 통 형상의 하우징(28)을 갖고 있다. 이 하우징(28)의 하측 외주에는 회전 기구(26)의 축심부에 회전축을 일체적으로 갖는 바닥이 있는 통 형상의 회전통체(도시하지 않음)가 회전 가능하게 설치되고, 회전통체의 저부 중앙으로부터 기립한 회전축이, 하우징(28) 내를 밀봉 수단, 예를 들어 O링 또는 자성 유체 시일을 통해 기밀하게 관통하고 있다.
회전통체에는 회전 구동 수단인 모터가 벨트를 통해 연결되고(도시 생략), 이에 의해 회전축이 회전 구동되도록 되어 있다. 덮개(5)의 석영제 덮개(5B)의 중앙부에는 회전축부(27)가 관통하는 축 구멍부(34)가 형성되어 있다.
또한 도 1에 도시하는 바와 같이, 덮개(5)의 석영제 덮개(5B) 상에는 노구 보온 수단인 노구 가열 기구(62)가 고정되어 있다. 이 노구 가열 기구(62)는, 석영제 덮개(5B)의 상면 상에 원주 방향으로 일정 간격으로 세워 설치된 복수의 지주(64)와, 이들 지주(64)의 상단부에 수평으로 걸쳐 설치된 판 형상의 발열 저항체(65)와, 이 발열 저항체(65)의 하방에 적절한 간격으로 지주(64)에 걸쳐 설치된 복수, 예를 들어 2매의 차열판(66)으로 주로 구성되어 있다.
지주(64) 및 차열판(66)은, 예를 들어 석영제로 되어 있다. 발열 저항체(65) 및 차열판(66)에는 보트(16)의 하방 플랜지(19)를 포함하는 다리부(18)가 관통하는 관통 구멍(67)이 형성되어 있다. 또한, 상기 발열 저항체(65)에 전기를 공급하는 케이블을 도통하기 위한 도통관(68)이 보유 지지판(15)으로부터 덮개(5)를 기밀하게 관통한 상태에서 설치되어 있다.
다음으로 도 1 내지 도 3을 참조하여, 덮개(5)에 의해 반응관(4)의 노구(3)를 밀폐하는 구조에 대해 설명한다.
상술한 바와 같이 덮개(5)는 금속제 덮개(5C)와, 금속제 덮개(5C)에 의해 지지되고 반응관(4)의 노구(3)를 밀폐하는 석영제 덮개(5B)를 갖고 있다.
또한, 덮개(5)를 구성하는 석영제 덮개(5B)와 금속제 덮개(5C)의 장착 구조에 대해 설명한다.
석영제 덮개(5B)의 외주 상부(외주상 절반)에는 반응관(4)의 하측 개구단 외주부보다도 내측으로 오목해진 환 형상 오목부(80)가 형성되고, 또한 석영제 덮개(5B)의 외주 하부(외주 하반부)에는 플랜지부(81)가 형성되어 있다. 금속제 덮개(5C)에는, 상기 환 형상 오목부(80)에 위치하여 석영제 덮개(5B)의 플랜지부(81)를 보유 지지하는 금속제의 지지 링(70)이 설치되고, 상기 지지 링(70)의 상부에는 상기 반응관(4)의 하측 개구단 하면에 접하는 밀봉 시일(77)이 설치되어 있다. 또한 상기 지지 링(70)의 내부에는 밀봉 시일(77)을 냉각하는 냉매 통로(예를 들어 냉각수로)(70a)가 형성되어 있고, 밀봉 시일(77)을 냉각 통로(70a)를 흐르는 유수에 의해 효과적으로 냉각할 수 있다.
지지 링(70)은, 종단면이 대략 역L자 형상(수직부와 수평부를 가짐)으로 되어 있고, 플랜지부(81)를 덮도록(둘러싸도록) 환 형상(링 형상)으로 형성되어 있다. 이 지지 링(70)은, 플랜지부(81)를 보유 지지한 상태에서 금속제 덮개(5C) 상에 복수의 장착 나사(71)에 의해 착탈 가능하게 장착 고정된다. 지지 링(70) 상의 커버링(75)에는 밀봉 시일(77)을 보유 지지하는 보유 지지 홈(83)이 환 형상으로 형성되어 있다. 본 실시 형태의 종형 열처리 장치에 따르면, 덮개(5)의 부식의 문제를 해소할 수 있는 동시에 밀봉 시일(77)의 내구성 향상을 도모할 수 있다.
일 실시예에 있어서, 지지 링(70)에 장착된 장착 나사(71)는, 원주 방향을 따라 복수개가 설치되고, 지지 링(70) 및 금속제 덮개(5C)를 관통하여 장착되어 있다. 그리고 지지 링(70)이 석영제 덮개(5B)를 외주측으로부터 금속제 덮개(5C)에 대하여 압박하도록 되어 있다(도 2 참조). 또한, 다른 실시예에 있어서의 장착 나사(72)는 지지 링(70) 내에 머무르고, 금속제 덮개(5C)까지 도달하고 있지 않다(도 3 참조).
또한 반응관(4)의 노구(3)를 덮개(5)에 의해 밀폐한 경우, 지지 링(70)이 장착 나사(71)(또는, 72)의 상부에 설치된 폴리이미드제의 완충재(73)를 통해 플랜지 보유 지지 부재(7)의 하면에 맞닿고, 석영제 덮개(5B)와 반응관(4)의 보톰 플랜지(4a) 사이에 간극(G)이 형성된다.
또한 밀봉 시일(77)은 종단면이 대략 Q자 형상을 하고 있고, 그 립 부분을 지지 링(70) 상의 커버링(75)으로 압박하여 고정되어 있다. 커버링(75)은 장착 나사(71)(또는, 72)에 의해 지지 링(70)에 고정되어 있다.
또한 석영제 덮개(5B)의 상면에는, 밀봉 시일(77)의 반경 방향 안쪽으로, 석영제 덮개(5B)의 중앙부로부터 석영제 덮개(5B)의 상면을 따라 반경 방향 바깥쪽으로 이행하는 열을 차단하기 위한 원주 홈(76)이 형성되어 있다.
또한 석영제 덮개(5B)의 상면에는, 원주 홈(76)의 반경 방향 바깥쪽으로, 원주 돌기(78)가 형성되고, 석영제 덮개(5B)와 반응관(4)의 보톰 플랜지(4a) 사이의 간극(G)은, 원주 돌기(78) 상에 위치하고 있다.
또한, 석영제 덮개(5B) 상에 설치된 밀봉 시일(77)에 대하여, 반응관(4) 내의 열이 석영제 덮개(5B) 상면을 따라 이행하여, 밀봉 시일(77)도 가열되게 되지만, 석영제 덮개(5B)에 원주 홈(76)을 형성함으로써, 석영제 덮개(5B)의 중앙부로부터의 열이 밀봉 시일(77)측으로 이행하는 것을 미연에 방지할 수 있다. 또한 지지 링(70)의 냉각수로(70a) 내에 냉각수를 흘림으로써, 밀봉 시일(77)의 가열을 보다 확실하게 방지할 수 있다.
다음으로 이러한 구성으로 이루어지는 본 실시 형태의 열처리 장치에 있어서의 작용에 대해 설명한다.
우선 작업 영역(12) 내에 있어서, 덮개(5) 상에 배치된 보트(16) 내에 웨이퍼(W)가 이동 탑재된다. 보트(16) 내에 웨이퍼(W)가 이동 탑재된 후, 승강 기구(13)에 의해 덮개(5)가 상승하고, 다음으로 덮개(5)가 노구(3)의 하측 개구단에 맞닿아 노구(3)를 밀폐한다.
그 동안, 회전통체가 모터에 의해 회전 구동되고, 회전통체의 회전에 수반하여, 회전축부(27)가 회전하여, 보트(16)가 천천히 회전한다.
덮개(5)로 노구(3)를 밀폐할 때, 지지 링(70)에 장착된 완충재(73)가 플랜지 보유 지지 부재(7)의 하면에 맞닿고, 석영제 덮개(5B)의 원주 돌기(78)와 반응관(4)의 보톰 플랜지(4a) 사이에 간극(G)이 형성된다.
이 때문에 덮개(5)에 의해 반응관(4)의 노구(3)를 밀폐할 때에도, 석영제 덮개(5B)와 석영제의 보톰 플랜지(4a)가 직접 맞닿는 일은 없어, 석영제 덮개(5B)와 석영제의 보톰 플랜지(4a)가 맞닿은 경우에 발생하는 석영 분말의 발생을 미연에 방지할 수 있다.
또한 반응관(4) 내의 처리 가스는, 원주 돌기(78)의 반경 방향 바깥쪽으로 설치된 밀봉 시일(77)에 의해 밀봉되므로, 바깥쪽으로 유출되는 일은 없다. 또한, 부식성이 강한 클리닝 가스를 흘린 경우라도, 지지 링(70)을 부식시키는 일이 없다. 또한, 밀봉 시일(77)을 종단면이 대략 Q자 형상을 가지며 그 립 부분을 커버링(75)으로 고정하는 구조를 갖도록 하여, 석영제 덮개(5B), 즉 열영향이 적은 영역의 외측에 위치시킬 수 있는 동시에, 덮개(5)를 승강시켰을 때에 보톰 플랜지(4a)에 밀봉 시일(77)이 안정되게 접착되어 밀봉 시일(77)로부터 빠지는 일이 없다.
웨이퍼(W)가 열처리되는 동안, 반응관(4) 내의 열이 석영제 덮개(5B)의 표면을 타고 반경 방향 중앙부로부터 반경 방향 바깥쪽을 향해 이행하지만, 석영제 덮개(5B)에는 반응관(4)의 보톰 플랜지(4a)에 대향하는 원주 돌기(78)의 반경 방향 안쪽으로 원주 홈(76)이 형성되어 있으므로, 반응관(4) 내의 열이 밀봉 시일(77)측으로 이행하는 것을 방지할 수 있다. 또한 지지 링(70)의 냉각수로(70a) 내에 냉각수를 흘림으로써, 밀봉 시일(77)이 가열에 의해 열화되는 것을 미연에 방지할 수 있다.
이상과 같이 본 실시 형태에 따르면, 덮개(5)에 의해 반응관(4)의 노구(3)를 밀폐할 때, 석영제 덮개(5B)와 석영제의 반응관(4)의 보톰 플랜지(4a)가 직접 맞닿는 것을 방지할 수 있어, 석영제 덮개(5B)와 보톰 플랜지(4a)가 맞닿아 석영 분말이 발생하거나 하는 일은 없다. 또한, 전술한 바와 같이, 석영제 덮개(5B)의 표면에 원주 홈(76)을 형성하는 동시에, 지지 링(70)의 냉각수로(70a) 내에 냉각수를 흘림으로써, 밀봉 시일(77)이 가열되어 열화되는 것을 미연에 방지할 수 있다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것은 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 치환, 변경 및 변형이 가능하다는 것은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 있어 명백하다 할 것이다.
1 : 열처리 장치
W : 반도체 웨이퍼(피처리체)
g : 간극
2 : 열처리로
3 : 노구
4 : 반응관
4a : 보톰 플랜지
5 : 덮개
5B : 석영제 덮개
5C : 금속제 덮개
7 : 플랜지 보유 지지 부재
16 : 열처리용 보트
17 : 보트 본체
18 : 다리부
19 : 하방 플랜지
26 : 회전 기구
27 : 회전축부
28 : 하우징
70 : 지지 링
70a : 냉각수로
71 : 장착 나사
72 : 장착 나사
73 : 완충재
75 : 커버링
76 : 원주 홈
77 : 밀봉 시일
78 : 원주 돌기

Claims (5)

  1. 하방에 노구를 갖는 동시에 보톰 플랜지를 갖는 원통 형상의 석영제 반응관과,
    상기 반응관의 보톰 플랜지를 보유 지지하는 플랜지 보유 지지 부재와,
    금속제 덮개와 상기 금속제 덮개에 의해 지지되고 상기 반응관의 노구를 밀폐하는 석영제 덮개를 갖는 덮개를 구비하고,
    상기 석영제 덮개는 상기 금속제 덮개에 지지 링에 의해 고정되고, 상기 지지 링이 상기 플랜지 보유 지지 부재의 하면에 완충재를 통해 맞닿아, 상기 석영제 덮개와 상기 보톰 플랜지 사이에 간극을 형성하고,
    상기 보톰 플랜지와 상기 석영제 덮개 사이의 간극의 반경 방향 바깥쪽으로, 밀봉 시일을 설치한, 열처리 장치.
  2. 제1항에 있어서,
    상기 밀봉 시일은 종단면이 Q자 형상이며, 상기 지지 링 상에 장착되는 커버링에 의해 고정되는 립 부분을 갖는, 열처리 장치.
  3. 제1항 또는 제2항에 있어서,
    상기 지지 링은 금속제로 이루어지고, 상기 지지 링 내에는, 상기 밀봉 시일을 냉각하기 위한 냉각수로가 형성되는, 열처리 장치.
  4. 제1항 또는 제2항에 있어서,
    상기 석영제 덮개는 상면에, 상기 밀봉 시일의 반경 방향 안쪽으로, 상기 석영제 덮개의 상면을 따라 반경 방향 중앙부로부터 반경 방향 바깥쪽으로 이행하는 열을 차단하기 위한 원주 홈이 형성되는, 열처리 장치.
  5. 삭제
KR1020130128452A 2012-10-31 2013-10-28 열처리 장치 KR101673651B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2012-240639 2012-10-31
JP2012240639A JP5960028B2 (ja) 2012-10-31 2012-10-31 熱処理装置

Publications (2)

Publication Number Publication Date
KR20140056034A KR20140056034A (ko) 2014-05-09
KR101673651B1 true KR101673651B1 (ko) 2016-11-07

Family

ID=50547552

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130128452A KR101673651B1 (ko) 2012-10-31 2013-10-28 열처리 장치

Country Status (4)

Country Link
US (1) US9845991B2 (ko)
JP (1) JP5960028B2 (ko)
KR (1) KR101673651B1 (ko)
TW (1) TWI545299B (ko)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6258726B2 (ja) * 2014-03-04 2018-01-10 東京エレクトロン株式会社 縦型熱処理装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR101942206B1 (ko) * 2015-02-04 2019-01-24 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반응관
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
RU2691891C1 (ru) * 2018-07-06 2019-06-18 Акционерное общество "Ордена Трудового Красного Знамени и ордена труда ЧССР опытное конструкторское бюро "ГИДРОПРЕСС" Блок трубчатых электронагревателей оборудования
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117542767B (zh) * 2024-01-10 2024-03-26 合肥费舍罗热工装备有限公司 一种半导体立式熔接炉

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005203720A (ja) 2003-10-24 2005-07-28 Tokyo Electron Ltd 縦型熱処理装置
JP2008177524A (ja) 2006-10-13 2008-07-31 Tokyo Electron Ltd 熱処理装置
JP2008199040A (ja) 2003-10-24 2008-08-28 Tokyo Electron Ltd 縦型熱処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3260832A (en) * 1963-10-28 1966-07-12 Westinghouse Electric Corp Oven
JPS61181570A (ja) * 1985-02-06 1986-08-14 Daiken Trade & Ind Co Ltd 木質繊維板の塗装方法
JP3007432B2 (ja) * 1991-02-19 2000-02-07 東京エレクトロン株式会社 熱処理装置
JP3106172B2 (ja) * 1991-02-26 2000-11-06 東京エレクトロン株式会社 熱処理装置の封止構造
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5904478A (en) * 1997-03-07 1999-05-18 Semitool, Inc. Semiconductor processing furnace heating subassembly
US6543257B1 (en) * 1999-05-28 2003-04-08 The Furukawa Electric Co., Ltd. Dehydration and sintering apparatus for porous optical fiber preform
KR101052448B1 (ko) * 2003-03-28 2011-07-28 아사히 가라스 가부시키가이샤 반도체 열처리 장치
US20070240644A1 (en) 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
KR101333363B1 (ko) * 2006-10-13 2013-11-28 도쿄엘렉트론가부시키가이샤 열처리 장치
JP4929199B2 (ja) * 2008-02-01 2012-05-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5188326B2 (ja) * 2008-08-28 2013-04-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、及び基板処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005203720A (ja) 2003-10-24 2005-07-28 Tokyo Electron Ltd 縦型熱処理装置
JP2008199040A (ja) 2003-10-24 2008-08-28 Tokyo Electron Ltd 縦型熱処理装置
JP2008177524A (ja) 2006-10-13 2008-07-31 Tokyo Electron Ltd 熱処理装置

Also Published As

Publication number Publication date
TWI545299B (zh) 2016-08-11
JP2014090145A (ja) 2014-05-15
US9845991B2 (en) 2017-12-19
KR20140056034A (ko) 2014-05-09
JP5960028B2 (ja) 2016-08-02
TW201428224A (zh) 2014-07-16
US20140120487A1 (en) 2014-05-01

Similar Documents

Publication Publication Date Title
KR101673651B1 (ko) 열처리 장치
KR101629065B1 (ko) 열처리 장치
KR102003108B1 (ko) 기판 처리 장치
JP5144990B2 (ja) 熱処理装置
US7762809B2 (en) Heat treatment apparatus
US8076615B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
KR101687864B1 (ko) 열처리 장치
JP4963336B2 (ja) 熱処理装置
JP4503397B2 (ja) 縦型熱処理装置及びその処理容器急速降温方法
JP3451137B2 (ja) 基板の熱処理装置
KR20140034027A (ko) 열처리 장치
JP4969127B2 (ja) 基板処理装置
JP4718054B2 (ja) 縦型熱処理装置
JP2575851B2 (ja) 熱処理装置
JP5770042B2 (ja) 熱処理装置
JP2005235936A (ja) 熱処理装置の炉口構造
JPH09237782A (ja) 半導体ウエハーの加熱処理装置
JP2010272720A (ja) 基板処理装置及び半導体装置の製造方法
JP2010053393A (ja) 基板処理装置
JP2008244409A (ja) 熱処理方法及び熱処理装置
JP2001237238A (ja) 縦型熱処理装置
JP2007299795A (ja) 縦型炉用マニホールド及び縦型炉
JP2015035481A (ja) ヒータ装置、基板処理装置及びメンテナンス方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191016

Year of fee payment: 4