KR101154559B1 - 플라즈마 에칭 장치 및 플라즈마 에칭 방법 - Google Patents

플라즈마 에칭 장치 및 플라즈마 에칭 방법 Download PDF

Info

Publication number
KR101154559B1
KR101154559B1 KR1020097006959A KR20097006959A KR101154559B1 KR 101154559 B1 KR101154559 B1 KR 101154559B1 KR 1020097006959 A KR1020097006959 A KR 1020097006959A KR 20097006959 A KR20097006959 A KR 20097006959A KR 101154559 B1 KR101154559 B1 KR 101154559B1
Authority
KR
South Korea
Prior art keywords
power
high frequency
frequency power
power supply
supply unit
Prior art date
Application number
KR1020097006959A
Other languages
English (en)
Other versions
KR20090057088A (ko
Inventor
아키라 고시이시
노리유키 고바야시
시게루 요네다
겐이치 하나와
시게루 다하라
마사루 스기모토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090057088A publication Critical patent/KR20090057088A/ko
Application granted granted Critical
Publication of KR101154559B1 publication Critical patent/KR101154559B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

진공 배기가능한 처리 용기(10)내에, 상부 전극(34)과 하부 전극(16)을 대향하도록 배치하고, 상부 전극(34)에 플라즈마 형성용의 고주파 전력을 공급하는 제 1 고주파 전원(48)을 접속하고, 하부 전극(16)에 이온 인입 바이어스용의 고주파 전력을 인가하는 제 2 고주파 전원(90)을 접속하고, 제 2 고주파 전원(90)에 제어기(95)를 마련하고, 이 제어기(95)는, 제 2 고주파 전원(90)을, 웨이퍼(W)의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 웨이퍼(W)의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작하도록 제어한다.

Description

플라즈마 에칭 장치 및 플라즈마 에칭 방법{PLASMA ETCHING DEVICE AND PLASMA ETCHING METHOD}
본 발명은 반도체 기판 등의 피처리 기판에 플라즈마 에칭을 실시하는 플라즈마 에칭 장치, 플라즈마 에칭 방법 및 컴퓨터 판독가능한 기억 매체에 관한 것이다.
예를 들어 반도체 디바이스의 제조 프로세스에 있어서는, 피처리 기판인 반도체 웨이퍼에 형성된 소정의 층에 소정의 패턴을 형성하기 위해서, 레지스트(resist)를 마스크로 하여 플라즈마에 의해 에칭하는 플라즈마 에칭 처리가 많이 이용되고 있다.
이러한 플라즈마 에칭을 실행하기 위한 플라즈마 에칭 장치로서는, 각종의 것이 이용되고 있지만, 그 중에서도 용량 결합형 평행 평판 플라즈마 에칭 장치가 주류이다.
용량 결합형 평행 평판 플라즈마 에칭 장치는, 챔버내에 한쌍의 평행 평판 전극(상부 및 하부 전극)을 배치하고, 처리 가스를 챔버내에 도입하는 동시에, 전극의 적어도 한쪽에 고주파 전력을 인가해서 전극간에 고주파 전계를 형성하고, 이 고주파 전계에 의해 처리 가스의 플라즈마를 형성해서 반도체 웨이퍼의 소정의 층 에 대하여 플라즈마 에칭을 실시한다.
구체적으로는, 상부 전극에 플라즈마 형성용의 고주파 전력을 인가해서 플라즈마를 형성하고, 하부 전극에 이온 인입용의 고주파 전력을 인가하는 것에 의해, 적절한 플라즈마 상태를 형성하는 플라즈마 에칭 장치가 알려져 있고, 이에 의해 고선택비로 재현성이 높은 에칭 처리가 가능하다[예를 들면, 일본 공개 특허 제 2000-173993 호 공보(특허문헌 1)].
그런데, 최근 반도체 디바이스의 고속화, 배선 패턴의 미세화, 고집적화의 요구에 대응하여, 배선 기생 용량의 저감을 도모할 목적으로 저유전율의 층간 절연막의 이용이 진척되고 있다. 이러한 저유전율막(Low-k막)중에서도, 종래의 SiO2막의 Si-O 결합에 메틸기(-CH3)를 도입하여, Si-CH3 결합을 혼합시킨 SiOC계 막이 주목을 모으고 있다.
SiOC계 막 등의 유기계의 Low-k막에 플라즈마 에칭을 실행할 경우, 중요시되는 것이 하지막이나 마스크층과의 선택비를 충분히 확보하는 것이다. 통상은, 하지막과의 선택성이 비교적 높은 처리 가스로서 플루오로카본 가스계의 혼합 가스가 사용되지만, 그것만으로 충분한 선택비를 얻기는 어렵다. 여기에서, SiOC계 막의 에칭에 있어서, Cu 배선의 배리어층(barrier layer)인 질화규소막을 하지 에칭 스톱층으로 하여 SiOC계 층간 절연막을 플라즈마 에칭할 때에, 하지막과의 선택비를 향상시키기 위해서, 처리 가스로서 C4F8/Ar/N2를 Ar의 유량비가 80% 이상이 되도록 이용하여, 질화규소막과의 선택비를 향상시키는 에칭 방법이 제안되고 있다[예를 들면, 일본 공개 특허 제 2002-270586 호 공보(특허문헌 2)].
또, 상기 특허문헌 2와 마찬가지로, 질화규소막을 하지 에칭 스톱층으로 하여 SiOC계 층간 절연막을 플라즈마 에칭할 때에, 처리 가스로서 CHF3/Ar/N2를 사용하는 제 1 단계의 에칭과, 처리 가스로서 C4F8/Ar/N2를 사용하는 제 2 단계의 에칭을 실행하여, 마스크와 질화규소막의 쌍방에 대한 선택비를 향상시키는 에칭 방법도 제안되고 있다[예를 들면, 일본 공개 특허 제 2004-87875 호 공보(특허문헌 3)].
그러나, 상술한 바와 같이 Cu 배선의 배리어층으로서 사용되고 있는 질화규소는 배리어성은 좋지만 비유전율이 7.0으로 높기 때문에, SiOC계 막 등의 Low-k막의 저유전율 특성을 충분히 활용하기 위해서는, 비유전율이 보다 낮은 배리어층이 요구되고 있으며, 그 하나로서 비유전율이 3.5인 탄화규소(SiC)가 있다.
이러한 저유전율 배리어층인 SiC를 하지 에칭 스톱층으로서 사용해서 상층의 피에칭층인 Low-k막을 에칭할 때에 있어서도, 충분한 에칭 선택비를 확보하는 것이 필요하다. 그러나, 이러한 SiC계의 막에는 통상 10% 정도의 산소가 포함되어 있어, SiOC계의 Low-k막과 조성이 근접하기 때문에, 상기 특허문헌 2 및 특허문헌 3에 기재된 플루오로카본계의 처리 가스를 사용하는 플라즈마 에칭을 채용해도, Low-k막과 SiC층의 에칭 선택비를 확보할 수 있는 마진(margin)이 좁아서, SiOC계 Low-k막을 고선택비 및 고에칭 속도로 에칭하기 곤란하다.
한편, 용량 결합형 평행 평판 플라즈마 에칭 장치를 이용하여 비아 홀(via hole)이나 콘택트 홀(contact hole) 등의 에칭을 실행할 경우에는, 홀 사이즈의 대 소에 의해 에칭 속도가 상이한, 소위 마이크로로딩(microloading) 효과가 생기는 문제가 있고, 에칭 깊이의 제어가 곤란하다는 문제가 있다. 특히, 가드 링(Guard Ring; GR)과 같은 큰 영역에서는 에칭이 빠른 것이 많고, CF계 라디칼(radical)이 들어가기 어려운 소형 비아(small via)에서는 에칭 속도가 느린 것이 많다.
에칭 스톱층이 없는 경우에는, 이러한 마이크로로딩의 문제에 의해 에칭의 깊이에 편차가 생기기 때문에, 다음 공정에도 편차를 가지고 가서, 전기 특성에 편차가 생기는 등의 문제가 있다. 에칭 스톱층이 있는 경우에도, 오버 에칭의 시간을 길게 연장해서 대응시킬 수 없기 때문에, 하지 손실(loss)이 더욱 커져서, 편차도 생기므로, 전기 특성에 영향을 미친다.
이러한 불량을 방지하기 위해서, 종래에는 에칭시의 챔버내의 압력을 저하시켜서 저압?저유량 프로세스를 실행하는 것 등의 소형 비아의 에칭을 촉진하는 방법으로 대응하여 왔다. 그러나, 저압?저유량의 프로세스의 방향은, 마스크?하지 선택비를 취하기 어려운 방향이기 때문에, 프로세스에 제한이 생길 우려가 있다.
(발명이 해결하고자 하는 과제)
본 발명은, 고선택비 및 고에칭 속도로 피에칭막을 에칭할 수 있는 플라즈마 에칭 장치, 플라즈마 에칭 방법을 제공하는 것을 목적으로 한다.
또한, 마이크로로딩 효과를 억제할 수 있는 플라즈마 에칭 장치, 플라즈마 에칭 방법을 제공하는 것을 목적으로 한다.
또한, 이러한 플라즈마 에칭 방법을 실행할 수 있는 컴퓨터 판독가능한 기억 매체를 제공하는 것을 목적으로 한다.
(과제를 해결하기 위한 수단)
본 발명의 제 1 관점에 의하면, 진공 배기가능한 처리 용기와, 처리 용기내에 배치된 제 1 전극과, 상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과, 상기 제 2 전극에 이온 인입용 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과, 상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛과, 상기 제 2 고주파 전력 공급 유닛을 제어하는 제어기를 구비하고, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛을, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작하도록 제어하는 플라즈마 에칭 장치가 제공된다.
상기 제 1 관점에 있어서, 피처리 기판으로서 하지막상에 피에칭막이 형성된 구조의 것을 사용하여, 그 피에칭막을 에칭하고, 그 후 오버 에칭하는 플라즈마 에칭 장치이며, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛을, 상기 에칭시에는, 동일 파워로 연속적으로 고주파 전력을 공급하는 연속 모드로 동작시키고, 상기 오버 에칭에 들어가기 전에, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 전환하게 제어하도록 할 수 있다.
이러한 구성에 있어서, 상기 제어기는, 피에칭막이 에칭되어서 오버 에칭으로 이행하는 시점에서, 상기 하지막상의 폴리머 두께가 이온 침입 깊이보다도 두껍게 되는 조건에서 상기 제 2 고주파 전력을 상기 제 1 파워와 상기 제 2 파워 사이에서 파워 변조시키는 것이며 좋고, 이 경우에, 상기 제어기는, 상기 제 1 파워, 상기 제 2 파워, 파워 변조의 주파수, 파워 변조의 듀티비((duty ratio), 상기 연속 모드로부터 상기 파워 변조 모드로 전환하는 타이밍중 적어도 하나를 제어하도록 할 수 있다.
또, 상기 제 1 관점에 있어서, 피처리 기판으로서 피에칭막상에 마스크층이 형성된 구조의 것을 사용하여, 그 마스크층을 마스크로서 피에칭막을 에칭하는 플라즈마 에칭 장치이며, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛을, 상기 피에칭막의 에칭시에, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 전환하게 제어하도록 할 수 있다.
본 발명의 제 2 관점에 의하면, 진공 배기가능한 처리 용기와, 처리 용기내에 배치된 제 1 전극과, 상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과, 상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과, 상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛과, 상기 제 2 고주파 전력 공급 유닛을 제어하는 제어기를 구비하고, 상기 제 2 고주파 전력 공급 유닛은 제 2 고주파 전원과 제 2 정합기를 갖고, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛을 제 1 파워와 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시키고, 그때에 상기 제 2 정합기에 있어서의 정합 동작을 상기 파워 변조에 동기시켜서 전환하도록 제어하는 플라즈마 에칭 장치가 제공된다.
상기 제 2 관점에 있어서, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 동작시키고 있을 때에, 제 1 파워시에는 상기 제 2 정합기의 동작을 실행하지 않도록 제어하고, 제 2 파워시에는 상기 제 2 정합기가 상기 제 2 고주파 전원의 내부 임피던스(impedance)와 상기 처리 용기의 플라즈마를 포함한 부하 임피던스가 일치하도록 하는 동작을 실행하도록 제어할 수 있으며, 이 경우에, 제 1 파워시에는, 상기 제 2 고주파 전원이 출력하는 파워값과, 상기 처리 용기의 플라즈마를 포함한 부하 임피던스에 의해 반사되는 파워값의 차분(差分)인, 상기 제 2 전극에 공급되는 파워값이 항상 일정하게 되도록, 상기 제 2 고주파 전원을 제어하도록 할 수 있다.
상기 제 1, 제 2 관점에 있어서, 상기 제 1 전극에 직류 전압을 인가하는 가변 직류 전원을 더 구비하는 것이 바람직하다.
본 발명의 제 3 관점 에 의하면, 진공 배기가능한 처리 용기와, 처리 용기내에 배치된 제 1 전극과, 상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과, 상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과, 상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛과, 상기 제 2 고주파 전력 공급 유닛을 제어하는 제어기를 구비하고, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛을 제 1 파워와 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시킬 때, 플라즈마 착화시에, 최초에 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시키고, 그 후 상기 파워 변조 모드로 전환하도록 제어하는 것을 특징으로 하는 플라즈마 에칭 장치가 제공된다.
상기 제 3 관점에 있어서, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시킨 후, 소정 기간후에 상기 제 1 고주파 전력 공급 유닛에 의한 전력 공급을 개시하고, 그 후 소정 기간후에 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 전환하도록 제어할 수 있다.
이 경우에, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛에 의한 동일 파워로 연속적인 고주파 전력의 공급 개시 시점에서는 소정의 파워보다도 낮은 파워로 전력 공급을 실행하고, 상기 제 1 고주파 전력 공급 유닛에 의한 고주파 전력의 공급 개시 시점에서도 소정의 파워보다도 낮은 파워로 전력 공급을 실행하고, 소정 시간후에 상기 제 1 및 제 2 고주파 전력 공급 유닛으로부터의 파워를 상승시키고, 그 후 소정 기간후에 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 전환하게 제어하도록 해도 좋다.
또한, 상기 플라즈마 처리 장치는, 상기 제 1 전극에 직류 전압을 인가하는 가변 직류 전원을 더 구비하고, 상기 제어기는, 상기 제 1 고주파 전력 공급 유닛에 의한 전력 공급을 개시하는 시점에서 상기 가변 직류 전원에 의한 전압 인가를 개시하게 제어하도록 할 수 있다.
이 경우에, 상기 가변 직류 전원에 의한 전압 인가를 개시할 때에, 전압을 서서히 상승시키도록 하는 것이 바람직하다. 더욱이, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시키고, 그 후 상기 파워 변조 모드로 전환할 때에, 파워를 서서히 상승시키도록 제어하는 것이 바람직하다.
상기 제 1 내지 제 3 관점에 있어서, 상기 제 1 고주파 전력의 주파수는 27MHz 내지 100MHz인 것이 바람직하고, 상기 제 2 고주파 전력의 주파수는 400kHz 내지 13.56MHz인 것이 바람직하다. 또한, 상기 제 2 고주파 전력 공급 유닛이 상기 파워 변조 모드일 때에, 상기 제 1 파워가 10W 내지 500W의 범위, 제 2 파워가 100W 내지 5000W의 범위인 것이 바람직하고, 파워 변조의 주파수가 0.25Hz 내지 100Hz의 범위인 것이 바람직하고, 파워 변조의 듀티비(제 2 파워의 시간/1주기의 전체의 시간)가 1% 내지 99%인 것이 바람직하다. 더욱이, 상기 제어기는, 상기 제 2 고주파 전력 공급 유닛의 파워 변조 모드에 동기시켜서, 또한 상기 제 1 고주파 전력 공급 유닛을, 제 3 파워와 제 4 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작하게 제어하도록 할 수도 있다.
본 발명의 제 4 관점에 의하면, 진공 배기가능한 처리 용기와, 처리 용기내에 배치된 제 1 전극과, 상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과, 상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과, 상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하는 플라즈마 에칭 장치를 사용하여, 상기 제 1 전극 및 상기 제 2 전극 사이에 처리 가스의 플라즈마를 생성해서 피처리 기판의 소정의 막을 에칭하는 플라즈마 에칭 방법으로서, 상기 제 2 고주파 전력 공급 유닛을, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시키는 플라즈마 에칭 방법을 제공한다.
상기 제 4 관점에 있어서, 피처리 기판으로서 하지막상에 피에칭막이 형성된 구조의 것을 사용하여, 상기 제 1 전극 및 상기 제 2 전극 사이에 처리 가스의 플라즈마를 생성해서 피처리 기판의 피에칭막을 에칭하고, 그 후 오버 에칭할 때에, 상기 제 2 고주파 전력 공급 유닛을, 상기 에칭시에는, 동일 파워로 연속적으로 고주파 전력을 공급하는 연속 모드로 동작시키고, 상기 오버 에칭에 들어가기 전에, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 전환하도록 할 수 있다.
이러한 구성에 있어서, 피에칭막이 에칭되어서 오버 에칭으로 이행하는 시점에서, 상기 하지막상의 폴리머 두께가 이온 침입 깊이보다도 두껍게 되는 조건에서 상기 제 2 고주파 전력을 상기 제 1 파워와 상기 제 2 파워 사이에서 파워 변조시키면 좋고, 이 경우에, 상기 제 1 파워, 상기 제 2 파워, 파워 변조의 주파수, 파워 변조의 듀티비, 상기 연속 모드로부터 상기 파워 변조 모드로 전환하는 타이밍중 적어도 하나를 제어하는 것에 의해, 상기 하지막상의 폴리머 두께가 이온 침입 깊이보다도 두껍게 되는 조건으로 할 수 있다. 또한, 상기 제 4 관점에 따른 방법은 피처리 기판의 피에칭막이 SiOC계 Low-k막이고, 하지막이 SiC막인 경우에 바람직하다.
또, 상기 제 4 관점에 있어서, 피처리 기판으로서 피에칭막상에 마스크층이 형성된 구조의 것을 사용하여, 그 마스크층을 마스크로 하여 피에칭막을 에칭할 때에, 상기 제 2 고주파 전력 공급 유닛을, 상기 피에칭막의 에칭시에, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 전환하도록 할 수 있다.
본 발명의 제 5 관점에 의하면, 진공 배기가능한 처리 용기와, 처리 용기내에 배치된 제 1 전극과, 상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과, 상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과, 상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하고, 상기 제 2 고주파 전력 공급 유닛은 제 2 고주파 전원과 제 2 정합기를 갖는 플라즈마 에칭 장치를 사용하여, 상기 제 1 전극 및 상기 제 2 전극 사이에 처리 가스의 플라즈마를 생성해서 피처리 기판의 소정의 막을 에칭하는 플라즈마 에칭 방법으로서, 상기 제 2 고주파 전력 공급 유닛을 제 1 파워와 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시킬 때, 상기 제 2 정합기에 있어서의 정합 동작을 상기 파워 변조에 동기시켜서 전환하도록 하는 플라즈마 에칭 방법을 제공한다.
상기 제 5 관점에서는, 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 동작시키고 있을 때에, 제 1 파워시에는 상기 제 2 정합기의 동작을 실행하지 않도록 하고, 제 2 파워시에는 상기 제 2 정합기가 상기 제 2 고주파 전원의 내부 임피던스와 상기 처리 용기의 플라즈마를 포함한 부하 임피던스가 일치하도록 하는 동작을 실행하도록 할 수 있고, 이 경우에, 제 1 파워시에는, 상기 제 2 고주파 전원이 출력하는 파워값과, 상기 처리 용기의 플라즈마를 포함한 부하 임피던스에 의해 반사되는 파워값의 차분인, 상기 제 2 전극에 공급되는 파워값이 항상 일정하게 되도록 할 수 있다.
상기 제 4, 제 5 관점에 있어서, 상기 플라즈마 처리 장치는 상기 제 1 전극에 직류 전압을 인가하는 가변 직류 전원을 더 구비하는 것이 바람직하다.
본 발명의 제 6 관점에 의하면, 진공 배기가능한 처리 용기와, 처리 용기내에 배치된 제 1 전극과, 상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과, 상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과, 상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하는 플라즈마 에칭 장치를 사용하여, 상기 제 1 전극 및 상기 제 2 전극 사이에 처리 가스의 플라즈마를 생성해서 피처리 기판의 소정의 막을 에칭하는 플라즈마 에칭 방법으로서, 상기 제 2 고주파 전력 공급 유닛을 제 1 파워와 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시킬 때, 플라즈마 착화시에, 최초에 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시키고, 그 후 상기 파워 변조 모드로 전환하는 것을 특징으로 하는 플라즈마 에칭 방법을 제공한다.
상기 제 6 관점에 있어서, 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시킨 후, 소정 기간후에 상기 제 1 고주파 전력 공급 유닛에 의한 전력 공급을 개시하고, 그 후 소정 기간후에 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 전환하도록 할 수 있다.
이 경우에, 상기 제 2 고주파 전력 공급 유닛에 의한 동일 파워로 연속적인 고주파 전력의 공급 개시 시점에서는 소정의 파워보다도 낮은 파워로 전력 공급을 실행하고, 상기 제 1 고주파 전력 공급 유닛에 의한 고주파 전력의 공급 개시 시점에서도 소정의 파워보다도 낮은 파워로 전력 공급을 실행하고, 소정 시간후에 상기 제 1 및 제 2 고주파 전력 공급 유닛으로부터의 파워를 상승시키고, 그 후 소정 기간후에 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 전환하도록 해도 좋다.
또한, 상기 플라즈마 처리 장치는 상기 제 1 전극에 직류 전압을 인가하는 가변 직류 전원을 더 구비하고, 상기 제 1 고주파 전력 공급 유닛에 의한 전력 공급을 개시하는 시점에서 상기 가변 직류 전원에 의한 전압 인가를 개시하도록 할 수 있다.
이 경우에, 상기 가변 직류 전원에 의한 전압 인가를 개시할 때에, 전압을 서서히 상승시키도록 하는 것이 바람직하다. 더욱이, 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시키고, 그 후 상기 파워 변조 모드로 전환할 때에, 파워를 서서히 상승시키는 것이 바람직하다.
상기 제 4 내지 제 6 관점에 있어서, 상기 제 1 고주파 전력의 주파수는 27MHz 내지 100MHz인 것이 바람직하고, 상기 제 2 고주파 전력의 주파수는 400kHz 내지 13.56MHz인 것이 바람직하다. 또한, 상기 제 2 고주파 전력 공급 유닛이 상기 파워 변조 모드일 때에, 상기 제 1 파워가 10W 내지 500W의 범위, 제 2 파워가 100W 내지 5000W의 범위인 것이 바람직하고, 파워 변조의 주파수가 0.25Hz 내지 100Hz의 범위인 것이 바람직하고, 파워 변조의 듀티비(제 2 파워의 시간/1주기의 전체의 시간)가 1% 내지 99%인 것이 바람직하다. 더욱이, 상기 제 2 고주파 전력 공급 유닛의 파워 변조 모드에 동기시켜서, 또한 상기 제 1 고주파 전력 공급 유닛을, 제 3 파워와 제 4 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시킬 수도 있다.
본 발명의 제 7 관점에 의하면, 진공 배기가능한 처리 용기와, 처리 용기내에 배치된 제 1 전극과, 상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과, 상기 제 2 전극에 이온 인입용의 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과, 상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하는 플라즈마 에칭 장치를 제어하기 위한 컴퓨터상에서 동작하는 제어 프로그램이 기억된 컴퓨터 판독가능한 기억 매체로서, 상기 제어 프로그램은, 실행시에 상기 제 2 고주파 전력 공급 유닛을, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시키도록, 컴퓨터에 상기 플라즈마 에칭 장치를 제어시키는 컴퓨터 판독가능한 기억 매체가 제공된다.
본 발명에 의하면, 이온 인입용의 제 2 고주파 전력 공급 유닛을, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시킴으로써, 소정의 막에 적절한 폴리머층이 퇴적된 상태로 할 수 있고, 에칭의 진행을 억제할 수 있으므로, 고선택비 및 고에칭 속도로 에칭을 실행할 수 있다. 예를 들면, 하지막상에 피에칭막이 형성된 구조의 피처리 기판에 있어서, 피에칭막을 에칭한 후, 오버 에칭할 때, 또는 피처리 기판으로서 피에칭막상에 마스크층이 형성된 구조의 것을 사용하여, 그 마스크층을 마스크로 하여 피에칭막을 에칭할 때에, 이 파워 변조 모드를 채용함으로써, 고선택비 및 고에칭 속도로 피에칭막을 에칭할 수 있다.
또, 상기 파워 변조 모드를 이용하는 것에 의해, 에칭 속도가 큰 가드 링(GR)과 같은 큰 영역의 에칭 속도를 억제할 수 있어, 프로세스 조건을 변경하지 않고 마이크로로딩 효과를 억제할 수 있다.
도 1은 본 발명의 제 1 실시형태에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도,
도 2는 도 1의 플라즈마 에칭 장치에 있어서 제 1 고주파 전원에 접속된 정합기의 구조를 도시하는 도면,
도 3은 제 2 고주파 전원의 파워 변조 모드의 전형예 및 그때의 설정 전압을 도시하는 도면,
도 4는 제 2 고주파 전원의 파워 변조 모드시에 있어서의 출력 전압 파형을 도시하는 도면,
도 5는 도 1의 플라즈마 에칭 장치에 있어서 제 2 고주파 전원에 접속된 정합기의 구조를 도시하는 도면,
도 6은 통상 모드로 바이어스 인가한 경우의 피에칭막인 SiOC계 Low-k막과 에칭 스톱막인 SiC막의 에칭 특성을 도시하는 그래프,
도 7은 에칭 스톱막인 SiC막상에 피에칭막인 SiOC계 Low-k막을 형성한 구조에 있어서 SiOC계 Low-k막을 에칭한 후에 오버 에칭할 때의 에칭 상태를 연속 모드로 바이어스를 인가했을 경우와 파워 변조 모드로 바이어스를 인가했을 경우를 비교해서 도시하는 도면,
도 8은 가로축에 바이어스 파워를 잡고 세로축에 폴리머층 두께를 잡아서, 폴리머층 두께와 이온 침입 깊이의 관계를 연속 모드로 바이어스를 인가했을 경우와 파워 변조 모드로 바이어스를 인가했을 경우를 비교해서 도시하는 그래프,
도 9는 파워 변조 모드로 바이어스 인가했을 경우의 피에칭막인 SiOC계 Low-k막과 에칭 스톱막인 SiC막의 에칭 특성을 도시하는 그래프,
도 10은 폴리머막 두께와 이온(Ar 이온)의 흡수 에너지의 관계를 시뮬레이션한 결과를 이온의 조사 에너지마다 도시한 그래프,
도 11은 본 실시형태의 효과를 확인한 실험의 결과를 도시하는 그래프,
도 12는 피에칭막의 마스크에 대한 선택비를 높이기 위해서 하부 전극의 고주파 바이어스에 파워 변조 모드를 이용한 예에 적용하기 위한 반도체 웨이퍼의 구조를 도시하는 단면도,
도 13은 마이크로로딩 효과를 완화하기 위해서 하부 전극의 고주파 바이어스에 파워 변조 모드를 이용한 예에 적용하기 위한 반도체 웨이퍼의 구조를 도시하는 단면도,
도 14a는 마이크로로딩 효과를 완화하는 효과를 확인하기 위한 에칭에 사용한 홀 형상 및 사이즈를 도시하는 도면,
도 14b는 마이크로로딩 효과를 완화하는 효과를 확인하기 위한 에칭에 사용한 홀 형상 및 사이즈를 도시하는 도면,
도 14c는 마이크로로딩 효과를 완화하는 효과를 확인하기 위한 에칭에 사용한 홀 형상 및 사이즈를 도시하는 도면,
도 15는 마이크로로딩 효과를 완화하기 위해서 하부 전극의 고주파 바이어스에 파워 변조 모드를 이용했을 경우 및 연속 모드를 이용했을 경우에 있어서의 도 14의 홀 형상의 에칭 속도를 규격화한 것을 도시하는 그래프,
도 16은 고주파 전력 및 직류 전압의 바람직한 인가 타이밍 및 인가 방법의 예에 대해서 도시하는 도면,
도 17은 고주파 전력 및 직류 전압의 바람직한 인가 타이밍 및 인가 방법의 다른 예에 대해서 도시하는 도면,
도 18은 고주파 전력 및 직류 전압의 바람직한 인가 타이밍 및 인가 방법의 또 다른 예에 대해서 도시하는 도면,
도 19a는 제 2 고주파 전원과 함께 제 1 고주파 전원도 파워 변조 모드로 한 예를 도시하는 도면,
도 19b는 제 2 고주파 전원과 함께 제 1 고주파 전원도 파워 변조 모드로 한 예를 도시하는 도면,
도 20은 본 발명의 제 2 실시형태에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도.
이하, 첨부 도면을 참조해서 본 발명의 실시형태에 대해서 구체적으로 설명한다.
우선, 본 발명의 제 1 실시형태에 대해서 설명한다.
도 1은 본 발명의 제 1 실시형태에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도이다.
이 플라즈마 에칭 장치는, 용량 결합형 평행 평판 플라즈마 에칭 장치로서 구성되어 있고, 예를 들어 표면이 양극 산화 처리된 알루미늄으로 이루어지는 대략 원통형의 챔버(처리 용기)(10)를 갖고 있다. 이 챔버(10)는 보안 접지되어 있다.
챔버(10)의 바닥부에는, 세라믹스 등으로 이루어지는 절연판(12)을 거쳐서 원기둥 형상의 서셉터 지지대(14)가 배치되고, 이 서셉터 지지대(14)상에 예를 들어 알루미늄으로 이루어지는 서셉터(16)가 마련되어 있다. 서셉터(16)는 하부 전극을 구성하고, 그 위에 피처리 기판인 반도체 웨이퍼(W)가 탑재된다.
서셉터(16)의 상면에는, 반도체 웨이퍼(W)를 정전력에 의해 흡착 유지하는 정전 척(18)이 마련되어 있다. 이 정전 척(18)은, 도전막으로 이루어지는 전극(20)을 한쌍의 절연층 또는 절연 시트 사이에 끼운 구조를 갖는 것이며, 전극(20)에는 직류 전원(22)이 전기적으로 접속되어 있다. 그리고, 직류 전원(22)으로부터의 직류 전압에 의해 생긴 쿨롱력 등의 정전력에 의해 반도체 웨이퍼(W)가 정전 척(18)에 흡착 유지된다.
정전 척(18)[반도체 웨이퍼(W)]의 주위에서 서셉터(16)의 상면에는, 에칭의 균일성을 향상시키기 위한, 예를 들어 실리콘으로 이루어지는 도전성의 포커스 링(보정 링)(24)이 배치되어 있다. 서셉터(16) 및 서셉터 지지대(14)의 측면에는, 예를 들어 석영으로 이루어지는 원통형의 내벽 부재(26)가 마련되어 있다.
서셉터 지지대(14)의 내부에는, 예를 들어 원주상에 냉매실(28)이 마련되어 있다. 이 냉매실에는, 외부에 마련된 도시하지 않는 칠러 유닛(chiller unit)으로부터 배관(30a, 30b)을 거쳐서 소정 온도의 냉매, 예를 들어 냉각수가 순환 공급되고, 냉매의 온도에 의해 서셉터상의 반도체 웨이퍼(W)의 처리 온도를 제어할 수 있다.
또한, 도시하지 않는 열전도 가스 공급 기구로부터의 열전도 가스, 예를 들어 He 가스가 가스 공급 라인(32)을 거쳐서 정전 척(18)의 상면과 반도체 웨이퍼(W)의 이면 사이에 공급된다.
하부 전극인 서셉터(16)의 상방에는, 서셉터(16)와 대향하도록 평행하게 상부 전극(34)이 마련되어 있다. 그리고, 상부 및 하부 전극(34, 16) 사이의 공간이 플라즈마 생성 공간이 된다. 상부 전극(34)은 하부 전극인 서셉터(16)상의 반도체 웨이퍼(W)와 대향해서 플라즈마 생성 공간과 접하는 면, 즉 대향면을 형성한다.
이 상부 전극(34)은, 절연성 차폐 부재(42)를 거쳐서 챔버(10)의 상부에 지지되어 있고, 서셉터(16)와의 대향면을 구성하고 또한 다수의 토출 구멍(37)을 갖는 전극판(36)과, 이 전극판(36)을 착탈가능하게 지지하고, 도전성 재료, 예를 들어 표면이 양극 산화 처리된 알루미늄으로 이루어지는 수냉 구조의 전극 지지체(38)에 의해 구성되어 있다. 전극판(36)은 쥴 열(Joule's heat)이 적은 저저항의 도전체 또는 반도체가 바람직하고, 또한 후술하는 바와 같이 레지스트를 강화하는 관점에서는 실리콘 함유 물질이 바람직하다. 이러한 관점에서, 전극판(36)은 실리콘이나 SiC로 구성되는 것이 바람직하다. 전극 지지체(38)의 내부에는, 가스 확산실(40)이 마련되고, 이 가스 확산실(40)로부터는 가스 토출 구멍(37)에 연통하는 다수의 가스 통류 구멍(41)이 하방으로 연장되어 있다.
전극 지지체(38)에는 가스 확산실(40)에 처리 가스를 인도하는 가스 도입구(62)가 형성되어 있고, 이 가스 도입구(62)에는 가스 공급관(64)이 접속되고, 가스 공급관(64)에는 처리 가스 공급원(66)이 접속되어 있다. 가스 공급관(64)에는, 상류측으로부터 순차적으로 매스 플로우 콘트롤러(MFC)(68) 및 개폐 밸브(70)가 마련되어 있다[MFC 대신에 FCN이어도 좋음]. 그리고, 처리 가스 공급원(66)으로부터, 에칭을 위한 처리 가스로서, 예를 들어 C4F8 가스와 같은 플루오로카본 가스(CxFy)가 가스 공급관(64)으로부터 가스 확산실(40)에 도달하고, 가스 통류 구멍(41) 및 가스 토출 구멍(37)을 거쳐서 샤워 형상으로 플라즈마 생성 공간에 토출된다. 즉, 상부 전극(34)은 처리 가스를 공급하기 위한 샤워헤드로서 기능한다.
상부 전극(34)에는, 제 1 정합기(46) 및 급전봉(44)을 거쳐서 제 1 고주파 전원(48)이 전기적으로 접속되어 있다. 제 1 고주파 전원(48)은 27MHz 내지 100MHz의 주파수, 예를 들어 60MHz의 고주파 전력을 출력한다. 제 1 정합기(46)는, 제 1 고주파 전원(48)의 내부(또는 출력) 임피던스에 부하 임피던스를 정합시키는 것으로서, 챔버(10)내에 플라즈마가 생성되어 있을 때에 제 1 고주파 전원(48)의 출력 임피던스와 부하 임피던스가 외견상 일치하도록 기능한다. 제 1 정합기(46)의 출력 단자는 급전봉(44)의 상단에 접속되어 있다.
한편, 상기 상부 전극(34)에는, 제 1 고주파 전원(48) 이외에, 가변 직류 전원(50)이 전기적으로 접속되어 있다. 가변 직류 전원(50)은 이극(bipolar) 전원이어도 좋다. 구체적으로는, 이 가변 직류 전원(50)은, 상기 제 1 정합기(46) 및 급전봉(44)을 거쳐서 상부 전극(34)에 접속되어 있고, 온?오프 스위치(52)에 의해 급전의 온?오프가 가능하게 되어 있다. 가변 직류 전원(50)의 극성 및 전류?전압 및 온?오프 스위치(52)의 온?오프는 콘트롤러(51)에 의해 제어되도록 되어 있다.
제 1 정합기(46)는, 도 2에 도시하는 바와 같이, 제 1 고주파 전원(48)의 급전 라인(49)으로부터 분기해서 마련된 제 1 가변 콘덴서(54)와, 급전 라인(49)의 그 분기점의 하류측에 마련된 제 2 가변 콘덴서(56)를 갖고 있으며, 이들에 의해 상기 기능을 발휘한다. 또한, 제 1 정합기(46)에는, 직류 전압 전류(이하, 단지 "직류 전압"이라 함)가 상부 전극(34)에 유효하게 공급가능하도록, 제 1 고주파 전원(48)으로부터의 고주파 전력(예를 들면, 60MHz) 및 후술하는 제 2 고주파 전원으로부터의 고주파 전력(예를 들면, 2MHz)을 트랩하는 필터(58)가 마련되어 있다. 즉, 가변 직류 전원(50)으로부터의 직류 전류가 필터(58)를 거쳐서 급전 라인(49)에 접속된다. 이 필터(58)는 코일(59)과 콘덴서(60)로 구성되어 있고, 이들에 의해 제 1 고주파 전원(48)으로부터의 고주파 전력 및 후술하는 제 2 고주파 전원으로부터의 고주파 전력이 트랩된다.
챔버(10)의 측벽으로부터 상부 전극(34)의 높이 위치보다도 상방으로 연장하도록 원통형의 접지 도체(10a)가 마련되어 있고, 이 원통형 접지 도체(10a)의 천장벽 부분은 통형상의 절연 부재(44a)에 의해 상부 급전봉(44)으로부터 전기적으로 절연되어 있다.
하부 전극인 서셉터(16)에는, 제 2 정합기(88)를 거쳐서 제 2 고주파 전원(90)이 전기적으로 접속되어 있다. 이 제 2 고주파 전원(90)으로부터 하부 전극 서셉터(16)에 고주파 전력이 공급되는 것에 의해, 반도체 웨이퍼(W)에 바이어스(bias)가 인가되어 반도체 웨이퍼(W)에 이온이 인입된다. 제 2 고주파 전원(90)은 400kHz 내지 13.56MHz의 범위내의 주파수, 예를 들어 2MHz의 고주파 전력을 출 력한다. 이 제 2 고주파 전원(90)에는, 제 2 고주파 전원(90)을 제어하는 RF 제어기(95)가 접속되어 있다. 이 RF 제어기(95)는, 제 2 고주파 전원(90)으로부터의 고주파 전력이 반도체 웨이퍼(W)의 피에칭막에 퇴적물(deposit)이 퇴적하는 제 1 파워와 반도체 웨이퍼(W)의 피에칭막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하도록 파워 제어를 실행하는 것이 가능하게 되어 있고, 통상의 연속 모드와 파워 제어 모드 사이에서 전환 가능하게 되어 있다. 파워 변조의 전형예로서는, 도 3에 도시하는 바와 같은 펄스 형상을 들 수 있지만, 이것에 한정하는 것은 아니다. 또, 이 예에서는 펄스의 듀티비가 50%이다. 또한, 이때의 출력 전압 파형은 도 4와 같이 된다. 1주기중의 최대 전압값과 최소 전압값의 차이가 Vpp가 된다. 또, 도 4중의 Pset는 설정 전압을 나타낸다.
또, 이 RF 제어기(95)는 제 2 정합기(88)에도 접속되어 있어, 제 2 정합기(88)도 제어한다. 또한, RF 제어기(95)는 제 1 고주파 전원(48) 및 제 1 정합기(46)에도 접속되어 있어, 이들도 제어 가능하게 되어 있다.
제 2 정합기(88)는 제 2 고주파 전원(90)의 내부(또는 출력) 임피던스에 부하 임피던스를 조정시키는 위한 것으로서, 챔버(10)내에 플라즈마가 생성되어 있을 때에 제 2 고주파 전원(90)의 내부 임피던스와 챔버(10)내의 플라즈마를 포함한 부하 임피던스가 외견상 일치하도록 기능한다. 이 제 2 정합기(88)는, 도 5에 도시하는 바와 같이, 제 2 고주파 전원(90)의 급전 라인(96)으로부터 분기해서 마련된 제 1 가변 콘덴서(97)와, 급전 라인(96)의 그 분기점의 제 2 고주파 전원(90)측에 마련된 제 2 가변 콘덴서(98)와, 분기점의 반대측에 마련된 코일(99)을 갖고 있다. 본 실시형태의 경우, 통상의 플라즈마 에칭과 달리, 제 2 고주파 전원(90)은, 고주파 전력이 소정 주기로 파워 변조되는 파워 변조 모드로 동작하기 때문에, 이 파워 변조 모드시에, RF 제어기(95)가 제 2 정합기(88)에 있어서의 정합 동작을 상기 파워 변조에 동기시켜서 전환하도록 제어한다. 이 경우에, RF 제어기(95)는, 제 2 고주파 전력 공급 유닛(90)을 파워 변조 모드로 동작시키고 있을 때에, 제 1 파워시에는 제 2 정합기(88)의 동작을 실행하지 않도록 제어하고, 제 2 파워시에는 제 2 정합기(88)가 제 2 고주파 전원(90)의 내부 임피던스와 챔버(10)내의 플라즈마를 포함한 부하 임피던스가 일치하도록 하는 동작을 실행하도록 제어한다. 구체적으로는, 상대적으로 파워가 큰 제 2 파워시에만 제 1 가변 콘덴서(97)의 용량(C1)과 제 2 가변 콘덴서(98)의 용량(C2)을 독립적으로 제어하고, 제 1 파워시에는 용량(C1), 용량(C2)을 각각 고정으로 한다. 이 경우에, 통상의 정합기와 같이 순방향의 파워(Pf)만의 제어에서는 헌팅(hunting)이 생기기 때문에, 제 2 정합기(88)로서 반사 파워(Pr)도 가미한 로드 파워(load power) PL(=Pf-Pr) 제어를 갖는 것을 사용한다. 구체적으로는, 제 1 파워시에는, 제 2 고주파 전원(90)이 출력하는 파워값(Pf)과, 챔버(10)내의 플라즈마를 포함한 부하 임피던스에 의해 반사되는 파워값(Pr)의 차분인, 하부 전극인 서셉터(16)에 공급되는 파워값(PL)이 항상 일정하게 되도록 제 2 고주파 전원(90)을 제어한다. 제 1 고주파 전원(48)에 대해서도, 제 2 고주파 전원으로부터의 고주파 전력이 파워 변조되고 있는 영향을 받기 때문에, 마찬가지로 로드 파워 제어를 갖는 것으로서 동일한 제어를 실행하는 것이 바람직하다.
상부 전극(34)에는, 제 1 고주파 전원(48)으로부터의 고주파 전력(60MHz)은 통과시키지 않고 제 2 고주파 전원(90)으로부터의 고주파 전력(2MHz)을 그라운드로 통과시키기 위한 저역 통과 필터(low-pass filter; LPF)(92)가 전기적으로 접속되어 있다. 이 저역 통과 필터(LPF)(92)는, 적합하게는 LR 필터 또는 LC 필터로 구성되지만, 1개의 도선만으로 제 1 고주파 전원(48)으로부터의 고주파 전력(60MHz)에 대해서는 충분히 큰 리액턴스(reactance)를 부여할 수 있으므로, 그것으로 마무리할 수도 있다. 한편, 하부 전극인 서셉터(16)에는, 제 1 고주파 전원(48)으로부터의 고주파 전력(60MHz)을 그라운드로 통과시키기 위한 고역 통과 필터(high pass filter; HPF)(94)가 전기적으로 접속되어 있다.
챔버(10)의 바닥부에는 배기구(80)가 마련되고, 이 배기구(80)에 배기관(82) 을 거쳐서 배기 장치(84)가 접속되어 있다. 배기 장치(84)는 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 챔버(10)내를 원하는 진공도까지 감압 가능하게 되어 있다. 또한, 챔버(10)의 측벽에는 반도체 웨이퍼(W)의 반입출구(85)가 마련되어 있으며, 이 반입출구(85)는 게이트 밸브(86)에 의해 개폐 가능하게 되어 있다. 또한, 챔버(10)의 내벽을 따라 챔버(10)에 에칭 부생성물(퇴적물)이 부착되는 것을 방지하기 위한 데포 실드(11)가 착탈가능하게 마련되어 있다. 즉, 데포 실드(11)가 챔버 벽을 구성하고 있다. 또한, 데포 실드(11)는 내벽 부재(26)의 외주에도 마련되어 있다. 챔버(10)의 바닥부의 챔버 벽측의 데포 실드(11)와 내벽 부재(26)측의 데포 실드(11) 사이에는 배기 플레이트(83)가 마련되어 있다. 데포 실드(11) 및 배기 플레이트(83)로서는, 알루미늄재에 Y2O3 등의 세라믹스를 피복한 것을 바 람직하게 사용할 수 있다.
데포 실드(11)의 챔버 내벽을 구성하는 부분의 웨이퍼(W)와 거의 동일한 높이의 부분에는, 그라운드에 DC적으로 접속된 도전성 부재(GND 블록)(91)가 마련되어 있고, 이로써 후술하는 바와 같은 이상 방전 방지 효과를 발휘한다. 또, 이 도전성 부재(91)는 플라즈마 생성 영역에 마련되어 있으면, 그 위치는 도 1의 위치에 한정되지 않고, 예를 들어 서셉터(16)의 주위에 마련하는 등, 서셉터(16)측에 마련해도 좋고, 또한 상부 전극(34)의 외측에 링형상으로 마련하는 등, 상부 전극 근방에 마련해도 좋다.
플라즈마 처리 장치의 각 구성부, 예를 들어 전원계나 가스 공급계, 구동계, 더욱이 RF 제어기(95) 등은, 제어부(전체 제어 장치)(100)에 접속되어서 제어되는 구성으로 되어 있다. 또, 제어부(100)에는, 공정 관리자가 플라즈마 처리 장치를 관리하기 위해서 명령의 입력 조작 등을 실행하는 키보드나, 플라즈마 처리 장치의 가동 상황을 가시화해서 표시하는 디스플레이 등으로 이루어지는 유저 인터페이스(101)가 접속되어 있다.
또한, 제어부(100)에는, 플라즈마 처리 장치에서 실행되는 각종 처리를 제어부(100)의 제어에 의해 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 처리 장치의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉 레시피(recipe)가 저장된 기억부(102)가 접속되어 있다. 레시피는 기억부(102)내의 기억 매체에 기억되어 있다. 기억 매체는 하드 디스크나 반도체 메모리여도 좋고, CDROM, DVD, 플래시 메모리(flash memory) 등의 가반성(可搬性)의 것이어도 좋다. 또한, 다른 장치로부터, 예를 들어 전용 회선을 거쳐서 레시피를 적절하게 전송시키도록 해도 좋다.
그리고, 필요에 따라서, 유저 인터페이스(101)로부터의 지시 등에 의해 임의의 레시피를 기억부(102)로부터 호출해서 제어부(100)에 실행시킴으로써, 제어부(100)의 제어하에서, 플라즈마 처리 장치에서의 원하는 처리가 실행된다. 또, 본 발명의 실시형태에서 지칭하는 플라즈마 처리 장치(플라즈마 에칭 장치)는 이러한 제어부(100)를 포함하는 것으로 한다.
이렇게 구성되는 플라즈마 처리 장치에 있어서 에칭 처리를 실행할 때는, 우선 게이트 밸브(86)를 개방 상태로 하고, 반입출구(85)를 거쳐서 에칭 대상인 반도체 웨이퍼(W)를 챔버(10)내로 반입하여, 서셉터(16)상에 탑재한다. 그리고, 처리 가스 공급원(66)으로부터 에칭을 위한 처리 가스를 소정의 유량으로 가스 확산실(40)에 공급하고, 가스 통류 구멍(41) 및 가스 통류 구멍(37)을 거쳐서 챔버(10)내에 공급하면서, 배기 장치(84)에 의해 챔버(10)내를 배기하여, 그내의 압력을 예를 들어 0.1Pa 내지 150Pa의 범위내의 설정값으로 한다. 여기에서, 처리 가스로서는, 종래에 사용되고 있던 각종의 것을 채용할 수 있고, 예를 들어 C4F8 가스와 같은 플루오로카본 가스(CxFy)로 대표되는 할로겐 원소를 함유하는 가스를 바람직하게 사용할 수 있다. 더욱이, Ar 가스나 O2 가스 등의 다른 가스가 포함되어 있어도 좋다.
이와 같이 챔버(10)내에 에칭 가스를 도입한 상태에서, 제 1 고주파 전원(48)으로부터 플라즈마 생성용의 고주파 전력을 상부 전극(34)에 인가하는 동시 에, 제 2 고주파 전원(90)으로부터 이온 인입용의 고주파 전력을 하부 전극인 서셉터(16)에 인가한다. 그리고, 가변 직류 전원(50)으로부터 소정의 직류 전압을 상부 전극(34)에 인가한다. 더욱이, 정전 척(18)을 위한 직류 전원(22)으로부터 직류 전압을 정전 척(18)의 전극(20)에 인가하여, 반도체 웨이퍼(W)를 정전 척(18)에 고정한다.
상부 전극(34)의 전극판(36)에 형성된 가스 토출 구멍(37)으로부터 토출된 처리 가스는, 고주파 전력에 의해 생성된 상부 전극(34)과 하부 전극인 서셉터(16)사이의 글로우(glow) 방전내에서 플라즈마화하고, 이 플라즈마로 생성되는 라디칼이나 이온에 의해 반도체 웨이퍼(W)의 피처리면이 에칭된다. 또, 이렇게 상부 전극(34)에 플라즈마 형성용의 제 1 고주파 전력을 공급하고, 하부 전극인 서셉터(16)에 이온 인입용의 제 2 고주파 전력을 공급하므로, 플라즈마의 제어 마진을 넓힐 수 있다.
본 실시형태에서는, 이렇게 하여 플라즈마가 형성될 때에, 상부 전극(34)에 27MHz 이상의 높은 주파수 영역의 고주파 전력을 공급하고 있으므로, 플라즈마를 바람직한 상태로 고밀도화할 수 있어, 보다 저압의 조건하에서도 고밀도 플라즈마를 형성할 수 있다.
또, 이렇게 플라즈마가 형성될 때에, 콘트롤러(51)에 의해 가변 직류 전원(50)으로부터 상부 전극(34)에 인가되는 직류 전압의 극성 및 크기를 제어하는 것에 의해, 인가 전극인 상부 전극(34)의 표면, 즉 전극판(36)의 표면에 대한 소정의 (적절한) 스패터 효과(spatter effect)가 얻어지는 정도로 그 표면의 자기 바이 어스 전압(Vdc)이 깊어지도록, 즉 상부 전극(34) 표면에서의 Vdc의 절대값이 커지도록 할 수 있다. 제 1 고주파 전원(48)으로부터 인가되는 고주파 전력의 파워가 낮을 경우에, 상부 전극(34)에 폴리머가 부착되지만, 가변 직류 전원(50)으로부터 적절한 직류 전압을 인가하는 것에 의해, 상부 전극(34)에 부착된 폴리머를 스패터링해서 상부 전극(34)의 표면을 청정화할 수 있고, 또한 반도체 웨이퍼(W)상에 최적량의 폴리머를 공급할 수 있다. 이로써, 포토레지스트막의 표면 거침을 해소할 수 있고, 또한 하지막과의 사이의 에칭 선택비를 높게 할 수 있다.
또, 상부 전극(34)에 직류 전압을 인가하는 것에 의해, 상부 전극(34) 자체를 스패터링해서 포토레지스트막 표면에 카바이드(carbide)를 형성해서 포토레지스트막을 강화하는 것이나, 자기 바이어스 전압(Vdc)이 깊어지는 것에 의해, 상부 전극(34)측에 형성되는 플라즈마 시스(sheath)의 두께가 두꺼워져서 플라즈마가 축소화되는 것에 의한, 반도체 웨이퍼(W)상의 실효 저항 시간의 증가 및 플라즈마가 웨이퍼(W)상에 집중하는 것에 의한 해리 공간의 감소에 의해, 플루오로카본계의 처리 가스의 해리 억제에 수반하는 포토레지스트막의 내에칭성을 향상하는 효과를 얻을 수 있다. 또한, 상부 전극(34)에 직류 전압을 인가하는 것에 의해, 상부 전극(34)근방에 생성된 전자가 처리 공간의 연직방향으로 가속되어, 쉐이딩(shading) 효과를 억제해서 보잉이 없는 양호한 가공 형상을 얻을 수 있는 효과도 얻을 수 있다. 또, 가변 직류 전원(50)으로부터의 인가 전압을 제어하는 대신에, 인가 전류 또는 인가 전력을 제어하도록 해도 좋다.
이와 같이 상부 전극(34)에 직류 전압을 인가하는 것에 의해, 각종의 효과가 얻어지고, 특히 피처리 기판인 반도체 웨이퍼(W)에 적절하게 폴리머를 공급하는 효과에 의해, 피에칭막과 하지의 에칭 스톱막과의 선택비를 크게 하는 것이 가능해지지만, 저유전율 배리어 막인 SiC막을 하지 에칭 스톱막으로서 사용해서 상층의 피에칭막인 SiOC계 Low-k막을 에칭할 경우에는, 양자의 조성이 매우 근접하고 있기 때문에, 이와 같이 직류 전압을 인가해도 충분한 에칭 선택비를 확보할 수 있는 조건의 마진이 매우 좁다.
이것을 구체적으로 설명한다.
도 6은 가로축에 제 2 고주파 전원(90)으로부터 인가되는 바이어스용 고주파 전력(13.56MHz)의 자기 바이어스 전압(Vdc)을 잡고, 세로축에 에칭 속도를 잡아서, SiOC계 Low-k막과 SiC막의 에칭 특성을 도시하는 그래프이다. 이때, 처리 가스로서는 C4F8, Ar, N2를 사용하고, 이들의 유량을 각각 10mL/min(sccm), 500mL/min(sccm), 100mL/min(sccm)으로 하고, 압력을 6Pa로 하고, 제 1 고주파 전원(48)으로서 주파수가 60MHz의 것을 이용하여 파워를 1000W로 하고, 제 2 고주파 전원(90)으로서 주파수가 13.56MHz의 것을 이용하여 파워를 2000W로 하였다. 챔버내에 플라즈마를 생성하면, 상부 전극으로부터 반도체 웨이퍼에 폴리머가 공급되어 바이어스가 인가되지 않고 있으면 퇴적물이 생기지만, 자기 바이어스 전압(Vdc)의 절대값을 높여 가면 에칭이 진행하게 된다. 이때, SiOC계 Low-k막을 고밀도로 에칭하기 위해서는, SiOC계 Low-k막이 에칭되고, 하지의 SiC막은 에칭되지 않도록 하는 Vdc가 요구된다. 그러나, SiOC계 Low-k막과 SiC막은 조성이 근접하고 있기 때문에, 도면에 도시하는 바와 같이 에칭 거동이 유사하여, 통상의 에칭에서는 고선 택비를 취하기 위한 에칭을 실행할 수 있는 마진은 매우 좁아서, 에칭 속도를 높일 수 없다.
에칭은 에칭 대상막에 바이어스를 인가해서 이온을 인입하는 것에 의해 진행하지만, 상술한 바와 같이 에칭 대상막에는 폴리머가 공급되어서 퇴적되기 때문에, 에칭을 진행시키기 위해서는 폴리머의 두께보다도 이온 침입 깊이 쪽이 커지도록 Vdc(웨이퍼에 입사하는 이온 에너지)를 선택하는 것이 필요하다. 한편, 에칭 대상막이 에칭된 후에는, 하지막에 퇴적되는 폴리머의 두께가 이온 침입 깊이보다도 두꺼워지면, 하지막의 에칭이 스톱하여, 선택성이 높은 에칭이 실현된다. 그러나, 도 6과 같이 에칭 거동이 피에칭막과 하지막에서 근접하여 있는 경우에는, 높은 에칭 속도로 피에칭막을 에칭한 후, 연속적으로 오버 에칭을 실행하면, 피에칭막이 제거된 시점에서 하지막상의 폴리머 두께가 이온 침입 깊이보다도 얇아져서, 하지막이 에칭되어 버린다.
여기에서, 본 실시형태에서는, 피에칭막이 제거되는 시점, 즉 피에칭막이 에칭되어서 오버 에칭으로 이행하는 시점에 있어서의 폴리머 두께가 이온 침입 깊이보다도 두껍게 되도록, 피에칭막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 피에칭막이 에칭되는 제 2 파워 사이에서 소정 주기로 파워 변조하도록 한다. 이때는, 제어부(100)의 지령에 의해 RF 제어기(95)가 제 2 고주파 전원(90)을 통상 모드로부터 파워 변조 모드에 전환한다. 이로써, 제 1 파워로 폴리머를 퇴적시키고, 제 2 파워로 에칭을 진행시켜서, 오버 에칭 개시시에 이온 침입 깊이보다도 두꺼운 폴리머층이 형성되도록 하여, 에칭 스톱막을 크게 침식시키는 일없이 에칭을 정지시킬 수 있다.
이상을 도면을 참조해서 상세하게 설명한다.
여기에서는, Cu 배선층(201)상에 에칭 스톱막인 SiC막(202)이 형성되고, 그 위에 피에칭막인 SiOC계 Low-k막(203)이 형성되고, 포토레지스트막(204)을 마스크로 하여 SiOC계 Low-k막(203)을 에칭할 경우에 대해서 설명한다.
우선, 종래의 연속 모드의 경우에는, 도 7의 (a)에 도시하는 메인 에칭 말기의 상태로부터 도 7의 (b)에 도시하는 바와 같은 SiOC계 Low-k막(203)이 제거된 순간(오버 에칭을 개시하는 순간)은, 홀 바닥의 하지의 SiC막(202)상에 형성되어 있는 폴리머층(205)의 두께가 얇아, SiC막(202)이 에칭되어 침식되어 버린다. 그리고, 도 7의 (c)에 도시하는 바와 같이 SiC막(202)이 상당히 침식된 시점에서 오버 에칭이 포화된다(오버 에칭 25%).
이에 대하여, 도 7의 (a)에 도시하는 메인 에칭 말기의 상태에서 파워 변조 모드로 전환하는 것에 의해, 도 7의 (d)에 도시하는 오버 에칭의 순간의 제 1 파워시에는, 홀 바닥의 SiC막(202)상의 폴리머층(205)의 두께가 두꺼워지고, 도 7의 (e)에 도시하는 바와 같이, 그 후 제 2 파워의 에칭 모드로 되어도 이온 침입 깊이가 폴리머층(205)의 두께보다도 작아지기 때문에, 도 7의 (f)에 도시하는 바와 같이 에칭 스톱막인 SiC막(202)은 거의 침식되지 않는다.
도 8은 가로축에 바이어스 파워를 잡고 세로축에 폴리머층 두께를 잡아서, 상기 현상을 모식적으로 정리한 그래프이다. 또한, 도 8중에, 폴리머층(205) 또는 SiC막(202)으로의 이온의 침입 깊이도 묘사하고 있다(스케일은 폴리머 깊이와 동일 함). 이 도면에 도시하는 바와 같이, 연속 모드의 경우에는, SiOC계 Low-k막(203)과 SiC막(202)의 에칭 특성이 유사한 관계상, 상술한 바와 같이 이온의 침입 깊이가 SiC막(202)상의 폴리머층(205)의 두께보다 작은, SiC막(202)이 침식되지 않는 영역은 매우 좁고, 더욱이 바이어스 파워를 작게 해서 SiOC계 Low-k막(203)의 에칭 속도를 작게 하지 않을 수 없지만, 오버 에칭 직전에 바이어스 파워를 파워 변조할 경우에는, SiC막(202)이 침식되지 않는 영역을 넓힐 수 있고, 더욱이 바이어스 파워를 크게 해서 SiOC계 Low-k막(203)의 에칭 속도를 높게 할 수 있다.
이러한 바이어스의 파워 변조를 채용했을 경우의 프로세스 마진을 도 6의 연속 모드의 경우와 비교해서 도 9에 도시한다. 이 도면에 도시하는 바와 같이, 오버 에칭 직전에 파워 변조를 채용하는 것에 의해, 프로세스 마진이 넓어져서, Vdc가 -800V 부근의 고에칭 속도의 에칭에서도, 하지의 SiC막을 거의 에칭하지 않고 에칭 대상막인 SiOC계 Low-k막을 에칭 가능하다는 것을 알 수 있다. 즉, 하지막에 대한 선택비가 높고 또한 고에칭 속도로 에칭 대상막인 SiOC계 Low-k막을 에칭할 수 있다.
도 10은 폴리머 막 두께와 이온(Ar 이온)의 흡수 에너지의 관계를 시뮬레이션한 결과를 이온의 조사 에너지마다 도시한 그래프이다. 통상의 에칭의 경우, 이온 조사 에너지 분포는 500eV 내지 1keV까지의 범위가 대부분인 것이 알려져 있고, 도 10으로부터 폴리머 두께가 1keV에 있어서의 이온 에너지 흡수 범위는 5nm 정도까지이기 때문에, 폴리머 두께가 수nm 이상, 바람직하게는 5nm 이상이면 이온의 침입 깊이를 폴리머층의 두께보다도 작게 할 수 있다는 것이 이해된다.
본 실시형태에 있어서, 제 2 고주파 전원(90)으로부터의 고주파 전력을 파워 변조할 때의 파형의 전형예로서는 상술한 바와 같이 펄스 형상을 들 수 있다. 단지, 이것에 한정하는 것은 아니고 제 1 파워와 제 2 파워에서 변조하고 있으면, 사인 곡선 등의 다른 파형이어도 좋다.
파워 변조시에는, 파워가 낮은 쪽의 제 1 파워는 0보다도 큰 것이 바람직하다. 파워를 0으로 하는 것보다도 어느 정도의 파워를 유지하고 있는 쪽이 폴리머의 퇴적물을 유효하게 공급할 수 있다. 제 1 파워 및 제 2 파워는, 다른 에칭 조건이나 막의 조건 등에 의해 적절하게 설정되지만, 제 1 파워는 10W 내지 500W의 범위가 바람직하고, 제 2 파워는 100W 내지 5000W의 범위가 바람직하다. 더욱이, 제 2 파워는 100W 내지 1000W의 범위가 보다 바람직하다.
또, 파워 변조의 주파수는 0.25Hz 내지 100Hz가 바람직하다. 이 범위에서 폴리머층의 생성이 적절한 범위가 된다. 즉, 파워 변조(펄스)의 듀티비가 50%의 경우에, 주파수가 100Hz를 초과하면 폴리머의 퇴적물이 생기는 제 1 파워의 시간이 1주기에서 10ms 미만이 되어, 충분한 퇴적물이 형성되기 어려워질 우려가 있고, 주파수가 0.25Hz 미만이면 1주기당의 제 1 파워의 시간이 2S를 초과하여 폴리머층이 지나치게 두꺼워질 우려가 있다.
파워 변조(펄스)의 듀티비(제 2 파워의 시간/1주기의 전체의 시간)는 전형예로서 50%을 들 수 있지만, 에칭의 조건이나 피에칭막 및 하지막의 조건 등에 따라 1% 내지 99% 사이에서 적절하게 조정할 수 있다.
에칭에 있어서는, 우선 바이어스 파워를 변조하지 않는 통상의 모드에서 고 에칭 속도로 피에칭막을 에칭하고, 피에칭막의 에칭 종료전에 바이어스 파워를 상술한 바와 같은 파워 변조 모드로 전환하지만, 이러한 전환은, 피에칭막이 에칭되어서 하지막이 보였을 때에 적절한 양의 폴리머층이 형성되어 있는 타이밍에서 실행하는 것이 필요하다. 오버 에칭에 들어가기 직전에 파워 변조 모드로 전환하는 것으로는 오버 에칭시에 폴리머층의 두께가 불충분해지고, 반대로 지나치게 빨리 전환한 경우에는, 에칭 속도가 저하하여 버린다. 따라서, 하지막이 보였을 때에 적절한 양의 폴리머층이 형성될 수 있는 시간을 확보할 수 있도록, 오버 에칭에 들어가는 시점에 될 수 있는 한 가까운 타이밍에서 전환을 하는 것이 바람직하고, 에칭 조건 등에도 의하지만, 피에칭막의 잔류막이 20nm 내지 30nm의 시점에서 전환하는 것이 바람직하다.
이러한 파워 변조 모드로 에칭할 때에는, 상부 전극(34)에 가변 직류 전원(50)으로부터 직류 전압을 인가하는 것에 의해, 상술한 바와 같은 폴리머 공급의 효과가 얻어지기 때문에, 폴리머층을 확보하는 관점에서 유리하다. 또, 직류 전압을 인가하지 않고 있을 때에는, 바이어스 파워가 변조함으로써 상부 전극(34)에 제 1 고주파 전원으로부터 인가되는 플라즈마 생성용의 고주파 전력의 반사파가 증대해서 플라즈마가 불안정해질 우려가 있다. 여기에서, 가변 직류 전원(50)으로부터 상부 전극(34)에 직류 전압을 인가하는 것에 의해, 상부 전극(34)측에 형성되는 플라즈마 시스의 두께가 고정되므로, 상부 전극(34)에 인가되는 플라즈마 생성용의 고주파 전력의 반사파가 감소하여, 플라즈마를 안정시킬 수 있다. 따라서, 프로세스의 안정성이나 제 1 고주파 전원(48)의 수명을 향상시킬 수 있어, 이러한 관점에 서도 직류 전압을 인가하는 것이 유리하다.
상부 전극(34)에 인가되는 직류 전압은, 에칭 조건에 따라 적절하게 설정되지만, 통상의 연속 모드의 경우에도 파워 변조 모드의 경우에도, -300kV 내지 -2kV의 범위가 바람직하다.
또, 제 1 고주파 전원(48)으로부터 상부 전극(34)에 인가되는 고주파 전력의 주파수, 및 제 2 고주파 전원(90)으로부터 서셉터(16)에 인가되는 고주파 전력의 주파수의 바람직한 범위는, 통상의 연속 모드의 경우 및 파워 변조 모드의 경우에 상관없이, 상술한 바와 같이 전자가 27MHz 내지 100MHz의 범위, 후자가 400kHz 내지 13.56MHz의 범위가 바람직하다. 또한, 제 1 고주파 전원(48)으로부터 공급되는 고주파 전력의 파워는 통상의 연속 모드의 경우에도 파워 변조 모드의 경우에도 500W 내지 5000W의 범위가 바람직하다. 더욱이, 통상의 연속 모드의 경우의 제 2 고주파 전원(90)으로부터의 바이어스용 고주파 전력의 파워는 500W 내지 5000W의 범위가 바람직하다.
다음에, 본 실시형태의 효과를 확인한 실험에 대해서 설명한다.
여기에서는, 하지막인 SiC막을 30nm 형성한 후, 그 위에 에칭 대상막인 SiOC계 Low-k막을 300nm 형성하고, 그 위에 BARC 및 패턴화된 ArF 레지스트막을 형성한 샘플을 사용하여, 우선 ArF 레지스트막을 마스크로 하여 BARC를 에칭한 후, SiOC계 Low-k막을 에칭해서 비아를 형성했다.
에칭시의 조건은 이하와 같이 했다. 또, 오버 에칭에 대해서는, 본 실시형태에 따라서 파워 변조 모드로 인가했을 경우(실시예)와, 종래와 같이 바이어스를 연속 모드로 인가했을 경우(비교예)에 대해서 실행했다.
(1) 메인 에칭(연속 모드)
챔버내 압력 : 6.65Pa(50mT)
상부 인가 고주파 파워(60MHz) : 400W
하부 인가 고주파 파워(400kHz) : 500W
처리 가스 및 유량 : C4F8/Ar/N2=4/1000/500mL/min(sccm)
상부 직류 전압 : -900V
시간 : 60sec
(2) 오버 에칭
(a) 실시예
챔버내 압력 : 6.65Pa(50mT)
상부 인가 고주파 파워(60MHz) : 400W
하부 인가 고주파 파워(400kHz) : 100W/800W로 변조
파워 변조시의 펄스 파라미터
주파수 : 15.0Hz
듀티비 : 50%
Vpp : 1.4V
처리 가스 및 유량 : C4F8/Ar/N2=8/1000/80mL/min(sccm)
상부 직류 전압 : -900V
(b) 비교예
챔버내 압력 : 6.65Pa(50mT)
상부 인가 고주파 파워(60MHz) : 400W
하부 인가 고주파 파워(400kHz) : 800W
처리 가스 및 유량 : C4F8/Ar/N2=4/1000/500mL/min(sccm)
상부 직류 전압 : -900V
(3) 공통 조건
온도 : 상부 전극/웨이퍼/하부 전극=60/60/0℃
이들의 조건에서 에칭을 행한 후, 샘플을 1%HF 수용액에 30초 침지시켜서, SiC의 침식의 침식량을 파악했다. 또, 실시예에 있어서의 바이어스 파워 인가의 통상 모드로부터 파워 변조 모드로의 전환은 오버 에칭 개시 시점으로부터 30sec 전에 했다.
결과를 도 11에 도시한다. 도 11은 가로축에 오버 에칭의 시간을 잡고, 세로축에 SiC막의 침식량(nm)을 잡아서, 실시예와 비교예를 대비한 도면이다. 이 도면에 도시하는 바와 같이, 비교예의 경우에는, 오버 에칭 개시 직후에 9nm 정도까지 크게 침식한 것에 대해, 실시예의 경우에는, 초기에 3nm 정도 침식된 후, 오버 에칭 40%까지 거의 일정하게 포화하고 있는 것이 확인되었다. 이것부터, 본 실시형태의 바이어스의 파워 변조 모드의 효과가 확인되었다.
또, 본 실시형태에서는, 피에칭막으로서 SiOC계(유기계)의 Low-k막을 예시했지만, 당연히 무기계의 Low-k막이어도 좋다. 또한, 하지막으로서 SiC막을 예시했지만, 예를 들어 질화실리콘막(SiN)이어도 좋다. 더욱이, 본 실시형태에서는 피에 칭막으로서 SiOC계 Low-k막, 하지막으로서 SiC막의 조합을 예시했지만, 피에칭막이 하지막에 대하여 선택비를 취하기 어려울 경우의 전반에 적용 가능하다. 또한, 상기 실시형태에서는, 바이어스를 연속 모드로부터 파워 변조 모드로 전환하는 경우에 대해서 도시했지만, 충분한 에칭 속도를 얻을 수 있으면, 파워 변조 모드만을 채용할 수도 있다.
다음에, 본 실시형태에 있어서의 다른 적용예에 대해서 설명한다.
여기에서는, 피에칭막의 마스크에 대한 선택비를 높이기 위해서, 상술한 파워 변조 모드를 이용한 예에 대해서 설명한다.
도 12는 이 예에 적용하기 위한 반도체 웨이퍼의 구조를 도시하는 단면도이다. 도면부호(301)는 Low-k막으로, 그 위에 하드 마스크층으로서의 TiN막(302)이 형성되고, 그 위에 무기 희생막(303) 및 포토레지스트막(304)이 형성되어 있다. 하드 마스크층으로서는 TiN 대신에 Ti막을 이용하여도 좋다. 이러한 구조에 있어서, TiN막(302)을 마스크로 하여 Low-k막(301)을 에칭해서 홀(305)을 형성하는 경우에는, TiN막(302)에 대하여 큰 선택비로 에칭하는 것이 요망된다. 이를 위해서는, Low-k막(301)에 대한 에칭이 진행하고, TiN 막(302)에 대한 폴리머의 퇴적이 많아 에칭이 진행하기 어려워지는 조건의 파워 변조 모드가 되도록 제 2 고주파 전원(90)을 제어하면서 에칭을 실행하는 것이 유효하다.
다음에, 본 실시형태의 또 다른 적용예에 대해서 설명한다.
여기에서는, 마이크로로딩 효과를 완화하기 위해서, 상술한 파워 변조 모드를 이용한 예에 대해서 설명한다.
도 13에 도시하는 바와 같이, 하지막(401)상에 에칭 스톱막인 SiC막(402)이 형성되고, 그 위에 피에칭막인 SiOC계 Low-k막(403)이 형성되고, 포토레지스트막(404)을 마스크로 하여 SiOC계 Low-k막(403)에 작은 사이즈의 비아(405)와 예를 들어 가드 링(GR) 등의 큰 영역의 트렌치(trench)(406)를 에칭하는 경우, 마이크로로딩 효과에 의해, 사이즈가 작은 비아(405)에는 CF계 라디칼이 들어가기 어려워서, 그 에칭 속도가 늦어지고, 반대로 사이즈가 큰 트렌치(406)에서는 에칭 속도가 빨라지는 경향에 있다.
이러한 마이크로로딩 효과를 억제하기 위해서, 상술한 바와 같은 파워 변조 모드로 바이어스를 인가하면서 에칭을 실행하는 것이 유효하다. 이러한 파워 변조 모드로 바이어스를 인가하는 것에 의해, 큰 영역의 트렌치(406)의 에칭 속도만을 억제해서 마이크로로딩 효과를 완화할 수 있다. 구체적으로는, 상술한 바와 같이, 파워 변조 모드에 있어서의 고파워인 제 2 파워로 에칭이 진행하고, 저파워인 제 1 파워에서는 CF계 폴리머가 퇴적해서 에칭이 억제되지만, 그러한 에칭의 억제 효과는 에칭 영역이 큰 트렌치(406)에서 보다 크게 발휘되기 때문에, 비아(405)와 트렌치(406)의 에칭 속도의 차이가 작아져서, 마이크로로딩 효과가 완화된다.
다음에, 본 적용예를 실제로 적용한 결과에 대해서 설명한다.
여기에서는, 에칭 대상막으로서 SiOC계 Low-k막을 사용하여, 도 14a, 도 14b, 도 14c에 도시하는 바와 같은 사이즈의 타원형 비아, 원형의 소형 비아, 트렌치(GR)를 에칭했다. 그리고, 하부 인가 고주파 전력 파워 변조 모드로 했을 경우와 연속 모드로 했을 경우에 대해서 비교했다. 그때의 조건은 이하와 같이 했다.
(1) 공통 조건
챔버내 압력 : 6.65Pa(50mT)
상부 인가 고주파 파워(60MHz) : 400W
상부 직류 전압 : -900V
온도 : 상부 전극/웨이퍼/하부 전극=60/60/0℃
처리 가스 및 유량 : C4F8/Ar/N2=10/1000/100mL/min(sccm)
시간 : 30sec
(2) 파워 변조 모드
하부 인가 고주파 파워(13.56MHz) : 100W/700W로 변조
파워 변조시의 펄스 파라미터
주파수 : 15.0Hz
듀티비 : 50%
(3) 연속 모드
하부 인가 고주파 파워(13.56MHz) : 700W를 연속 인가
이들 에칭을 행했을 때의 중앙 및 에지의 에칭 속도를 표 1에 정리해서 나타낸다. 또한, 도 14a의 타원형 비아의 에칭 속도를 1.0으로서 규격화한 결과를 표 2에 나타낸다. 더욱이 표 2를 그래프화한 것을 도 15에 도시한다.
[표 1]
단위 : nm/min
홀 형상
(a) (b) (c)
연속 모드
(700W)
중앙 143 152 241
에지 117 117 207
파워 변조 모드
(100W/700W)
중앙 94 80 81
에지 84 64 117
[표 2]
홀 형상 Max-Min
(a) (b) (c)
연속 모드
(700W)
중앙 1.0 1.1 1.7 0.69
에지 1.0 1.0 1.8 0.77
파워 변조 모드
(100W/700W)
중앙 1.0 0.9 0.9 0.15
에지 1.0 0.8 1.4 0.63
이들에 나타내는 바와 같이, 하부 전극에 연속 모드로 고주파 바이어스를 인가했을 경우에는, 큰 영역의 트렌치(GR)의 에칭 속도가 타원형 비아 및 소형 비아에 비해서 커져서, 마이크로로딩 효과가 생기고 있었지만, 고주파 바이어스를 파워 변조 모드로 하는 것에 의해, 트렌치(GR)의 에칭 속도가 다른 것에 비해서 크게 저하하여, 마이크로로딩 효과가 완화하는 것이 확인되었다.
그런데, 본 실시형태에 있어서 제 2 고주파 전원(90)을 파워 변조 모드로 한 플라즈마를 형성할 경우, 보다 확실하게 플라즈마를 유지하기 위해서, 제 2 고주파 전원(90)을 플라즈마 착화시에는 동일 파워의 연속 모드로 동작시키고, 그 후 파워 변조 모드로 동작시키는 것이 바람직하다. 이 경우에, 고주파 전력 및 직류 전압의 인가 타이밍 및 인가 방법도 문제가 된다. 이하, 고주파 전력 및 직류 전압의 바람직한 인가 타이밍 및 인가 방법에 대해서 도 16 내지 도 18을 참조해서 설명한다.
도 16의 예에서는, 제 2 고주파 전원(90)으로부터 고파워의 제 2 파워보다도 낮은 파워로 고주파 전력을 연속 모드로 하부 전극인 서셉터(16)에 공급 개시하고, 소정 시간 경과후의 시간(T1)에, 제 1 고주파 전원(48)으로부터 고주파 전력을 상부 전극(34)에 인가한다. 그와 동시에 가변 직류 전원(50)으로부터 상부 전극(34)에 직류 전압을 인가한다. 이 상태에서 플라즈마가 착화하고, 플라즈마가 안정한 시점의 시간(T2)에 있어서 제 2 고주파 전원(90)을 파워 변조 모드로 한다. 이로써, 플라즈마가 안정한 후에 파워 변조 모드로 하게 되므로, 파워 변조 모드에 있어서의 제 1 파워와 같은 낮은 파워를 공급할 경우에도, 확실하게 플라즈마를 유지할 수 있다. 또, 이들의 제어는 RF 제어기(95)에 의해 실행된다. 이하의 도 17 및 도 18의 예에서도 마찬가지이다.
도 17의 예에서는, 제 2 고주파 전원(90)의 파워를 고파워의 제 2 파워의 값까지 느리게 상승시키고, 그 후 파워 변조 모드로 하고 있다. 또, 가변 직류 전원(50)의 직류 전압을 느리게 상승시키고 있다. 이렇게 느리게 상승시킴으로써, 웨이퍼나 전원에의 손상(damage)을 경감할 수 있다. 또, 이들 모두를 느리게 상승하도록 해도 좋다.
도 18의 예에서는, 우선 제 2 고주파 전원(90)으로부터 고파워의 제 2 파워보다도 낮은 파워로 고주파 전력을 연속 모드로 하부 전극인 서셉터(16)에 공급 개시하고, 소정 시간 경과후의 시간(T1')에, 제 1 고주파 전원(48)으로부터 설정값보다도 낮은 고주파 전력을 상부 전극(34)에 인가한다. 그와 동시에 가변 직류 전원(50)으로부터 상부 전극(34)에 직류 전압을 인가한다. 이 상태에서 플라즈마가 착화하고, 플라즈마가 안정한 시점의 시간(T2')에 있어서 제 1 고주파 전원(48)을 설정값까지 상승시키고, 제 2 고주파 전원(90)의 파워를 소정값(예를 들면, 제 2 파워의 값)까지 상승시킨다. 이 경우에, 제 2 고주파 전원(90)의 파워는 도시하는 바와 같이 느리게 상승시키는 것이 바람직하다. 그리고, 시간(T2') 이후의 소정의 타이밍에서 제 2 고주파 전원(90)을 파워 변조 모드로 한다. 이에 의해서도, 플라즈마가 안정한 후에 파워 변조 모드로 하게 되므로, 파워 변조 모드에 있어서의 제 1 파워와 같은 낮은 파워를 공급할 경우에도, 확실하게 플라즈마를 유지할 수 있다. 또, 이 예에 있어서도 가변 직류 전원(50)의 직류 전압을 느리게 상승시켜도 좋다.
다음에, 제 2 고주파 전원(90)과 함께 제 1 고주파 전원(48)도 파워 변조 모드로 한 예에 대해서 설명한다.
플라즈마의 안정성 등의 관점에서, 제 2 고주파 전원(90)을 파워 변조 모드로 했을 때에, 거기에 대응해서 제 1 고주파 전원(48)도 파워 변조 모드로 하는 것이 바람직할 경우도 생각된다. 이때는, RF 제어기(95)에 의해 제 2 고주파 전원(90) 뿐만 아니라 제 1 고주파 전원(48)도 파워 변조하도록 제어한다. 구체적으로는, 제 1 고주파 전원(48)을 제 2 고주파 전원(90)의 파워 변조 모드에 동기시켜서, 제 3 파워와 제 4 파워 사이에서 파워 변조하도록 제어한다. 이 경우에, 예를 들어 도 19a에 도시하는 바와 같이, 제 1 고주파 전원(48)과 제 2 고주파 전원(90)에서, 고파워와 저파워를 동일 타이밍이 되도록 해도 좋고, 도 19b에 도시하는 바와 같이, 제 1 고주파 전원(48)과 제 2 고주파 전원(90)에서, 고파워와 저파워를 반대의 타이밍으로 해도 좋다.
다음에, 본 발명의 제 2 실시형태에 대해서 설명한다.
도 20은 본 발명의 제 2 실시형태에 따른 플라즈마 에칭 장치를 도시하는 개략 단면도이다.
이 플라즈마 에칭 장치는, 플라즈마 생성용의 고주파 전력이 하부 전극인 서셉터에 인가되도록 되어 있는 점이 상기 제 1 실시형태에 따른 플라즈마 에칭 장치와는 상이하지만, 다른 구성에 대해서는 기본적으로 제 1 실시형태에 따른 플라즈마 에칭 장치와 동일하기 때문에, 도 20에 있어서, 도 1과 동일한 것에는 동일한 부호를 붙여서 설명을 생략한다.
본 실시형태에 있어서는, 하부 전극인 서셉터(16)에 플라즈마를 생성하기 위한 제 1 고주파 전원(48') 및 바이어스를 인가해서 이온을 인입하기 위한 제 2 고주파 전원(90)의 양쪽이 접속되어 있다. 제 1 고주파 전원(48')은 제 1 정합기(89)를 거쳐서 서셉터(16)에 접속되어 있고, 제 1 정합기(89)는 제 2 정합기(88)와 동일한 구성을 갖고, 챔버(10)내에 플라즈마가 생성되고 있을 때에 제 1 고주파 전원(48')의 출력 임피던스와 부하 임피던스가 외견상 일치하도록 기능한다. 제 1 고주파 전원(48')은 제 1 실시형태의 제 1 고주파 전원(48)과 동일한 기능을 갖고 있고, 그 주파수는 27MHz 내지 100MHz의 범위가 바람직하다.
한편, 상부 전극(34)에 고주파 전원이 접속되어 있지 않고, 가변 직류 전원(50)은 저역 통과 필터(LPF)(46a)를 거쳐서 상부 전극(34)에 접속되어 있다. 저역 통과 필터(LPF)(46a)는 제 1 및 제 2 고주파 전원으로부터의 고주파를 트랩하는 것이며, 적합하게는 LR 필터 또는 LC 필터로 구성된다.
본 실시형태에서는, 하부 전극에 플라즈마 형성용의 제 1 고주파(RF) 전력 및 이온 인입용의 제 2 고주파(RF) 전력을 인가하는 하부 RF 2주파 인가형의 플라즈마 에칭 장치를 도시하고 있지만, 그밖의 용량 결합형 플라즈마 에칭 장치에 대한 우수점으로서, 이하의 점을 들 수 있다.
우선, 본 실시형태와 같이 하부 전극에 플라즈마 형성용의 고주파 전력을 인가하는 것에 의해, 웨이퍼에 보다 가까운 곳에서 플라즈마를 형성할 수 있고, 또한 플라즈마가 넓은 영역으로 확산하지 않아 처리 가스의 해리를 억제할 수 있으므로, 처리 용기내의 압력이 높고 플라즈마 밀도가 낮은 것과 같은 조건에서도, 웨이퍼에 대한 에칭 속도를 상승시킬 수 있다. 또한, 플라즈마 형성용의 고주파 전력의 주파수가 높은 경우에도, 비교적 큰 이온 에너지를 확보할 수 있으므로 고효율이다. 이에 대하여, 제 1 실시형태와 같이 상부 전극에 플라즈마 형성용의 고주파 전력을 인가하는 경우에는, 상부 전극 근방에 플라즈마가 생성되므로, 처리 용기내의 압력이 높고 플라즈마 밀도가 낮은 것과 같은 조건에서는, 웨이퍼에 대한 에칭 속도를 상승시키는 것이 비교적 곤란하다.
또, 본 실시형태와 같이 하부 전극에 플라즈마 형성용의 고주파 전력과 이온 인입용의 고주파 전력을 별도로 인가하는 것에 의해, 하부 전극에 1주파의 고주파 전력을 인가하는 타입의 장치와는 달리, 플라즈마 에칭에 필요한 플라즈마 형성의 기능과 이온 인입의 기능을 독립적으로 제어하는 것이 가능해진다. 따라서, 높은 미세 가공성이 요구되는 에칭의 조건을 만족하는 것이 가능해진다.
본 실시형태에서는, 이러한 기능을 갖는 2주파 인가형의 플라즈마 에칭 장치 를 기본으로 하여, 상부 전극에 직류 전압을 인가하는 것에 의해, 상술한 바와 같은 기능을 발휘하므로, 최근의 에칭 미세 가공에 적합한 보다 고성능의 에칭이 가능해지는 동시에, 제 1 실시형태와 마찬가지로 바이어스용의 고주파의 파워 변조를 실행하는 것에 의해, 예를 들어 SiOC계 Low-k막과 SiC막의 조합과 같이 피에칭막과 하지막에서 조성이 근접한 경우에도, 피에칭막을 고선택비 및 고에칭 속도로 에칭할 수 있다.
또, 본 실시형태에 있어서도, 제 1 실시형태와 같이 SiC막을 하지막으로 하여 SiOC막을 에칭할 경우 등, 피에칭막과 하지막의 선택비를 높이고 싶을 경우나, TiN막을 마스크로 하여 Low-k막을 에칭할 경우 등, 마스크에 대하여 선택성이 높은 에칭을 실행하고 싶을 경우에 적용가능하고, 마이크로로딩 효과를 완화하고 싶을 경우에도 적용가능하다. 또한, 도 16 내지 도 18에서 도시한 바와 같은 플라즈마 착화 시퀀스도 적용가능하고, 제 1 고주파 전원(48')을 파워 변조 모드로 동작시키는 것도 가능하다. 또, 본 실시형태에서는, 피에칭막으로서 SiOC계(유기계)의 Low-k막을 예시했지만, 당연히 무기계의 Low-k막이어도 좋다. 또한, 하지막으로서 SiC막을 예시했지만, 예를 들어 질화실리콘막(SiN)이어도 좋다. 더욱이, 본 실시형태에서는 피에칭막으로서 SiOC계 Low-k막, 하지막으로서 SiC막의 조합을 예시했지만, 피에칭막이 하지막에 대하여 선택비를 취하기 어려울 경우의 전반에 적용가능하다.
또, 상기 어느 실시형태에 있어서도, 상기 제 1 고주파 전력 및 제 2 고주파 전력의 채용할 수 있는 주파수를 예시하면, 제 1 고주파 전력으로서는, 27MHz, 40MHz, 60MHz, 80MHz, 100MHz를 들 수 있고, 제 2 고주파 전력으로서는, 400kHz, 800kHz, 1MHz, 2MHz, 3.2MHz, 12.88MHz, 13.56MHz를 들 수 있고, 프로세스에 따라서 적절한 조합으로 사용할 수 있다.
이상, 본 발명의 실시형태에 대해서 설명했지만, 본 발명은 상기 실시형태에 한정되는 일없이 각종 변형가능하다. 예를 들면, 상기 실시형태에서는, 상부 전극에 직류 전압을 인가하는 예에 대해서 나타냈지만, 직류 전압의 인가는 필수적이지는 않다.

Claims (46)

  1. 진공 배기가능한 처리 용기와,
    처리 용기내에 배치된 제 1 전극과,
    상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과,
    상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과,
    상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과,
    상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛과,
    상기 제 2 고주파 전력 공급 유닛을 제어하는 RF 제어기를 구비하고,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작하도록 제어하는
    플라즈마 에칭 장치.
  2. 제 1 항에 있어서,
    피처리 기판으로서 하지막상에 피에칭막이 형성된 구조의 것을 사용하여, 그 피에칭막을 에칭하고, 그 후 오버 에칭하는 플라즈마 에칭 장치이며,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을, 상기 에칭시에는, 동일 파워로 연속적으로 고주파 전력을 공급하는 연속 모드로 동작시키고, 상기 오버 에칭에 들어가기 전에, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 전환하도록 제어하는
    플라즈마 에칭 장치.
  3. 제 2 항에 있어서,
    상기 RF 제어기는, 피에칭막이 에칭되어서 오버 에칭으로 이행하는 시점에서, 상기 하지막상의 폴리머 두께가 이온 침입 깊이보다도 두꺼워지는 조건에서 상기 제 2 고주파 전력을 상기 제 1 파워와 상기 제 2 파워 사이에서 파워 변조시키는
    플라즈마 에칭 장치.
  4. 제 3 항에 있어서,
    상기 RF 제어기는, 상기 제 1 파워, 상기 제 2 파워, 파워 변조의 주파수, 파워 변조의 듀티비, 상기 연속 모드로부터 상기 파워 변조 모드로 전환하는 타이밍중 적어도 하나를 제어하는
    플라즈마 에칭 장치.
  5. 제 1 항에 있어서,
    피처리 기판으로서 피에칭막상에 마스크층이 형성된 구조의 것을 사용하여, 그 마스크층을 마스크로 하여 피에칭막을 에칭하는 플라즈마 에칭 장치이며,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을, 상기 피에칭막의 에칭시에, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 전환하도록 제어하는
    플라즈마 에칭 장치.
  6. 진공 배기가능한 처리 용기와,
    처리 용기내에 배치된 제 1 전극과,
    상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과,
    상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과,
    상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과,
    상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛과,
    상기 제 2 고주파 전력 공급 유닛을 제어하는 RF 제어기를 구비하고,
    상기 제 2 고주파 전력 공급 유닛은 제 2 고주파 전원과 제 2 정합기를 갖고,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을 제 1 파워와 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시키고, 그때에 상기 제 2 정합기에 있어서의 정합 동작을 상기 파워 변조에 동기시켜서 전환하도록 제어하는
    플라즈마 에칭 장치.
  7. 제 6 항에 있어서,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 동작시키고 있을 때에, 제 1 파워시에는 상기 제 2 정합기의 동작을 실행하지 않도록 제어하고, 제 2 파워시에는 상기 제 2 정합기가 상기 제 2 고주파 전원의 내부 임피던스와 상기 처리 용기의 플라즈마를 포함한 부하 임피던스가 일치하도록 하는 동작을 실행하도록 제어하는
    플라즈마 에칭 장치.
  8. 제 7 항에 있어서,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 동작시키고 있을 때에, 제 1 파워시에는, 상기 제 2 고주파 전원이 출력하는 파워값과, 상기 처리 용기의 플라즈마를 포함한 부하 임피던스에 의해 반사되는 파워값의 차분인, 상기 제 2 전극에 공급되는 파워값이 항상 일정하게 되도록, 상기 제 2 고주파 전원을 제어하는
    플라즈마 에칭 장치.
  9. 제 1 항에 있어서,
    상기 제 1 전극에 직류 전압을 인가하는 가변 직류 전원을 더 구비하는
    플라즈마 에칭 장치.
  10. 진공 배기가능한 처리 용기와,
    처리 용기내에 배치된 제 1 전극과,
    상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과,
    상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과,
    상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과,
    상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛과,
    상기 제 2 고주파 전력 공급 유닛을 제어하는 RF 제어기를 구비하고,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을 제 1 파워와 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시킬 때, 플라즈마 착화시에, 최초에 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시키고, 그 후 상기 파워 변조 모드로 전환하도록 제어하는
    플라즈마 에칭 장치.
  11. 제 10 항에 있어서,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시킨 후, 소정 기간후에 상기 제 1 고주파 전력 공급 유닛에 의한 전력 공급을 개시하고, 그 후 소정 기간후에 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 전환하도록 제어하는
    플라즈마 에칭 장치.
  12. 제 11 항에 있어서,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛에 의한 동일 파워로 연속적인 고주파 전력의 공급 개시 시점에서는 소정의 파워보다도 낮은 파워로 전력 공급을 실행하고, 상기 제 1 고주파 전력 공급 유닛에 의한 고주파 전력의 공급 개시 시점에서도 소정의 파워보다도 낮은 파워로 전력 공급을 실행하고, 소정 시간후에 상기 제 1 및 제 2 고주파 전력 공급 유닛으로부터의 파워를 상승시키고, 그 후 소정 기간후에 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 전환하도록 제어하는
    플라즈마 에칭 장치.
  13. 제 11 항에 있어서,
    상기 플라즈마 처리 장치는 상기 제 1 전극에 직류 전압을 인가하는 가변 직류 전원을 더 구비하고, 상기 RF 제어기는, 상기 제 1 고주파 전력 공급 유닛에 의한 전력 공급을 개시하는 시점에서 상기 가변 직류 전원에 의한 전압 인가를 개시하도록 제어하는
    플라즈마 에칭 장치.
  14. 제 13 항에 있어서,
    상기 RF 제어기는, 상기 가변 직류 전원에 의한 전압 인가를 개시할 때에, 전압을 서서히 상승시키는
    플라즈마 에칭 장치.
  15. 제 10 항에 있어서,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시키고, 그 후 상기 파워 변조 모드로 전환할 때에, 파워를 서서히 상승시키도록 제어하는
    플라즈마 에칭 장치.
  16. 제 1 항 내지 제 15 항중 어느 한 항에 있어서,
    상기 제 1 고주파 전력의 주파수는 27MHz 내지 100MHz인
    플라즈마 에칭 장치.
  17. 제 1 항 내지 제 15 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력의 주파수는 400kHz 내지 13.56MHz인
    플라즈마 에칭 장치.
  18. 제 1 항 내지 제 15 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛이 상기 파워 변조 모드일 때에, 상기 제 1 파워가 10W 내지 500W의 범위, 제 2 파워가 100W 내지 5000W의 범위인
    플라즈마 에칭 장치.
  19. 제 1 항 내지 제 15 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛이 상기 파워 변조 모드일 때에, 파워 변조의 주파수가 0.25Hz 내지 100Hz의 범위인
    플라즈마 에칭 장치.
  20. 제 1 항 내지 제 15 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛이 상기 파워 변조 모드일 때에, 파워 변조의 듀티비(제 2 파워의 시간/1주기의 전체의 시간)가 1% 내지 99%인
    플라즈마 에칭 장치.
  21. 제 1 항 내지 제 15 항중 어느 한 항에 있어서,
    상기 RF 제어기는, 상기 제 2 고주파 전력 공급 유닛의 파워 변조 모드에 동기시켜서, 또한 상기 제 1 고주파 전력 공급 유닛을, 제 3 파워와 제 4 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작하도록 제어하는
    플라즈마 에칭 장치.
  22. 진공 배기가능한 처리 용기와,
    처리 용기내에 배치된 제 1 전극과,
    상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과,
    상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과,
    상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과,
    상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하는 플라즈마 에칭 장치를 사용하여, 상기 제 1 전극 및 상기 제 2 전극 사이에 처리 가스의 플라즈마를 생성해서 피처리 기판의 소정의 막을 에칭하는 플라즈마 에칭 방법에 있어서,
    상기 제 2 고주파 전력 공급 유닛을, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시키는
    플라즈마 에칭 방법.
  23. 제 22 항에 있어서,
    피처리 기판으로서 하지막상에 피에칭막이 형성된 구조의 것을 사용하여, 상기 제 1 전극 및 상기 제 2 전극 사이에 처리 가스의 플라즈마를 생성해서 피처리 기판의 피에칭막을 에칭하고, 그 후 오버 에칭하는 플라즈마 에칭 방법이며,
    상기 제 2 고주파 전력 공급 유닛을, 상기 에칭시에는, 동일 파워로 연속적으로 고주파 전력을 공급하는 연속 모드로 동작시키고, 상기 오버 에칭에 들어가기 전에, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 전환하도록 하는
    플라즈마 에칭 방법.
  24. 제 23 항에 있어서,
    피에칭막이 에칭되어서 오버 에칭으로 이행하는 시점에서, 상기 하지막상의 폴리머 두께가 이온 침입 깊이보다도 두꺼워지는 조건에서 상기 제 2 고주파 전력을 상기 제 1 파워와 상기 제 2 파워 사이에서 파워 변조시키는
    플라즈마 에칭 방법.
  25. 제 24 항에 있어서,
    상기 제 1 파워, 상기 제 2 파워, 파워 변조의 주파수, 파워 변조의 듀티비, 상기 연속 모드로부터 상기 파워 변조 모드로 전환하는 타이밍중 적어도 하나를 제어하는 것에 의해, 상기 하지막상의 폴리머 두께가 이온 침입 깊이보다도 두꺼워지는 조건으로 하는
    플라즈마 에칭 방법.
  26. 제 23 항에 있어서,
    피처리 기판의 피에칭막이 SiOC계 Low-k막이며, 하지막이 SiC막인
    플라즈마 에칭 방법.
  27. 제 22 항에 있어서,
    피처리 기판으로서 피에칭막상에 마스크층이 형성된 구조의 것을 사용하여, 그 마스크층을 마스크로 하여 피에칭막을 에칭하는 플라즈마 에칭 방법이며,
    상기 제 2 고주파 전력 공급 유닛을, 상기 피에칭막의 에칭시에, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 전환하는
    플라즈마 에칭 방법.
  28. 진공 배기가능한 처리 용기와,
    처리 용기내에 배치된 제 1 전극과,
    상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과,
    상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과,
    상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과,
    상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하고,
    상기 제 2 고주파 전력 공급 유닛은 제 2 고주파 전원과 제 2 정합기를 갖는 플라즈마 에칭 장치를 사용하여, 상기 제 1 전극 및 상기 제 2 전극 사이에 처리 가스의 플라즈마를 생성해서 피처리 기판의 소정의 막을 에칭하는 플라즈마 에칭 방법에 있어서,
    상기 제 2 고주파 전력 공급 유닛을 제 1 파워와 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시킬 때, 상기 제 2 정합기에 있어서의 정합 동작을 상기 파워 변조에 동기시켜서 전환하도록 하는
    플라즈마 에칭 방법.
  29. 제 28 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 동작시키고 있을 때에, 제 1 파워시에는 상기 제 2 정합기의 동작을 실행하지 않도록 하고, 제 2 파워시에는 상기 제 2 정합기가 상기 제 2 고주파 전원의 내부 임피던스와 상기 처리 용기의 플라즈마를 포함한 부하 임피던스가 일치하도록 하는 동작을 실행하도록 하 는
    플라즈마 에칭 방법.
  30. 제 29 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 동작시키고 있을 때에, 제 1 파워시에는, 상기 제 2 고주파 전원이 출력하는 파워값과, 상기 처리 용기의 플라즈마를 포함한 부하 임피던스에 의해 반사되는 파워값의 차분인, 상기 제 2 전극에 공급되는 파워값이 항상 일정해지도록 하는
    플라즈마 에칭 방법.
  31. 제 22 항에 있어서,
    상기 플라즈마 처리 장치는 상기 제 1 전극에 직류 전압을 인가하는 가변 직류 전원을 더 구비하는
    플라즈마 에칭 방법.
  32. 진공 배기가능한 처리 용기와,
    처리 용기내에 배치된 제 1 전극과,
    상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과,
    상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 제 1 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과,
    상기 제 2 전극에 이온 인입용의 제 2 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과,
    상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하는 플라즈마 에칭 장치를 사용하여, 상기 제 1 전극 및 상기 제 2 전극 사이에 처리 가스의 플라즈마를 생성해서 피처리 기판의 소정의 막을 에칭하는 플라즈마 에칭 방법에 있어서,
    상기 제 2 고주파 전력 공급 유닛을 제 1 파워와 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시킬 때, 플라즈마 착화시에, 최초에 상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시키고, 그 후 상기 파워 변조 모드로 전환하는
    플라즈마 에칭 방법.
  33. 제 32 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시킨 후, 소정 기간후에 상기 제 1 고주파 전력 공급 유닛에 의한 전력 공급을 개시하고, 그 후 소정 기간후에 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 전환하는
    플라즈마 에칭 방법.
  34. 제 33 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛에 의한 동일 파워로 연속적인 고주파 전력의 공급 개시 시점에서는 소정의 파워보다도 낮은 파워로 전력 공급을 실행하고, 상기 제 1 고주파 전력 공급 유닛에 의한 고주파 전력의 공급 개시 시점에서도 소정의 파워보다도 낮은 파워로 전력 공급을 실행하고, 소정 시간후에 상기 제 1 및 제 2 고주파 전력 공급 유닛으로부터의 파워를 상승시키고, 그 후 소정 기간후에 상기 제 2 고주파 전력 공급 유닛을 상기 파워 변조 모드로 전환하는
    플라즈마 에칭 방법.
  35. 제 33 항에 있어서,
    상기 플라즈마 처리 장치는 상기 제 1 전극에 직류 전압을 인가하는 가변 직류 전원을 더 구비하고, 상기 제 1 고주파 전력 공급 유닛에 의한 전력 공급을 개시하는 시점에서 상기 가변 직류 전원에 의한 전압 인가를 개시하는
    플라즈마 에칭 방법.
  36. 제 35 항에 있어서,
    상기 가변 직류 전원에 의한 전압 인가를 개시할 때에, 전압을 서서히 상승시키는
    플라즈마 에칭 방법.
  37. 제 32 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛을 동일 파워로 연속적으로 고주파 전력을 공급하는 모드로 동작시키고, 그 후 상기 파워 변조 모드로 전환할 때에, 파워를 서서히 상승시키는
    플라즈마 에칭 방법.
  38. 제 22 항 내지 제 37 항중 어느 한 항에 있어서,
    상기 제 1 고주파 전력의 주파수는 27MHz 내지 100MHz인
    플라즈마 에칭 방법.
  39. 제 22 항 내지 제 37 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력의 주파수는 400kHz 내지 13.56MHz인
    플라즈마 에칭 방법.
  40. 제 22 항 내지 제 37 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛이 상기 파워 변조 모드일 때에, 상기 제 1 파워가 10W 내지 500W의 범위, 제 2 파워가 100W 내지 5000W의 범위인
    플라즈마 에칭 방법.
  41. 제 22 항 내지 제 37 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛이 상기 파워 변조 모드일 때에, 파워 변조의 주파수가 0.25Hz 내지 100Hz의 범위인
    플라즈마 에칭 방법.
  42. 제 22 항 내지 제 37 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛이 상기 파워 변조 모드일 때에, 파워 변조의 듀티비(제 2 파워의 시간/1주기의 전체의 시간)가 1% 내지 99%인
    플라즈마 에칭 방법.
  43. 제 22 항 내지 제 37 항중 어느 한 항에 있어서,
    상기 제 2 고주파 전력 공급 유닛의 파워 변조 모드에 동기시켜서, 또한 상기 제 1 고주파 전력 공급 유닛을, 제 3 파워와 제 4 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시키는
    플라즈마 에칭 방법.
  44. 진공 배기가능한 처리 용기와, 처리 용기내에 배치된 제 1 전극과, 상기 제 1 전극에 대향해서 마련된 피처리 기판을 지지하는 제 2 전극과, 상기 제 1 전극 또는 제 2 전극에 플라즈마 형성용의 고주파 전력을 공급하는 제 1 고주파 전력 공급 유닛과, 상기 제 2 전극에 이온 인입용의 고주파 전력을 공급하는 제 2 고주파 전력 공급 유닛과, 상기 처리 용기내에 처리 가스를 공급하는 처리 가스 공급 유닛을 구비하는 플라즈마 에칭 장치를 제어하기 위한 컴퓨터상에서 동작하는 제어 프 로그램이 기억된 컴퓨터 판독가능한 기억 매체에 있어서,
    상기 제어 프로그램은, 실행시에 상기 제 2 고주파 전력 공급 유닛을, 피처리 기판의 소정의 막에 폴리머가 퇴적되는 제 1 파워와 피처리 기판의 소정의 막의 에칭이 진행하는 제 2 파워 사이에서 소정 주기로 파워 변조하는 파워 변조 모드로 동작시키도록, 컴퓨터에 상기 플라즈마 에칭 장치를 제어시키는
    컴퓨터 판독가능한 기억 매체.
  45. 제 2 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 RF 제어기는 상기 제 2 고주파 전력 공급 유닛을 제어하여, 상기 피에칭막의 잔류막이 20 내지 30nm의 시점에서 상기 연속 모드의 동작에서 상기 파워 변조 모드의 동작으로 전환하는
    플라즈마 에칭 장치.
  46. 제 23 항 내지 제 26 항 중 어느 한 항에 있어서, 상기 제 2 고주파 전력 공급 유닛을, 상기 피에칭막의 잔류막이 20 내지 30nm의 시점에서 상기 연속 모드의 동작에서 상기 파워 변조 모드의 동작으로 전환하는
    플라즈마 에칭 방법.
KR1020097006959A 2006-10-06 2007-10-05 플라즈마 에칭 장치 및 플라즈마 에칭 방법 KR101154559B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2006275722 2006-10-06
JPJP-P-2006-275722 2006-10-06
JP2007164637 2007-06-22
JPJP-P-2007-164637 2007-06-22
JPJP-P-2007-254058 2007-09-28
JP2007254058A JP5192209B2 (ja) 2006-10-06 2007-09-28 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体

Publications (2)

Publication Number Publication Date
KR20090057088A KR20090057088A (ko) 2009-06-03
KR101154559B1 true KR101154559B1 (ko) 2012-06-08

Family

ID=39282829

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097006959A KR101154559B1 (ko) 2006-10-06 2007-10-05 플라즈마 에칭 장치 및 플라즈마 에칭 방법

Country Status (7)

Country Link
US (3) US8852385B2 (ko)
EP (3) EP3200220B1 (ko)
JP (1) JP5192209B2 (ko)
KR (1) KR101154559B1 (ko)
CN (1) CN101523569B (ko)
TW (1) TWI509684B (ko)
WO (1) WO2008044633A1 (ko)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
JP2010161156A (ja) * 2009-01-07 2010-07-22 Tokyo Electron Ltd プラズマエッチング方法
JP5466480B2 (ja) * 2009-02-20 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2010205967A (ja) * 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP2010238881A (ja) 2009-03-31 2010-10-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
KR101286242B1 (ko) * 2009-12-14 2013-07-15 삼성전자주식회사 반도체 소자 제조 방법
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US9373521B2 (en) 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
DE102011004581A1 (de) 2011-02-23 2012-08-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Technik zur Reduzierung der plasmahervorgerufenen Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika durch modifizierten HF-Leistungshochlauf
US20120302065A1 (en) * 2011-05-26 2012-11-29 Nanya Technology Corporation Pulse-plasma etching method and pulse-plasma etching apparatus
KR101851005B1 (ko) 2011-06-02 2018-04-20 에스케이하이닉스 주식회사 플라즈마 도핑 장치를 이용한 플라즈마 도핑 방법
CN102281698A (zh) * 2011-07-19 2011-12-14 大连理工大学 一种用脉冲调制改善等离子体特性的方法
TWI500066B (zh) * 2011-07-27 2015-09-11 Hitachi High Tech Corp Plasma processing device
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
CN103035466B (zh) * 2011-10-08 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
JP5977509B2 (ja) 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
JP5808012B2 (ja) 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
KR102034556B1 (ko) * 2012-02-09 2019-10-21 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
US8853070B2 (en) * 2012-04-13 2014-10-07 Oti Lumionics Inc. Functionalization of a substrate
US9698386B2 (en) 2012-04-13 2017-07-04 Oti Lumionics Inc. Functionalization of a substrate
JP6002556B2 (ja) * 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CN103887146B (zh) * 2012-12-19 2016-08-31 中微半导体设备(上海)有限公司 利用可切换功率发生器的高深宽比微结构刻蚀方法
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
JP6267989B2 (ja) * 2013-02-18 2018-01-24 東京エレクトロン株式会社 プラズマ処理方法及び容量結合型プラズマ処理装置
US20140262031A1 (en) * 2013-03-12 2014-09-18 Sergey G. BELOSTOTSKIY Multi-mode etch chamber source assembly
JP6037914B2 (ja) * 2013-03-29 2016-12-07 富士フイルム株式会社 保護膜のエッチング方法およびテンプレートの製造方法
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
JP6180799B2 (ja) 2013-06-06 2017-08-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
JP5701958B2 (ja) * 2013-10-15 2015-04-15 東京エレクトロン株式会社 基板処理装置
DE102014209469A1 (de) * 2014-05-19 2015-11-19 TRUMPF Hüttinger GmbH + Co. KG Regelungsanordnung, Regelsystem und Hochfrequenzleistungserzeugungsvorrichtung
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
US20160020119A1 (en) * 2014-07-16 2016-01-21 Macronix International Co., Ltd. Method of Controlling Recess Depth and Bottom ECD in Over-Etching
JP6315809B2 (ja) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
JP6316735B2 (ja) * 2014-12-04 2018-04-25 東京エレクトロン株式会社 プラズマエッチング方法
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
JP6558901B2 (ja) * 2015-01-06 2019-08-14 東京エレクトロン株式会社 プラズマ処理方法
US9779919B2 (en) * 2015-01-09 2017-10-03 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US10153139B2 (en) * 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
JP6552346B2 (ja) * 2015-09-04 2019-07-31 東京エレクトロン株式会社 基板処理装置
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
JP6498152B2 (ja) * 2015-12-18 2019-04-10 東京エレクトロン株式会社 エッチング方法
US10340123B2 (en) 2016-05-26 2019-07-02 Tokyo Electron Limited Multi-frequency power modulation for etching high aspect ratio features
JP6770868B2 (ja) * 2016-10-26 2020-10-21 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US10818502B2 (en) * 2016-11-21 2020-10-27 Tokyo Electron Limited System and method of plasma discharge ignition to reduce surface particles
JP7017306B2 (ja) * 2016-11-29 2022-02-08 株式会社日立ハイテク 真空処理装置
US10847368B2 (en) * 2017-04-07 2020-11-24 Applied Materials, Inc. EUV resist patterning using pulsed plasma
JP6688763B2 (ja) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 プラズマ処理方法
JP6878154B2 (ja) * 2017-06-05 2021-05-26 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102435263B1 (ko) * 2017-07-25 2022-08-23 삼성전자주식회사 플라즈마 처리 장치 및 방법, 및 이를 이용한 반도체 장치의 제조 방법
KR20190014623A (ko) * 2017-08-03 2019-02-13 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
KR102550393B1 (ko) 2017-10-25 2023-06-30 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 장치의 제조 방법
JP6886940B2 (ja) * 2018-04-23 2021-06-16 東京エレクトロン株式会社 プラズマ処理方法
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7306886B2 (ja) * 2018-07-30 2023-07-11 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
CN110858530B (zh) * 2018-08-24 2023-04-14 北京北方华创微电子装备有限公司 匹配网络、阻抗匹配器以及阻抗匹配方法
JP7345382B2 (ja) * 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
JP7061981B2 (ja) 2019-03-28 2022-05-02 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
KR20220041187A (ko) 2019-08-01 2022-03-31 램 리써치 코포레이션 에지 링 포켓을 세정하기 위한 시스템들 및 방법들
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
JP7433271B2 (ja) * 2020-04-27 2024-02-19 東京エレクトロン株式会社 基板処理装置および基板処理装置の制御方法
US12009180B2 (en) 2020-08-27 2024-06-11 Hitachi High-Tech Corporation Plasma processing apparatus
JP7336608B2 (ja) * 2021-02-04 2023-08-31 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US12002663B2 (en) * 2021-07-16 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Processing apparatus and method for forming semiconductor structure
CN116940705B (zh) * 2021-07-16 2024-03-08 株式会社爱发科 成膜方法和成膜装置
CN114063479B (zh) * 2021-11-12 2024-01-23 华科电子股份有限公司 应用于蚀刻机的多路输出模块的射频电源控制方法及系统
WO2023210399A1 (ja) * 2022-04-25 2023-11-02 東京エレクトロン株式会社 プラズマ処理装置、電源システム及びプラズマ処理方法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JP2728010B2 (ja) 1995-03-15 1998-03-18 株式会社日立製作所 プラズマ処理方法
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
JP3629705B2 (ja) 1997-06-06 2005-03-16 東京エレクトロン株式会社 プラズマ処理装置
JPH113879A (ja) 1997-06-11 1999-01-06 Hitachi Ltd 表面処理装置およびその運転方法
US6200651B1 (en) * 1997-06-30 2001-03-13 Lam Research Corporation Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
JPH11340213A (ja) 1998-03-12 1999-12-10 Hitachi Ltd 試料の表面加工方法
JP2000012524A (ja) 1998-06-24 2000-01-14 Hitachi Ltd ドライエッチング方法
KR100514150B1 (ko) * 1998-11-04 2005-09-13 서페이스 테크놀로지 시스템스 피엘씨 기판 에칭 방법 및 장치
JP4230029B2 (ja) 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
JP4680333B2 (ja) * 1998-12-28 2011-05-11 東京エレクトロンAt株式会社 プラズマ処理方法、エッチング方法、プラズマ処理装置及びエッチング装置
KR100317915B1 (ko) 1999-03-22 2001-12-22 윤종용 플라즈마 식각 장치
JP4831853B2 (ja) * 1999-05-11 2011-12-07 東京エレクトロン株式会社 容量結合型平行平板プラズマエッチング装置およびそれを用いたプラズマエッチング方法
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
JP4578651B2 (ja) 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
JP2002110647A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP2002270586A (ja) 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
CN100462475C (zh) * 2001-08-29 2009-02-18 东京电子株式会社 用于等离子处理的装置和方法
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
US6818562B2 (en) * 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
JP3844460B2 (ja) * 2002-08-05 2006-11-15 パイオニア株式会社 空間光変調器
JP4071069B2 (ja) 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
US6759339B1 (en) * 2002-12-13 2004-07-06 Silicon Magnetic Systems Method for plasma etching a microelectronic topography using a pulse bias power
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
JP4672455B2 (ja) 2004-06-21 2011-04-20 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法、ならびにコンピュータ読み取り可能な記憶媒体
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN102270577B (zh) * 2004-06-21 2014-07-23 东京毅力科创株式会社 等离子体处理装置和方法
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP4640939B2 (ja) * 2005-01-13 2011-03-02 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP4704087B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4642528B2 (ja) * 2005-03-31 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4754374B2 (ja) 2006-03-16 2011-08-24 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体

Also Published As

Publication number Publication date
JP2009033080A (ja) 2009-02-12
US10861678B2 (en) 2020-12-08
US10229815B2 (en) 2019-03-12
CN101523569A (zh) 2009-09-02
EP3200220A1 (en) 2017-08-02
TW200839868A (en) 2008-10-01
EP3654367A1 (en) 2020-05-20
WO2008044633A1 (fr) 2008-04-17
KR20090057088A (ko) 2009-06-03
JP5192209B2 (ja) 2013-05-08
US8852385B2 (en) 2014-10-07
CN101523569B (zh) 2012-07-18
TWI509684B (zh) 2015-11-21
US20190115192A1 (en) 2019-04-18
US20150000843A1 (en) 2015-01-01
EP3200220B1 (en) 2019-12-25
US20080110859A1 (en) 2008-05-15
EP2068353B1 (en) 2017-05-31
EP2068353A4 (en) 2011-01-12
EP2068353A1 (en) 2009-06-10

Similar Documents

Publication Publication Date Title
KR101154559B1 (ko) 플라즈마 에칭 장치 및 플라즈마 에칭 방법
JP5764186B2 (ja) プラズマエッチング装置およびプラズマエッチング方法
JP4827081B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
TWI424792B (zh) Plasma processing device and plasma processing method
KR102358732B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR20100087266A (ko) 플라즈마 에칭 방법, 플라즈마 에칭 장치 및 기억 매체
KR100876010B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
KR20160028370A (ko) 에칭 방법
US8609547B2 (en) Plasma etching method and computer-readable storage medium
KR100894345B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
KR20080006457A (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
US20180151333A1 (en) Plasma etching method
JP4827567B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
TW202147925A (zh) 電漿處理裝置及電漿處理方法
US20080014755A1 (en) Plasma etching method and computer-readable storage medium
WO2022244638A1 (ja) プラズマ処理装置及びrfシステム
US20070218699A1 (en) Plasma etching method and computer-readable storage medium
JP2022067033A (ja) 基板処理方法および基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 8