KR100876992B1 - 반도체 처리용 자외선 어시스트 처리 장치 - Google Patents

반도체 처리용 자외선 어시스트 처리 장치 Download PDF

Info

Publication number
KR100876992B1
KR100876992B1 KR1020037010268A KR20037010268A KR100876992B1 KR 100876992 B1 KR100876992 B1 KR 100876992B1 KR 1020037010268 A KR1020037010268 A KR 1020037010268A KR 20037010268 A KR20037010268 A KR 20037010268A KR 100876992 B1 KR100876992 B1 KR 100876992B1
Authority
KR
South Korea
Prior art keywords
processing
substrate
ultraviolet rays
window
gas
Prior art date
Application number
KR1020037010268A
Other languages
English (en)
Other versions
KR20030083708A (ko
Inventor
샤오쇼우-퀴안
리이쳉
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20030083708A publication Critical patent/KR20030083708A/ko
Application granted granted Critical
Publication of KR100876992B1 publication Critical patent/KR100876992B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명에 따른 반도체 처리용 자외선 어시스트 처리 장치(10)는 탑재대(11)와 대향하도록 처리실(12)을 규정하는 벽에 설치된 자외선을 투과하는 창(20)을 갖는다. 창(20)에 대향하도록 처리실(12) 밖으로 자외선을 발하는 광원(15)이 설치된다. 처리실(12)내에 처리 가스를 공급하기 위한 공급계는 창(20)의 내부에 형성된 처리 가스가 통과하는 헤드 스페이스(21)와, 처리 가스를 토출하는 복수의 토출 구멍(22)을 갖는다.

Description

반도체 처리용 자외선 어시스트 처리 장치{ULTRAVIOLET RAY ASSISTED PROCESSING DEVICE FOR SEMICONDUCTOR PROCESSING}
본 발명은 CVD(Chemical Vapor Deposition) 등의 막 퇴적, 산화, 확산, 개질, 어닐링, 에칭 등의 반도체 처리를 실행하기 위한 자외선 어시스트 처리 장치에 관한 것이다. 또한, 여기서, 반도체 처리란, 반도체 웨이퍼나 LCD 기판 등의 피처리 기판상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 상기 피처리 기판상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선, 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 각종 처리를 의미한다.
반도체 디바이스의 제조에 있어서는, 피처리 기판, 예컨대 반도체 웨이퍼에 막 퇴적, 산화, 확산, 개질(改質), 어닐링, 에칭 등의 처리를 실시하기 위해, 각종 처리 장치가 사용된다. 이러한 종류의 처리 장치로는 웨이퍼를 한 장씩 처리하는 매엽식 처리 장치가 알려져 있다. 통상, 매엽식 처리 장치는 기밀한 처리실과, 처리실내에서 피처리 기판을 탑재하기 위한 탑재대를 구비한다.
도 8은 종래의 매엽식 자외선 어시스트 처리 장치(성막 장치)의 개략을 도시 한 단면도이다.
이 성막 장치(80)는 자외선 투과창(84)에 의해 처리실(82a) 및 보조실(82b)로 분할된 처리 용기(82)를 갖는다. 처리실(82a)내에는 피처리 기판인 반도체 웨이퍼(W)를 탑재하기 위한 탑재대(81)가 설치된다. 탑재대(81)내에는 히터(도시하지 않음)가 설치된다. 처리실(82a)내에 또한 탑재대(81)에 대향하여 석영 유리로 이루어지는 샤워 헤드(83)가 설치된다. 샤워 헤드(83)에는 처리 가스를 처리실(82a)로 공급하는 처리 가스원(도시하지 않음)이 접속된다. 처리실(82a)의 측벽에는 웨이퍼(W)를 반출입(搬出入)하기 위한 웨이퍼 포트(86)가 형성된다. 웨이퍼 포트(86)는 게이트 밸브(87)에 의해 개폐된다.
한편, 보조실(82b)내에는 창(84)에 대향하여 자외선 램프(85)가 설치된다. 자외광 램프(85)로부터의 자외선은 창(84) 및 샤워 헤드(83)를 투과하여 처리실(82a)내의 처리 가스에 조사된다. 자외선의 에너지 및 탑재대(81)내의 히터의 열 에너지에 의해 처리 가스가 분해되어 활성종이 생성된다. 이와 같이 하여 생성된 활성종의 작용에 의해 웨이퍼(W)에 막이 형성된다.
성막 장치(80)에 있어서는 자외광 램프(85)가 샤워 헤드(83)를 거쳐 웨이퍼(W)와 대향한다. 이 때문에, 자외광 램프(85)와 웨이퍼(W)의 거리가 불가피하게 커지고, 처리 가스에 조사되는 자외선의 강도가 낮아진다. 그 결과, 성막 처리 효율이 저하하는 문제가 발생한다. 이와 같은 문제는 산화, 확산, 개질, 어닐링, 에칭 등의 다른 반도체 처리에 있어서도 동일하게 생긴다.
또한, 반도체 장치의 제조에 있어서는, 현재, 웨이퍼 직경의 대형화와 함께, 회로의 고집적화, 패턴 치수의 미세화(디자인 룰의 축소)의 요청이 있다. 이 때문에, 처리 내용에 따라서는, 처리 가스에 자외선을 조사하는 한편, 웨이퍼 등의 피처리 기판에 대해서는 자외선의 영향을 가능한 한 작게 하는 것이 요구되는 경우가 있다.
발명의 요약
따라서, 본 발명은 처리 효율이 높은 반도체 처리용 자외선 어시스트 처리 장치를 제공하는 것을 목적으로 한다.
본 발명은 또한 처리 가스에 자외선을 조사하는 한편, 피처리 기판에 대해서는 자외선의 영향을 가능한 한 작게 하는 것이 가능한 반도체 처리용 자외선 어시스트 처리 장치를 제공하는 것을 목적으로 한다.
본 발명의 제 1 실시예는, 반도체 처리용 자외선 어시스트 처리 장치로서,
피처리 기판을 수납하는 처리실과,
상기 처리실내에 설치되고 상기 피처리 기판을 지지하는 탑재대와,
상기 탑재대를 거쳐 상기 피처리 기판을 가열하는 히터와,
상기 탑재대와 대향하도록 상기 처리실을 규정하는 벽에 설치되고 자외선을 투과하는 창과,
상기 창에 대향하도록 상기 처리실 외부에 설치되고 자외선을 발하는 광원과,
상기 처리실내를 배기하기 위한 배기계와,
상기 처리실내에 처리 가스를 공급하기 위한 공급계를 포함하며,
상기 공급계는, 상기 창의 내부에 형성된 상기 처리 가스가 통과하는 헤드 스페이스와, 상기 탑재대에 대향하는 상기 창의 면에 형성되고 또한 상기 헤드 스페이스와 연통하여, 상기 처리 가스를 토출하는 복수의 토출 구멍을 갖는다.
상기 헤드 스페이스는 상기 광원에 면하는 폭이 1∼10㎜의 가스 유로를 형성할 수 있다. 대신에, 상기 헤드 스페이스는 상기 피처리 기판보다도 큰 윤곽을 갖는 가스 저장기(gas reservoir)를 형성할 수 있다.
본 발명의 제 2 실시예는 반도체 처리용 자외선 어시스트 처리 장치로서,
피처리 기판을 수납하는 처리실과,
상기 처리실내에 설치되고 상기 피처리 기판을 지지하는 탑재대와,
상기 탑재대를 거쳐 상기 피처리 기판을 가열하는 히터와,
상기 탑재대와 대향하도록 상기 처리실을 규정하는 벽에 설치되고 자외선을 투과하는 창과,
상기 창에 대향하도록 상기 처리실 외부에 설치되고 자외선을 발하는 광원과,
상기 처리실내를 배기하기 위한 배기계와,
상기 처리실내에 제 1 및 제 2 처리 가스를 공급하기 위한 공급계를 포함하며,
상기 공급계는, 상기 창의 내부에 형성된 상기 제 1 및 제 2 처리 가스가 각각 통과하는 제 1 및 제 2 헤드 스페이스와, 상기 탑재대에 대향하는 상기 창의 면에 형성되고 또한 상기 제 1 및 제 2 헤드 스페이스와 각각 연통하며, 상기 제 1 및 제 2 처리 가스를 각각 토출하는 복수의 제 1 및 제 2 토출 구멍을 갖는다.
도 1은 본 발명의 실시예에 따른 반도체 처리용 자외선 어시스트 처리 장치(성막 장치)의 개략을 나타내는 단면도,
도 2는 도 1에 도시한 장치에 있어서의 자외선 투과창을 확대하여 나타내는 단면도,
도 3은 도 2의 Ⅲ-Ⅲ선에 따른 투과창의 횡단 평면도,
도 4는 본 발명의 다른 실시예에 따른 반도체 처리용 자외선 어시스트 처리 장치에 있어서의 자외선 투과창을 확대하여 나타내는 단면도,
도 5는 도 4의 V-V선에 따른 투과창의 횡단 평면도,
도 6은 본 발명의 또 다른 실시예에 따른 반도체 처리용 자외선 어시스트 처리 장치(CVD 장치)의 개략을 나타내는 단면도,
도 7은 도 6에 도시한 장치에 있어서의 자외선 투과창을 확대하여 나타내는 단면도,
도 8은 종래의 매엽식 자외선 어시스트 처리 장치(성막 장치)의 개략을 나타내는 단면도.
본 발명의 실시예에 대하여 도면을 참조하여 이하에 설명한다. 또한, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는, 동일 부호를 붙여, 중복 설명은 필요한 경우에만 한다.
도 1은 본 발명의 실시예에 따른 반도체 처리용 자외선 어시스트 처리 장치( 성막 장치)의 개략을 나타내는 단면도이다. 도 1의 단면은 처리실내에 탑재되는 피처리 기판(반도체 웨이퍼)에 대하여 수직한 단면이다.
이 성막 장치(10)는 피처리 기판인 반도체 웨이퍼(W)를 수납하는 전체가 대략 통 형상의 처리실(12)을 갖는다. 처리실(12)의 천정판의 중앙에는 개구(13)가 형성되고, 이것은 자외선을 투과하는 창(20)에 의해 기밀하게 폐쇄된다. 처리실(12) 상부에는 투과창(20)에 의해 처리실(12)과 구획된 보조실(14)이 설치된다.
처리실(12)의 측벽(12A)에는 웨이퍼(W)를 반출입하기 위한 웨이퍼 포트(121)가 형성된다. 웨이퍼 포트(121)는 측벽(12A)의 외측에 설치된 게이트 밸브(16)에 의해 개폐된다. 처리실(12)의 바닥판의 중앙에는 개구(123)가 형성되고, 이것은 배기관을 거쳐, 진공 펌프 등을 포함하는 배기부(26)에 접속된다. 배기부(26)에 의해, 처리실(12)내가 진공 배기되어 소정의 진공도로 설정된다.
처리실(12)내에는 자외선 투과창(20)과 대향하도록 웨이퍼(W)를 탑재하기 위한 탑재대(11)가 설치된다. 탑재대(11)는 중공 원통 형상의 지지 칼럼(111)의 상단에 동심상으로 지지된다. 지지 칼럼(111)은 처리실(12)의 바닥부의 개구(123)를 관통하여 하방으로 연장되고, 그 하단은 탑재대(11)를 회전시키기 위한 회전 기구(도시하지 않음) 및 탑재대(11)를 상하로 이동시키기 위한 승강 기구(도시하지 않음)에 연결된다.
탑재대(11)내에는, 예컨대 SiC에 의해 코팅된 질화물계의 세라믹을 사용한 히터(11A)가 내장된다. 히터(11A)는 지지 칼럼(111)내를 통과하는 급전선을 거쳐 급전부에 접속된다. 히터(11A)에 의해, 탑재대(11)의 탑재면을 거쳐 웨이퍼(W)가 소정의 온도로 균일하게 가열된다.
보조실(14)내에는 자외선 투과창(20)에 대향하여 자외선 광원(15)이 설치된다. 이 보조실(14)의 내부는 예컨대 질소 가스 등의 불활성 가스로 채워진다. 광원(15)으로는 저압 수은 램프, 고압 수은 램프, 엑시머 레이저(excimer laser) 광원 등을 사용할 수 있다. 광원(15)으로부터의 광의 강도나 파장은 처리 형태나 처리 가스에 따라 변경된다. 또한, 광원(15)은 투과창(20) 전체에 걸쳐 가로로 나열한 복수개의 바 형상 램프나, 미러(mirror)와 조합하여 사용되는 선광원 혹은 점광원의 램프로 할 수 있다.
도 2는 자외선 투과창(20)을 확대하여 나타내는 단면도이다. 도 3은 도 2의 Ⅲ-Ⅲ선에 따른 투과창(20)의 횡단 평면도이다.
투과창(20)은 석영, 실리콘 산화물, 사파이어, 불화 칼슘(CaF2)으로 구성되는 그룹으로부터 선택된 재료로 실질적으로 이루어진다. 투과창(20)은 웨이퍼(W)의 직경보다 큰 원판 형상을 이루고, 탑재대(11) 및 그 위에 탑재되는 웨이퍼(W)와 동심형으로 설치된다. 투과창(20)내에는 처리 가스를 처리실(12)로 도입하기 위한 헤드 스페이스(가스 유로)(21)가 형성된다. 탑재대(11)에 대향하는 투과창(20)의 면에는, 헤드 스페이스(21)와 연통하는, 처리 가스를 토출하기 위한 복수의 토출 구멍(22)이 형성된다.
구체적으로는, 도 3에 도시하는 바와 같이, 헤드 스페이스(21)는 웨이퍼(W)와 대향하고 또한 웨이퍼(W)보다도 큰 윤곽의 영역 전체에 걸쳐 격자상 패턴을 형성하는 가스 유로(21)로 이루어진다. 가스 유로(21)의 격자는, 투과창(20)의 표면과 평행한 면내에 있어서, 등간격으로 종횡으로 연장되어 교차점에서 서로 연통하는 복수의 유로 부분(21A)에 의해 구성된다. 유로 부분(21A)의 각각은 광원(15)에 면하는 폭이 1∼10㎜, 바람직하게는 3∼6㎜가 되도록 형성된다.
처리 가스의 토출 구멍(22)은 유로 부분(21A)이 서로 교차하는 개소[가스 유로(21)의 격자상 패턴의 코너부]에 배치된다. 토출 구멍(22)은 일단부가 처리실(12)의 내부 공간으로 개구하여, 가스 유로(21)를 처리실(12)의 내부 공간과 연통시킨다. 토출 구멍(22)은 투과창(20)의 저면(도 2에 있어서 하면)에 있어서, 적어도 웨이퍼(W)의 윤곽내에서 동일한 형태로 분포된다.
가스 유로(헤드 스페이스)(21)에 연통하고, 일단부가 투과창(20)의 외주면으로 개구하는 가스 도입로(23)가 형성된다. 가스 도입로(23)는 공급관을 거쳐 처리 가스의 공급부(25)에 접속된다. 처리 가스는 형성할 막의 종류에 따라 적절히 선택되고, 예컨대 산화막의 성막 처리의 경우에는, 산소 가스, 오존 가스 등이 사용된다.
투과창(20)은, 예컨대 투과창(20)의 재료로 구성되는 2장의 원판 형상의 구성 부재를 접합함으로써 형성할 수 있다. 이 경우, 가스 유로(21)는 구성 부재의 어느 한쪽 접합측의 면에 미리 홈을 형성해 둠으로써 얻을 수 있다. 또한, 토출 구멍(22)도 하면측으로 되는 구성 부재에 미리 구멍을 개방하여 둠으로써 얻을 수 있다. 이러한 홈은 창을 형성하는 재료 자체를 절삭하거나, 혹은 창을 형성하는 재료 자체를 주형에 의해 성형할 때에 동시에 형성할 수 있다. 또한, 2장의 구성 부재의 접합에는 용접을 사용할 수 있다.
다음에, 상기 성막 장치(10)에 있어서의 처리에 대하여 설명한다. 우선, 이송 기구(도시하지 않음)에 의해, 웨이퍼(W)가 반출입구(121)로부터 처리실(12)내로 반입되어 탑재대(11)상에 탑재된다. 히터(11A)에 의해 웨이퍼(W)가 소정의 온도로 가열되는 한편, 배기부(26)에 의해 처리실(12)내가 감압 상태로 된다. 다음에, 처리실(12)내의 배기를 계속한 상태에서, 예컨대 오존 가스로 구성되는 처리 가스가 처리실(12)내로 공급된다. 이 때, 처리 가스는, 제어된 유량으로, 공급부(25)로부터 투과창(20)의 가스 도입로(23)를 통해 가스 유로(헤드 스페이스)(21)에 도입된다. 다음에, 처리 가스는 가스 유로(21) 전역으로 흘러, 각각의 가스 토출 구멍(22)으로부터 처리실(12)내로 균등하게 공급된다.
한편, 예컨대 자외선 램프로 이루어지는 광원(15)이 점등되어 자외선이 조사된다. 광원(15)으로부터의 자외선은 투과창(20)을 투과하여 처리실(12)내의 처리 가스(오존 가스)에 조사된다. 자외선의 에너지 및 탑재대(11)내의 히터(11A)의 열 에너지에 의해, 처리 가스가 분해되어 활성종이 생성된다. 이렇게 하여 생성된 활성종(活性種)의 작용에 의해, 웨이퍼(W)가 산화되어 산화막(예컨대, 실리콘 웨이퍼에 대하여 실리콘 산화막)이 형성된다.
성막 장치(10)에 의하면, 처리 가스가 투과창(20)의 내부에 형성된 가스 유로(21) 및 토출 구멍(22)을 거쳐 처리실(12)내로 공급된다. 이 때문에, 처리 가스를 웨이퍼(W)에 대하여 균일하게 공급할 수 있다. 또한, 투과창(20)의 내부를 처리 가스가 흐르기 때문에, 투과창(20)이 과열 상태로 되어 균열되는 것을 유효하게 방지할 수 있다.
또한, 종래의 샤워 헤드와 같은 가스 도입 수단을 처리실(12)내에 별개로 설치할 필요가 없기 때문에, 광원(15)과 웨이퍼(W)의 이격 거리를 극히 작은 상태로 할 수 있다. 또한, 가스 유로(21)의 면적은 작고, 자외선의 대부분은 투과창(20)의 재료 자체를 통과한다. 따라서, 처리실(12)내에서 자외선을 충분히 높은 강도로 처리 가스에 작용시킬 수 있어, 웨이퍼(W)의 표면 근방에 있어서 활성종을 높은 효율로 생성시킬 수 있다. 그 결과, 웨이퍼(W)에 대한 성막 처리를 높은 효율로 할 수 있다.
또한, 도 1의 구성의 처리 장치를 사용하여, 웨이퍼(W)에 대하여 표면 개질 처리(어닐링 처리)를 실행할 수 있다. 이 경우, 금속 산화막이 형성된 웨이퍼(W)가 처리실(12)의 탑재대(11)상에 탑재된다. 다음에, 웨이퍼(W)가 소정의 온도로 가열되는 동시에, 처리실(12)이 감압 상태로 된다. 그리고, 예컨대 오존 가스로 이루어지는 처리 가스가 투과창(20)에 있어서의 가스 유로(21)를 거쳐 처리실(12)에 공급된다. 또한, 광원(15)이 점등되고, 처리실(12)내에 있어서 오존 가스가 자외선에 의해 여기되어, 다량의 활성종이 생성된다. 이 활성종의 작용에 의해 웨이퍼(W)의 표면에 형성된 금속 산화막이 산화되고, 이로써 금속 산화막의 개질이 실행된다.
또한, 자외선 광원(15)을 대신하여, 가열 수단으로서 할로겐 램프를 사용할 수도 있다. 이 경우, 할로겐 램프에 의해 웨이퍼(W)의 온도가 소정의 온도까지 가열된다. 또한, 처리 가스가 웨이퍼(W)의 표면에서 열분해됨으로써 활성종이 생성되고, 이로써 웨이퍼(W)의 성막 처리 혹은 표면 개질 처리가 실행된다. 이 경우에 있어서도, 할로겐 램프와 웨이퍼(W)의 거리를 극히 작게 할 수 있다. 이 때문에, 웨이퍼(W)를 효율적으로 가열할 수 있어, 소망하는 처리를 높은 효율로 실행할 수 있다.
도 4는 본 발명의 다른 실시예에 따른 반도체 처리용 자외선 어시스트 처리 장치에 있어서의 자외선 투과창을 확대하여 나타내는 단면도이다. 도 5는 도 4의 V-V선에 따른 투과창의 횡단 평면도이다. 이 자외선 투과창(30) 이외의 본 실시예에 따른 장치의 구성은 도 1에 도시하는 장치와 동일하다고 할 수 있다. 따라서, 이하의 설명에 있어서는, 도 1과 함께 참조하고자 한다.
투과창(30)은 석영, 실리콘 산화물, 사파이어, 불화 칼슘(CaF2)으로 이루어지는 그룹으로부터 선택된 재료로 실질적으로 이루어진다. 투과창(30)은 웨이퍼(W)의 직경보다 큰 원판 형상을 이루고, 탑재대(11) 및 그 위에 탑재되는 웨이퍼(W)와 동심형으로 설치된다. 투과창(30)내에는 처리 가스를 처리실(12)로 도입하기 위한 헤드 스페이스(가스 저장기)(31)가 형성된다. 탑재대(11)에 대향하는 투과창(30)의 면에는, 헤드 스페이스(31)와 연통하는, 처리 가스를 토출하기 위한 복수의 토출 구멍(32)이 형성된다.
구체적으로는, 도 5에 도시하는 바와 같이, 헤드 스페이스(31)는, 웨이퍼(W)와 대향하고 또한 웨이퍼(W)보다도 큰 윤곽을 갖는 가스 저장기(31)로 이루어진다. 가스 저장기(31)는 탑재대(11) 및 그 위에 탑재되는 웨이퍼(W)와 동심형으로 설치된다. 가스 저장기(31)의 천정판과 바닥판 사이에는, 투과창(30)에 충분한 강도를 부여하도록, 다수의 핀 형상의 지지 부재(35)가 개재된다. 그러나, 가스 저장기(31)의 평면적에 대한 지지 부재(35) 전체의 총 평면적의 비율은 작고, 5∼30%, 바람직하게는 5∼15%가 되도록 설정된다.
지지 부재(35)와 처리 가스의 토출 구멍(32)은 격자상 패턴을 형성하는 가상 직선을 따라 교대로 배치된다. 토출 구멍(32)은 일단부가 처리실(12)의 내부 공간으로 개구하여, 가스 저장기(31)를 처리실(12)의 내부 공간과 연통시킨다. 토출 구멍(32)은 투과창(30)의 바닥면(도 4에 있어서 하면)에 있어서, 적어도 웨이퍼(W)의 윤곽내에서 동일한 형태로 분포된다.
가스 유로(헤드 스페이스)(31)에 연통하고, 일단부가 투과창(30)의 외주면으로 개구하는 가스 도입로(33)가 형성된다. 가스 도입로(33)는 공급관을 거쳐 처리 가스의 공급부(25)에 접속된다.
투과창(30)은, 예컨대 투과창(30)의 재료로 이루어지는 2장의 원판 형상의 구성 부재를 접합함으로써 형성할 수 있다. 이 경우, 가스 저장기(31)는 구성 부재의 어느 한쪽 접합측의 면에 미리 홈부를 형성해 둠으로써 얻을 수 있다. 또한, 지지 부재(35)는 구성 부재의 어느 한쪽의 접합측의 면에 미리 돌기를 설치해둠으로써 얻을 수 있다. 또한, 토출 구멍(32)도 하면측으로 되는 구성 부재에 미리 구멍을 개방해 둠으로써 얻을 수 있다. 이러한 홈부나 구멍은 창을 형성하는 재료 자체를 절삭하거나, 혹은 창을 형성하는 재료 자체를 주형에 의해 성형할 때에 동시에 형성할 수 있다. 또한, 지지 부재(35)로 되는 돌기는 별도로 형성하여 원판 형상의 구성 부재에 대하여 용접할 수 있다.
이 실시예의 성막 장치에 의하면, 투과창(30)의 가스 저장기(31)내에 처리 가스의 가스층이 형성된다. 광원(15)으로부터의 자외선은 가스 저장기(31)내로 처리 가스에 조사되고, 처리 가스는 자외선의 에너지에 의해 가스 저장기(31)내에서 활성화된다. 이렇게 해서 활성화된 처리 가스가 토출 구멍(32)을 거쳐 처리실(12)내로 공급되어, 웨이퍼(W)에 대하여 처리가 실시된다.
이 때문에, 처리 가스를 웨이퍼(W)에 대하여 균일하게 공급할 수 있다. 또한, 투과창(30)의 내부를 처리 가스가 흐르기 때문에, 투과창(30)이 과열 상태로 되어 균열되는 것을 유효하게 방지할 수 있다. 또한, 종래의 샤워 헤드와 같은 가스 도입 수단을 처리실(12)내에 별개로 설치할 필요가 없기 때문에, 처리실(12)을 소형화할 수 있다.
광원(15)으로부터의 자외선은 가스 저장기(31)내에 처리 가스의 가스층에 흡수되기 때문에, 자외선이 웨이퍼(W)에 직접적으로 도달하기 어려워진다. 여기서, 가스 저장기(31)내의 처리 가스의 양, 혹은 광원(15)으로부터의 광의 방사 강도 등의 설정에 의해, 실제적으로 광원(15)으로부터의 자외광이 웨이퍼에 조사되지 않는 상태를 실현할 수 있다. 따라서, 처리 가스에 자외선을 조사하는 한편, 웨이퍼(W)에 대해서는 자외선의 영향을 가능한 한 작게 하고자 하는 경우, 극히 유효한 처리를 실행할 수 있다. 이것은, 예컨대, 디자인 룰이 더욱 작아진 웨이퍼에 대하여, 높은 에너지를 갖는 자외선의 광원을 사용하지 않을 수 없는 경우 등이다.
도 6은 본 발명의 또 다른 실시예에 따른 반도체 처리용 자외선 어시스트 처리 장치(CVD 장치)의 개략을 나타내는 단면도이다. 도 7은 도 6에 도시한 장치에 있어서의 자외선 투과창을 확대하여 나타내는 단면도이다. 도 6 및 도 7에 도시한 장치는, 자외선 투과창 및 처리 가스의 공급계 이외의 구성은 도 1에 도시한 장치와 동일하게 할 수 있다.
이 CVD 장치(60)의 투과창(61)도 석영, 실리콘 산화물, 사파이어, 불화칼슘(CaF2)으로 구성되는 그룹으로부터 선택된 재료로 실질적으로 이루어진다. 투과창(61)은 웨이퍼(W)의 직경보다 큰 원판 형상을 이루고, 탑재대(11) 및 그 위에 탑재되는 웨이퍼(W)와 동심상으로 설치된다. 투과창(61)내에는 처리 가스를 처리실(12)로 도입하기 위한 제 1 및 제 2 헤드 스페이스(제 1 및 제 2 가스 유로)(62A, 62B)가 형성된다. 탑재대(11)에 대향하는 투과창(61)의 면에는, 제 1 및 제 2 헤드 스페이스(62A, 62B)와 각각 연통하는, 처리 가스를 토출하기 위한 복수의 제 1 및 제 2 토출 구멍(63A, 63B)이 형성된다.
구체적으로는, 제 1 및 제 2 헤드 스페이스(62A, 62B)는 투과창(61)의 두께 방향으로 격벽(61A)을 거쳐 중첩된다. 제 1 및 제 2 헤드 스페이스(62A, 62B)는 웨이퍼(W)와 대향하고 또한 웨이퍼(W)보다도 큰 윤곽의 영역 전체에 걸쳐 격자상 패턴을 형성하는 제 1 및 제 2 가스 유로(62A, 62B)로 각각 구성된다. 제 1 및 제 2 가스 유로(62A, 62B) 각각의 격자상 패턴은 도 3에 도시하는 바와 같은 것이다. 제 1 및 제 2 가스 유로(62A, 62B)의 격자의 각각은, 투과창(61)의 표면과 평행한 면내에서, 등간격으로 종횡으로 연장되어 교차점에서 서로 연통하는 복수의 유로 부분(64A, 64B)에 의해 구성된다. 유로 부분(64A, 64B)의 각각은 광원(15)에 면하는 폭이 1∼10㎜, 바람직하게는 3∼6㎜가 되도록 형성된다.
처리 가스의 제 1 및 제 2 토출 구멍(63A, 63B)은, 유로 부분(64A, 64B)이 서로 교차하는 개소[제 1 및 제 2 가스 유로(62A, 62B)의 격자상 패턴의 코너부]에 배치된다. 제 1 및 제 2 토출 구멍(63A, 63B)은, 일단부가 처리실(12)의 내부 공간으로 개구하여, 제 1 및 제 2 가스 유로(62A, 62B)를 처리실(12)의 내부 공간과 연통시킨다. 제 1 및 제 2 토출 구멍(63A, 63B)은, 투과창(61)의 바닥면(도 7에 있어서 하면)에 있어서, 적어도 웨이퍼(W)의 윤곽내에서 동일한 형태로 분포된다. 또한, 제 1 및 제 2 토출 구멍(63A, 63B)은 투과창(61)의 반경 방향을 따라 교대로 배치(지그재그 형상)된다.
제 1 및 제 2 가스 유로(제 1 및 제 2 헤드 스페이스)(62A, 62B)에 각각 연통하고, 일단부가 투과창(61)의 외주면으로 개구하는 제 1 및 제 2 가스 도입로(66A, 66B)가 형성된다. 제 1 및 제 2 가스 도입로(66A, 66B)는 공급관을 거쳐 제 1 및 제 2 처리 가스의 공급부(25A, 25B)에 접속된다. 제 1 및 제 2 처리 가스는, 형성해야 할 막의 종류에 따라 적절히 선택되고, 예컨대 금속 산화막을 CVD에 의해 형성하는 경우는, 제 1 및 제 2 처리 가스로 유기 금속 가스 및 산소가 각각 사용된다.
투과창(61)은, 예컨대 투과창(61)의 재료로 이루어지는 3장 이상의 원판 형상의 구성 부재를 접합함으로써 형성할 수 있다. 이 경우, 제 1 및 제 2 가스 유로(62A, 62B)의 각각은 구성 부재 중 어느 하나의 접합측의 면에 미리 홈을 형성해 둠으로써 얻을 수 있다. 또한, 제 1 및 제 2 토출 구멍(63A, 63B)도 격벽(61A)이나 하면측으로 되는 구성 부재에 미리 구멍을 개방해둠으로써 얻을 수 있다. 이러한 홈이나 구멍은, 창을 형성하는 재료 자체를 절삭하거나, 혹은 창을 형성하는 재료 자체를 주형에 의해 성형할 때에 동시에 형성할 수 있다.
본 실시예의 성막 장치(60)에 의하면, 제 1 및 제 2 처리 가스는, 제어된 유량으로, 제 1 및 제 2 공급부(25A, 25B)로부터 투과창(61)의 제 1 및 제 2 가스 도입로(66A, 66B)를 통해 제 1 및 제 2 가스 유로(제 1 및 제 2 헤드 스페이스)(62A, 62B)에 도입된다. 다음에, 처리 가스는 제 1 및 제 2 가스 유로(62A, 62B) 전역으로 흐르고, 제 1 및 제 2 토출 구멍(63A, 63B)으로부터 처리실(12)내로 균일하게 공급된다. 제 1 및 제 2 토출 구멍(63A, 63B)으로부터 토출된 제 1 및 제 2 처리 가스는 처리 공간에 있어서 혼합되어, 소위 포스트 믹스(post mix)된다.
한편, 광원(15)으로부터의 자외선은 투과창(61)을 투과하여 처리실(12)내의 제 1 및 제 2 처리 가스에 조사된다. 자외선의 에너지 및 탑재대(11)내의 히터(11A)의 열 에너지에 의해, 처리 가스가 분해되어 활성종이 생성된다. 이렇게 하여 생성된 활성종의 반응에 의해, 웨이퍼(W)상에 CVD막, 예컨대 금속 산화막이 형성된다.
성막 장치(60)에 의하면, 처리 가스가 투과창(61)의 내부에 형성된 제 1 및 제 2 가스 유로(62A, 62B) 및 제 1 및 제 2 토출 구멍(63A, 63B)을 거쳐 처리실(12)내에 공급된다. 이 때문에, 처리 가스를 웨이퍼(W)에 대하여 균일하게 공급할 수 있다. 또한, 투과창(61)의 내부를 처리 가스가 흐르기 때문에, 투과창(61)이 과열 상태로 되어 균열되는 것을 유효하게 방지할 수 있다.
또한, 종래의 샤워 헤드와 같은 가스 도입 수단을 처리실(12)내에 별개로 설치할 필요가 없기 때문에, 광원(15)과 웨이퍼(W)의 이격 거리를 매우 작은 상태로 할 수 있다. 또한, 제 1 및 제 2 가스 유로(62A, 62B)의 면적은 작고, 자외선의 대부분은 투과창(61)의 재료 자체를 통과한다. 따라서, 처리실(12)내에서 자외선을 충분히 높은 강도로 처리 가스에 작용시킬 수 있고, 웨이퍼(W)의 표면 근방에 있어서 활성종을 높은 효율로 생성시킬 수 있다. 그 결과, 웨이퍼(W)에 대한 성막 처리를 높은 효율로 실행할 수 있다.
또한, 다른 종류의 제 1 및 제 2 가스는 서로 독립된 제 1 및 제 2 가스 유로(62A, 62B)를 통과하기 때문에, 투과창(61)의 내부에 있어서, 제 1 및 제 2 가스 처리 가스끼리가 반응하지 않는다. 즉, 투과창(61)내에서 반응 생성물이 생성되는 것을 확실히 방지하여, 반응 생성물에 의해 처리 효율이 저하하는 것을 방지할 수 있다. 또한, 서로 독립적인 제 1 및 제 2 가스 유로(62A, 62B)를 형성함으로써, 제 1 및 제 2 가스 처리 가스의 선택에 있어서의 자유도가 높아지기 때문에, 상기 장치를 다종 다양한 성막 처리를 실행하도록 설정하는 것이 가능해진다.
<실험예 1>
도 2 및 도 3에 나타내는 투과창(20)을 도 1에 도시하는 구성에 적용한 성막 장치(10)를 사용하여, 직경이 200㎜인 웨이퍼에 대하여, 하기에 나타내는 조건으로, 두께 0.8∼1.5㎚의 게이트 산화막을 형성하는 성막 처리의 시뮬레이션(simulation) 실험을 실행했다.
[투과창(20) 조건]
투과창의 두께: 15㎜,
투과창의 직경: 343㎜,
유로 부분(21A)의 단면적: 2㎟,
가스 토출 구멍(22)의 수: 97개,
유로 부분(21A)에 의해 구획된 광투과 부분의 총면적: 5×104㎟,
광원(15)으로부터의 자외광의 투과율: 80%.
[처리 조건]
처리 가스: 산소 가스,
가스 유량: 1slm,
가스 압력: 670㎩(5Torr),
퍼지용 가스: 질소 가스,
웨이퍼의 가열 온도: 450℃,
광원: 저압 수은 램프,
램프의 방사 강도: 50mW/㎠
이상의 결과, 소망하는 실리콘 산화막을 형성하기 위해 필요한 처리 시간이 종래에 있어서의 처리 장치에 비해 단축되고, 실제적인 처리 효율이 10∼30% 정도 향상하는 것을 기대할 수 있다는 것이 확인되었다.
<실험예 2>
도 4 및 도 5에 나타내는 투과창(30)을 도 1에 나타내는 구성에 적용한 어닐링 장치(10)를 사용하여, 하기에 나타내는 조건으로, 직경이 200㎜인 웨이퍼의 표면에 형성된 두께 8㎚의 금속 산화막[예컨대, 탄탈 산화(Ta2O5)막]의 표면 개질 처리의 시뮬레이션 실험을 실행했다.
[투과창(30) 조건]
투과창의 두께: 20㎜,
투과창의 직경: 343㎜,
가스 저장기(31)의 단면적: 5.7×104
가스 저장기(31)의 용량: 2.86×1053
가스 토출 구멍(32)의 수: 173개.
[처리 조건]
처리 가스: 오존 가스,
가스 유량: 10slm,
가스 압력: 4kPa(30Torr),
웨이퍼의 가열 온도: 500℃,
광원: 저압 수은 램프,
램프의 방사 강도: 50mW/㎠
이상의 결과, 소망하는 막 특성을 갖는 것을 얻기 위해 필요한 처리 시간이 종래에 있어서의 처리 장치에 비해 단축되고, 실제적인 처리 효율이 10∼30% 정도 향상하는 것을 기대할 수 있다는 것이 확인되었다.
또한, 상술한 실시예에는, 예컨대 이하에 나타내는 바와 같은 각종 변경을 부가할 수 있다.
투과창의 두께, 크기, 유로 부분의 단면적, 처리 가스의 헤드 스페이스의 용량, 가스 도입로의 수 등의 조건은 목적하는 처리에 따라 적절히 변경된다. 투과창에 있어서의 가스 토출 구멍의 수 및 분포도 특별히 한정되지 않는다. 단, 피처리 기판에 대하여 처리 가스를 균일하게 공급할 수 있는 것이 바람직하다.
형성 가능한 막에는, 실리콘막, 실리콘산 질화막, 혹은 금속 산화막, 예컨대 산화 탄탈막, 산화 티타늄막, 산화 지르코늄막, 산화 바륨막, 산화 스트론튬막이 포함된다. 처리 가스의 종류는 목적하는 처리에 따라 적절히 변경된다.
피처리 기판의 가열 방식으로는, 세라믹 히터에 의한 저항 가열 방식을 대신하여, 예컨대 할로겐 램프 등에 의한 램프 가열 방식을 이용할 수 있다. 이 경우는, 탑재대를 얇은 판상 부재로 하여, 할로겐 램프를 탑재대의 하방에 설치한다.
상술한 실시예에 있어서는 산화 성막 장치, 어닐링 장치, CVD 장치를 예시했지만, 이 밖에, 본 발명은 확산 장치, 에칭 장치, 애싱 장치, 스퍼터 장치 등의 다른 반도체 처리 장치에 적용하는 것이 가능하다. 사용되는 처리 가스는 처리에 따라 여러 종류 선택한다. 또한, 본 발명은 반도체 웨이퍼 이외의 피처리 기판, 예컨대, LCD 기판, 유리 기판 등에도 적용할 수 있다.
본 발명은, 상기 각 실시예에 한정되지 않고, 실시 단계에서는 그 요지를 일 탈하지 않는 범위에서 여러가지로 변경하는 것이 가능하다. 또한, 각 실시예는 가능한 한 적절히 조합하여 실시할 수도 있고, 그 경우 조합된 효과를 얻을 수 있다.

Claims (23)

  1. 자외선을 사용하여 기판을 처리하는 처리 장치에 있어서,
    피처리 기판을 수납하는 처리실과,
    상기 처리실내에 설치되고 상기 피처리 기판을 지지하는 탑재대와,
    상기 탑재대를 거쳐 상기 피처리 기판을 가열하는 히터와,
    상기 탑재대와 대향하도록 상기 처리실을 규정하는 벽에 설치되고 자외선을 투과하는 창과,
    상기 창에 대향하도록 상기 처리실 외부에 설치되고 자외선을 발하는 광원과,
    상기 처리실내를 배기하기 위한 배기계와,
    상기 처리실내에 처리 가스를 공급하기 위한 공급계를 포함하며,
    상기 공급계는, 상기 창의 내부에 형성되고 상기 처리 가스가 통과하는 가스 유로와, 상기 탑재대에 대향하는 상기 창의 면에 형성되고 또한 상기 가스 유로와 연통하며, 상기 처리 가스를 토출하는 복수의 토출 구멍을 갖고,
    상기 창은 자외선을 투과하는 복수의 판상 구성 부재를 접합하여 형성되고, 상기 가스 유로는 상기 구성 부재에 형성된 홈으로 구성되는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 자외선을 사용하여 기판을 처리하는 처리 장치에 있어서,
    피처리 기판을 수납하는 처리실과,
    상기 처리실내에 설치되고 상기 피처리 기판을 지지하는 탑재대와,
    상기 탑재대를 거쳐 상기 피처리 기판을 가열하는 히터와,
    상기 탑재대와 대향하도록 상기 처리실을 규정하는 벽에 설치되고 자외선을 투과하는 창과,
    상기 창에 대향하도록 상기 처리실 외부에 설치되고 자외선을 발하는 광원과,
    상기 처리실내를 배기하기 위한 배기계와,
    상기 처리실내에 처리 가스를 공급하기 위한 공급계를 포함하며,
    상기 공급계는, 상기 창의 내부에 형성되고 상기 처리 가스가 통과하는 헤드 스페이스와, 상기 탑재대에 대향하는 상기 창의 면에 형성되고 또한 상기 헤드 스페이스와 연통하며, 상기 처리 가스를 토출하는 복수의 토출 구멍을 갖고,
    상기 헤드 스페이스는 상기 피처리 기판보다도 큰 윤곽을 갖는 가스 저장기를 형성하며, 상기 가스 저장기는 그 천정판과 바닥판 사이에 개재하는 핀 형상의 지지 부재를 구비하는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  10. 제 9 항에 있어서,
    상기 가스 저장기의 평면적에 대한 상기 지지 부재의 총 평면적의 비율은 5∼30%인
    자외선을 사용하여 기판을 처리하는 처리 장치.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 자외선을 사용하여 기판을 처리하는 처리 장치에 있어서,
    피처리 기판을 수납하는 처리실과,
    상기 처리실내에 설치되고 상기 피처리 기판을 지지하는 탑재대와,
    상기 탑재대를 거쳐 상기 피처리 기판을 가열하는 히터와,
    상기 탑재대와 대향하도록 상기 처리실을 규정하는 벽에 설치되고 자외선을 투과하는 창과,
    상기 창에 대향하도록 상기 처리실 외부에 설치되고 자외선을 발하는 광원과,
    상기 처리실내를 배기하기 위한 배기계와,
    상기 처리실내에 제 1 및 제 2 처리 가스를 공급하기 위한 공급계를 포함하며,
    상기 공급계는, 상기 창의 내부에 형성되고 상기 제 1 및 제 2 처리 가스가 각각 통과하는 제 1 및 제 2 헤드 스페이스와, 상기 탑재대에 대향하는 상기 창의 면에 형성되고 또한 상기 제 1 및 제 2 헤드 스페이스와 각각 연통하며, 상기 제 1 및 제 2 처리 가스를 각각 토출하는 복수의 제 1 및 제 2 토출 구멍을 갖는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  15. 제 14 항에 있어서,
    상기 제 1 및 제 2 헤드 스페이스는 상기 창의 두께 방향으로 격벽을 거쳐 중첩되는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  16. 제 15 항에 있어서,
    상기 제 1 및 제 2 헤드 스페이스의 각각은 상기 광원에 면하는 폭이 1∼10㎜인 가스 유로를 형성하는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  17. 제 14 항에 있어서,
    상기 제 1 및 제 2 헤드 스페이스 및 상기 제 1 및 제 2 토출 구멍은 상기 창을 형성하는 재료 자체를 가공함으로써 형성되고, 상기 창은 자외선을 투과하는 복수의 판상 구성 부재를 접합하여 이루어지는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  18. 제 1 항에 있어서,
    상기 가스 유로는 상기 광원에 면하는 폭이 1∼10㎜인
    자외선을 사용하여 기판을 처리하는 처리 장치.
  19. 제 1 항에 있어서,
    상기 가스 유로는 격자상 패턴을 형성하는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  20. 제 19 항에 있어서,
    상기 토출 구멍은 상기 가스 유로의 격자상 패턴의 코너부에 배치되는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  21. 제 1 항 또는 제 18 항 내지 제 20 항중 어느 한 항에 있어서,
    상기 창은 석영, 실리콘 산화물, 사파이어, 불화 칼슘으로 이루어지는 그룹으로부터 선택된 재료로 실질적으로 구성되는
    자외선을 사용하여 기판을 처리하는 처리 장치.
  22. 제 1 항 또는 제 18 항 내지 제 20 항중 어느 한 항에 있어서,
    상기 처리 가스는 상기 피처리 기판상에 막을 형성하기 위한 가스인
    자외선을 사용하여 기판을 처리하는 처리 장치.
  23. 제 1 항 또는 제 18 항 내지 제 20 항중 어느 한 항에 있어서,
    상기 처리 가스는 상기 피처리 기판상의 막을 어닐링하기 위한 가스인
    자외선을 사용하여 기판을 처리하는 처리 장치.
KR1020037010268A 2001-04-06 2002-03-13 반도체 처리용 자외선 어시스트 처리 장치 KR100876992B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2001-00108358 2001-04-06
JP2001108358A JP5079949B2 (ja) 2001-04-06 2001-04-06 処理装置および処理方法
PCT/JP2002/002326 WO2002084726A1 (fr) 2001-04-06 2002-03-13 Dispositif a ultraviolet pour le traitement des semi-conducteurs

Publications (2)

Publication Number Publication Date
KR20030083708A KR20030083708A (ko) 2003-10-30
KR100876992B1 true KR100876992B1 (ko) 2009-01-07

Family

ID=18960512

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037010268A KR100876992B1 (ko) 2001-04-06 2002-03-13 반도체 처리용 자외선 어시스트 처리 장치

Country Status (6)

Country Link
US (2) US20040149215A1 (ko)
EP (1) EP1381078A4 (ko)
JP (1) JP5079949B2 (ko)
KR (1) KR100876992B1 (ko)
CN (1) CN1251311C (ko)
WO (1) WO2002084726A1 (ko)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
DE10217806A1 (de) * 2002-04-22 2003-10-30 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten auf einem Substrat in einer höherverstellbaren Prozesskammer
US7271075B2 (en) * 2002-09-04 2007-09-18 Koninklijke Philips Electronics N.V. Method and a device for bonding two plate-shaped objects
TWI255256B (en) * 2003-12-25 2006-05-21 Ind Tech Res Inst Method and apparatus for oxidizing a nitride film
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
US7652430B1 (en) 2005-07-11 2010-01-26 Kla-Tencor Technologies Corporation Broadband plasma light sources with cone-shaped electrode for substrate processing
US20070166481A1 (en) * 2006-01-13 2007-07-19 Seagate Technology Llc In-situ UV curing of media lubricants
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
DE102008012333B4 (de) * 2008-03-03 2014-10-30 Mattson Thermal Products Gmbh Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
US8298372B2 (en) * 2009-04-20 2012-10-30 Applied Materials, Inc. Quartz window having gas feed and processing equipment incorporating same
JP5317852B2 (ja) * 2009-06-29 2013-10-16 株式会社クォークテクノロジー 紫外線照射装置
JP5434484B2 (ja) * 2009-11-02 2014-03-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8986454B2 (en) 2010-06-08 2015-03-24 Applied Materials, Inc. Window assembly for use in substrate processing systems
JP5540932B2 (ja) * 2010-06-23 2014-07-02 株式会社Sumco エピタキシャル成長装置およびそのクリーニング方法
JP2012012628A (ja) * 2010-06-29 2012-01-19 Hitachi High-Technologies Corp 基板処理装置
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
JP2013012353A (ja) * 2011-06-28 2013-01-17 Hitachi High-Technologies Corp プラズマ処理装置
JP5981115B2 (ja) * 2011-09-20 2016-08-31 株式会社アルバック 成膜装置
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9364871B2 (en) * 2012-08-23 2016-06-14 Applied Materials, Inc. Method and hardware for cleaning UV chambers
CN103337450B (zh) * 2013-06-18 2016-03-02 上海交通大学 紫外光/臭氧表面清洗与氧化改性真空设备及其使用方法
WO2014071769A1 (zh) * 2012-11-07 2014-05-15 上海交通大学 紫外光/臭氧表面清洗和氧化改性真空设备系统
CN102969227B (zh) * 2012-11-15 2015-07-08 上海交通大学 集紫外光化学与化学气相干法表面处理的真空设备
US9252024B2 (en) * 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
CN103436850B (zh) * 2013-06-27 2015-09-16 苏州求是真空电子有限公司 一种反应磁控溅射镀膜的紫外线辅助装置
US20150211114A1 (en) * 2014-01-30 2015-07-30 Applied Materials, Inc. Bottom pump and purge and bottom ozone clean hardware to reduce fall-on particle defects
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6732235B2 (ja) * 2014-12-02 2020-07-29 国立研究開発法人産業技術総合研究所 集光鏡方式加熱炉
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN107546100A (zh) * 2016-06-24 2018-01-05 上海交通大学 氙灯准分子紫外光氧化真空设备及其使用方法
JP6640160B2 (ja) * 2017-09-07 2020-02-05 東京エレクトロン株式会社 成膜装置及び成膜方法
US20220199379A1 (en) * 2019-04-26 2022-06-23 Lam Research Corporation High temperature heating of a substrate in a processing chamber
CN110694872A (zh) * 2019-11-14 2020-01-17 中山易必固新材料科技有限公司 一种用于板材紫外固化的气体保护装置以及充气系统
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
EP4268271A1 (en) * 2020-12-22 2023-11-01 Mattson Technology, Inc. Workpiece processing apparatus with gas showerhead assembly

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0821085A1 (en) 1996-07-24 1998-01-28 Applied Materials, Inc. Apparatus for introducing gas into a rapid thermal processing chamber
KR19980037650A (ko) * 1996-11-22 1998-08-05 문정환 반도체 소자의 박막 형성장치
WO1999061680A1 (en) * 1998-05-29 1999-12-02 Applied Materials, Inc. Gas manifold for uniform gas distribuition and photochemistry
KR20000019251A (ko) * 1998-09-09 2000-04-06 조장연 질화갈륨계 반도체박막성장을 위한 유기금속화합물 화학기상증착장치
JP2000349079A (ja) * 1999-06-07 2000-12-15 Tokyo Electron Ltd 処理装置における紫外線ランプの光量測定方法及び装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61247021A (ja) * 1985-04-24 1986-11-04 Nec Corp 光cvd装置
JPS62141722A (ja) * 1985-12-16 1987-06-25 Nec Corp 有機物除去方法およびその装置
JPS62104438U (ko) * 1985-12-23 1987-07-03
JPH01183809A (ja) * 1988-01-19 1989-07-21 Babcock Hitachi Kk 光cvd装置
JPH04188622A (ja) * 1990-11-19 1992-07-07 Kawasaki Steel Corp 半導体装置の製造方法及びその製造装置
US5411709A (en) * 1991-03-25 1995-05-02 Fuji Xerox Co., Ltd. Gas detector
JPH04329881A (ja) * 1991-05-01 1992-11-18 Canon Inc マイクロ波プラズマcvd法による堆積膜形成装置
JP3258439B2 (ja) * 1993-04-14 2002-02-18 株式会社半導体エネルギー研究所 気相反応装置
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
KR0165484B1 (ko) * 1995-11-28 1999-02-01 김광호 탄탈륨산화막 증착 형성방법 및 그 장치
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
JP3702068B2 (ja) * 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6395099B1 (en) * 1999-02-08 2002-05-28 Micron Technology Method of processing selected surfaces in a semiconductor process chamber based on a temperature differential between surfaces
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
JP3501715B2 (ja) * 2000-03-21 2004-03-02 シャープ株式会社 プラズマプロセス装置
JP4470274B2 (ja) * 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4744671B2 (ja) * 2000-05-22 2011-08-10 東京エレクトロン株式会社 枚葉式処理装置
KR100523113B1 (ko) * 2000-06-01 2005-10-19 동경 엘렉트론 주식회사 반도체 처리용의 단일기판식 처리 장치
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0821085A1 (en) 1996-07-24 1998-01-28 Applied Materials, Inc. Apparatus for introducing gas into a rapid thermal processing chamber
KR19980037650A (ko) * 1996-11-22 1998-08-05 문정환 반도체 소자의 박막 형성장치
WO1999061680A1 (en) * 1998-05-29 1999-12-02 Applied Materials, Inc. Gas manifold for uniform gas distribuition and photochemistry
KR20000019251A (ko) * 1998-09-09 2000-04-06 조장연 질화갈륨계 반도체박막성장을 위한 유기금속화합물 화학기상증착장치
JP2000349079A (ja) * 1999-06-07 2000-12-15 Tokyo Electron Ltd 処理装置における紫外線ランプの光量測定方法及び装置

Also Published As

Publication number Publication date
US20080127895A1 (en) 2008-06-05
CN1251311C (zh) 2006-04-12
WO2002084726A1 (fr) 2002-10-24
EP1381078A4 (en) 2007-08-01
EP1381078A1 (en) 2004-01-14
KR20030083708A (ko) 2003-10-30
JP5079949B2 (ja) 2012-11-21
JP2002305153A (ja) 2002-10-18
CN1511339A (zh) 2004-07-07
US20040149215A1 (en) 2004-08-05

Similar Documents

Publication Publication Date Title
KR100876992B1 (ko) 반도체 처리용 자외선 어시스트 처리 장치
JP4934193B2 (ja) 誘電体バリア放電ランプアセンブリを用いた基板処理チャンバ
US6506253B2 (en) Photo-excited gas processing apparatus for semiconductor process
US5863327A (en) Apparatus for forming materials
US20170226637A1 (en) Process chamber for dielectric gapfill
US20070289534A1 (en) Process chamber for dielectric gapfill
KR20000028954A (ko) 반도체 처리 시스템의 매엽식 열처리 장치
KR20090012348A (ko) Uv 보조 열 처리 장치 및 방법
JPH1187337A (ja) 不純物除去装置、膜形成方法及び膜形成システム
KR20150030607A (ko) 에칭 방법, 에칭 장치 및 기억 매체
KR102190863B1 (ko) 기판 처리 장치 및 가스 도입판
JP2005347624A (ja) ガス処理装置および成膜装置
US20080220592A1 (en) Substrate processing apparatus, substrate processing method, and substrate planarization method
JPH0794487A (ja) 処理装置及びそのクリーニング方法
JP3129777B2 (ja) 熱処理装置及び熱処理方法
JP2000286251A (ja) 紫外線処理装置
KR100538865B1 (ko) 낱장식 열처리 장치, 막 형성 시스템 및 박막 형성 방법
KR20020084616A (ko) 원자층 형성용 반응챔버
JP2005217244A (ja) 基板処理方法、半導体装置の製造方法、及び水素化処理装置
WO2022065115A1 (ja) 紫外線を照射する装置、基板を処理する装置、及び紫外線を照射する方法
US20090173715A1 (en) Light source device, substrate treating device, and substrate treating method
TWI424460B (zh) 用於處理介電材料之設備及製程
JP2023015880A (ja) 光照射装置、基板処理装置及び光照射方法
JP2017017070A (ja) 光処理装置および光処理方法
JPH10229050A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131210

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee