KR100494955B1 - 유동성희생산화물을이용하는이중다마신법을사용한다층동일평면금속/절연체막형성방법 - Google Patents

유동성희생산화물을이용하는이중다마신법을사용한다층동일평면금속/절연체막형성방법 Download PDF

Info

Publication number
KR100494955B1
KR100494955B1 KR10-1998-0023337A KR19980023337A KR100494955B1 KR 100494955 B1 KR100494955 B1 KR 100494955B1 KR 19980023337 A KR19980023337 A KR 19980023337A KR 100494955 B1 KR100494955 B1 KR 100494955B1
Authority
KR
South Korea
Prior art keywords
trench
layer
flowable oxide
etching
insulating layer
Prior art date
Application number
KR10-1998-0023337A
Other languages
English (en)
Other versions
KR19990007191A (ko
Inventor
클라우스 펠트너
비린더 그레발
베른트 폴머
라이너 플로리안 슈나벨
Original Assignee
지멘스 악티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지멘스 악티엔게젤샤프트 filed Critical 지멘스 악티엔게젤샤프트
Publication of KR19990007191A publication Critical patent/KR19990007191A/ko
Application granted granted Critical
Publication of KR100494955B1 publication Critical patent/KR100494955B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기판 위의 적층 구조에 이중 다마신 에칭을 수행하는 개선된 방법에 관한 것이다. 적층 구조는 하부 디바이스층과 상부 절연 층을 포함한다. 상기 방법은 트렌치가 하부 디바이스층위에 위치되어 트렌치의 바닥에 있는 절연 재료에 의해 분리되도록 절연 층의 상부 표면에 트렌치를 형성하는 것을 포함한다. 상기 방법은 또한 유동성 산화물을 절연 층의 상부 표면과 트렌치 내에 증착한 후 유동성 산화물을 절연 층의 상부 표면의 높이까지 평탄화하는 것을 포함한다. 나아가, 상기 방법은 트렌치 내의 유동성 산화물과 트렌치 바닥의 절연 재료를 통과하여 하부 디바이스층까지 에칭 하여 통로를 형성하는 것도 포함한다.

Description

유동성 희생 산화물을 이용하는 이중 다마신 법을 사용한 다층 동일평면 금속/절연체 막 형성 방법{METHOD OF FORMING MULTI-LEVEL COPLANAR METAL/INSULATOR FILMS USING DUAL DAMASCENE WITH SACRIFICIAL FLOWABLE OXIDE}
본 발명은 반도체 디바이스, 좀더 상세히 말하면, 유동성 희생 산화물(sacrificial flowable oxide)을 이용한 이중 다마신법을 사용하여 다층 동일 평면 금속/절연체 막을 형성하는 개선된 방법에 관한 것이다.
반도체 제조업자들은 지속적으로 반도체 디바이스의 소비전력과 성능을 개선하고 디바이스의 크기를 최소화하여야 한다. 작은 디바이스 크기를 유지하기 위해, 대부분의 반도체 제조업자들은 디바이스의 개개의 구성요소를 최소 치수로 감소시킨다. 더욱이, 제조업자들은 구성요소에 의해 점유되는 디바이스 면적을 감소시키기 위해 단지 수평적 집적을 하는 것에 대립하여, 이들 구성요소들을 점점 더 많이 수직적으로 집적시키고 있다. 수직 집적은 보통 디바이스 내에서 몇몇 전도층을 사용하여 이들 전도층을 예를 들어 당업계에서 상호연결 통로로 알려진 상호 수준(inter-level) 접속을 사용하여 상호 연결함으로써 얻어진다.
개개의 구성요소의 치수가 작아짐에 따라, 여러 전도층을 상호 연결하는 것이 더 어려워진다. 여러 전도층을 상호 연결하는 문제를 해결하기 위한 최근의 접근법에는 당업계에서 다마신 법(damascene techniques)이라고 알려진 에칭과 마스크의 순서가 있다. 다마신 법에는 절연 층에 다수의 트렌치를 형성하고, 이어서 그것들을 금속으로 채우고, 다음 절연체의 표면까지 금속을 마모시켜 원하는 금속 패턴을 형성하는 것이 포함된다. 일반적으로 이중 다마신(dual damascene)이라고 알려진 공정에서는, 상기 설명된 금속 트렌치와 상기 금속 패턴과 여러 다른 전도층을 전기적으로 연결하는 상호연결 통로의 양자가 보통 실질적으로 동시에 채워진다. 통상적인 이중 다마신 법에서는, 상호연결 통로는 보통 위에 놓인 금속배선(metalization)과 실질적으로 동시에 형성된다. 이 경우 절연체를 통과하는 공동(공동은 결국 금속 또는 다른 전도성 재료로 채워져 통로를 형성하게 된다)이 위에 놓인 금속배선을 이어서 패터닝(patterning)하는데 사용되는 포토레지스트 층의 증착에 앞서 형성되어야 한다.
일 예로, 도 1a는 통로 공동(15)을 가진 절연 층(108)의 하부 전도층(116)을 가진 반도체 기판(118)의 횡단면도이다. 통상적인 다마신 법에서는, 상부 금속배선 층의 패터닝은 통로 공동(115)이 에칭된 후에 수행된다. 도 1b에 대해 언급하면, 금속층의 패터닝에 사용되는 포토레지스트 층(102)의 증착에 앞서, 통상적으로 비반사 코팅 층(anti-reflective coating)(113)(당업계에서 ARC로 알려져 있고, 본 명세서에서도 앞으로 ARC라 함)이 절연 층(108)의 상부 표면상에 증착된다. ARC는 리소그래피 해상도(resolution)를 개선시킨다. ARC 증착 공정은 통로 공동(115) 내에서 전도층(116) 위로 대략 높이 "t" 까지 이르는 ARC 잔류물 층(114)을 만든다. ARC 층(113)의 형성에 이어서, 통상적으로 포토레지스트 층(102)이 ARC 층(113) 위에 증착된다. 다음, 포토레지스트는 마스크 패턴에 따라 선택적으로 노출된다. 포지티브 또는 네거티브 레지스트 중 어느 것이 사용되었는가에 따라 영역(110, 112)을 만드는 동안 노출된 또는 노출되지 않은 부분이 제거된다. 한편, 도 1b에 도시된 바와 같이, ARC 층(114)은 포토레지스트 제거 작용에 의해 실질적인 영향을 받지는 않는다.
다수의 트렌치를 형성하기 위해, ARC 층(113) 및 절연체(108)의 일부가 포토레지스트에 의해 보호되지 않는 영역(110, 112)에서 제거된다. 제거는 유기 ARC 층(113)을 관통하는 제 1 에칭 공정과 절연체(108)에 원하는 트렌치를 형성하기 위한 제 2 에칭 공정에 웨이퍼를 노출시킴으로써 수행된다. 한편, 통로 공동(115) 내의 ARC 잔류물(114)은 에칭 부산물과 반응하여 통로 공동(115) 내에 측벽을 형성한다. 이 통로 공동(115) 내의 측벽은 ARC 및 산화물 에칭 작용을 억제하고, 당업계에서 펜스(fence)라 불리는 것을 형성한다(도 1c의 218). 펜스(218)는 일반적으로 상기 설명된 ARC 잔류물과 실질적으로 동일한 높이 "t"이다. 도 1c는 펜스(218)를 형성하는 통상적인 다마신 법을 사용하여 위에 놓인 금속 리소그래피 레지스트를 제거한 후에 절연 층(108) 아래에 놓은 금속배선(116)을 가진 반도체 기판(118)의 횡단면도이다.
당업계에 알려진 대로, 적절한 금속 흐름은 금속이 증착되는 표면의 표면 기하 구조(geometry)에 크게 의존한다. 펜스(218)의 존재는 금속의 흐름을 중단시켜 금속이 통로 트렌치(212)로 원활하게 흐르는 것을 막는다. 금속이 통로 트렌치(212)로 흐르는 것을 막은 결과 통로 공동(115) 내에 공간이 형성되어 통로의 전기적 접촉 저항이 실질적으로 증가될 수 있다. 또한, 이 공간으로 인해 통로를 통해 흐르는 모든 전류가 통로의 공간이 없는 부분으로 운반되어야 하기 때문에 신뢰도를 받아들일 수 없게 되는 문제가 생긴다. 이렇게, 통로의 공간이 없는 부분을 통해 흐르는 높은 전류 밀도는 통로 금속의 전자 이동(electromigration)을 일으킬 수도 있다. 통로 금속의 전자 이동은 장기적인 수용 불가한 시간 고장(Failure In Time, FIT)비율을 낳을 수 있다. 어떤 경우에는, 펜스(218)가 하부 전도층(116)과 전기적 접촉을 형성하기 위한 통로 공동(115) 또는 통로 트렌치(222)로의 충분한 금속 흐름을 막아 전기적 개방의 형성을 야기할 수도 있다.
이 문제를 처리하기 위해, 다른 선행 기술은 통로 공동을 형성하기 전에 절연 층의 표면상에 트렌치를 형성하는 것을 포함하였다. 한편, 절연 층의 표면은 통로 공동의 에칭을 촉진하기 위해 평탄화되지는 않는다. 다시 말해, 통로 공동의 에칭을 촉진하기 위해 절연체 표면을 평탄화할 목적으로 소정의 희생 재료(sacrificial material)도 트렌치로 증착되지는 않는다. 따라서, 통로 에칭을 촉진하기 위한 통로 마스크를 형성하기 위해 증착된 ARC 및 포토레지스트 재료는 트렌치로 증착되어 일반적으로 절연체 표면의 굴곡을 따르게 된다. 절연체 표면의 함몰과 고르지 못한 절연체 표면 상으로의 투사는 ARC 및 포토레지스트 재료가 통로 공동의 에칭 후에 제거되는 것을 어렵게 한다. 게다가, 절연체 표면의 함몰과 고르지 못한 절연체 표면 상으로의 투사는 포토레지스트가 작은 표면 기하 구조를 광분해하는 것을 어렵게 한다.
따라서, 통상적인 이중 다마신 법에 의해 형성되는 펜스를 제거하고 작은 표면 기하 구조의 광분해에 적합한 충분히 평탄한 표면을 만들기 위한 기술이 요구된다.
통상적인 이중 다마신 법에 의해 형성되는 펜스를 제거하고 작은 표면 기하 구조의 광분해에 적합한 충분히 평탄한 표면을 만드는 것이 본 발명이 이루고자하는 기술적 과제이다.
넓게 말하면, 본 발명은 반도체 디바이스에 관한 것이고, 좀더 상세히 말하면, 기판 상에 배치된 적층 구조를 통과하는 이중 다마신 에칭을 수행하는 개선된 방법에 관한 것이다. 본 발명의 일 실시예에 따르면, 적층 구조는 하부 전도층과 그 위에 배치된 절연 층을 포함한다. 상기 방법은 아래의 단계들을 포함한다. 먼저, 트렌치가 하부 전도체 위에 놓이고, 트렌치 바닥의 절연 재료에 의해 전도체로부터 분리되도록 절연 층의 상부 표면에 트렌치를 형성한다. 다음, 유동성 산화물을 절연 층의 상부 표면과 트렌치 내에 증착하고, 유동성 산화물을 대략 절연 층의 상부 표면의 수준까지 평탄화한다. 마지막으로, 트렌치 내의 유동성 산화물과 트렌치의 바닥에 있는 절연 재료를 통과하여 하부 전도층까지 에칭하여 통로를 형성한다.
다른 실시예에서는, 적층 구조의 하부 디바이스층과 상부 전도체를 연결하기 위해 배치되는 통로를 형성하는 방법이 개시된다. 개시된 실시예에서, 상부 전도체는 절연 층 내에 있는 트렌치에 배치되고 트렌치의 바닥에 있는 절연 재료에 의해 하부 디바이스층과 거리를 유지하도록 형성된다. 상기 방법은 아래 단계를 포함한다: 절연 층의 상부 표면 위와 트렌치 속으로 유동성 산화물을 증착하는 단계; 유동성 산화물을 적어도 절연 층의 상부 표면의 높이까지 평탄화하는 단계; 그리고 트렌치 내의 유동성 산화물과 트렌치의 바닥에 있는 절연 재료를 통과해 적어도 하부 디바이스층까지 에칭하여 통로를 형성하는 단계.
본 발명의 다른 실시예와 장점들은 본 발명의 원리의 일례로 도시된 첨부 도면과 함께 아래의 상세한 설명으로부터 명백해질 것이다.
본 발명을 첨부 도면에 제공된 몇몇 예시적 실시예들을 참조하여 상세히 설명한다. 아래의 설명에서, 본 발명의 완전한 이해를 위해 다수의 구체적인 항목이 주어질 것이다. 그러나, 당업자에게는 그러한 구체적인 항목의 일부 또는 전부가 없이도 본 발명이 실시될 수 있다는 것이 명백할 것이다. 다른 경우로, 본 발명을 불필요하게 모호하게 하지 않기 위해 공지된 공정 단계는 설명되지 않았다.
본 발명은 다층 동일 평면 금속/절연체 막을 형성하기 위한 개선된 방법에 관한 것이다. 본 발명에 따르면, 유동성 희생 산화물을 가진 이중 다마신 법이 사용되어 하부 디바이스층과의 전기적 상호연결과 상부 금속배선 층을 실질적으로 동시에 형성한다.
본 발명의 일 실시예에서는, 유동성 산화물을 사용한 이중 다마신 법으로 통로가 절연 층을 통과해 하부 디바이스층까지 형성된다. 설명된 실시예에서는, 이어서 금속 증착에 적합한 트렌치가 하부 디바이스층 상부 절연 층의 상부 표면에 형성된다. 다음, 유동성 산화물 층이 절연 층의 표면을 덮은 다음 대략 절연 층의 상부 표면 높이까지 평탄화된다. 유동성 산화물의 평탄화는 실질적으로 평탄한 표면을 만들어, 작은 표면 기하 구조의 포토리소그래피 광분해 및 이어서 증착된 포토리소그래피 재료의 용이한 제거를 가능하게 한다. 다음, 통로가 에칭에 의해 트렌치 내의 유동성 산화물과 트렌치의 바닥에 있는 절연 재료를 통과해 하부 디바이스층까지 형성된다.
본 발명의 실시예들은 아래에서 도면을 참조하여 설명된다. 한편, 당업자는 본 발명이 이들 한정된 실시예들을 초과하여 확장되는 것이며 이들 도면을 참조한 상세한 설명은 예시적 목적일 뿐이라는 것을 쉽게 이해할 것이다.
도 2a는 본 발명의 일 실시예에 따른, 구조(400)의 횡단면도이다. 도시된 바와 같이, 상기 구조는 실리콘 웨이퍼와 같은 기판(400)을 포함한다. 비화 갈륨(gallium arsenide), 게르마늄, 절연체 상의 실리콘(SOI), 또는 다른 반도체 재료 같은 다른 반도체 기판도 또한 사용 가능하다. 예를 들어, 기판은 원하는 전기적 특성을 얻기 위해 소정의 전도도를 가진 도펀트로 저밀도로 또는 고밀도로 도핑될 수 있다. 상기 구조는, 예를 들어, nFET 또는 pFET를 포함하는 트랜지스터나 커패시터 및 저항을 포함하는 다른 디바이스와 같은 디바이스의 제조에 사용되는 구조를 나타낸다. 그런 디바이스들은, 예를 들어, 집적 회로(IC)를 형성하기 위해 상호 연결되어 있다. 그런 IC에는 램(RAM), 디램(DRAM), 싱크로너스 디램(SDRAM), 및 롬(ROM)이 포함된다. 그 외 에이직(ASIC) 또는 임의의 논리 회로가 포함된다. 보통, 다수의 IC들은 웨이퍼 상에 병렬로 형성된다. 공정이 종료된 후에, 웨이퍼는 IC들을 개개의 칩으로 분리하기 위해 사각형으로 잘려진다. 다음, 칩들이 패키지 되어, 예를 들어, 컴퓨터 시스템, 셀룰러 폰, 퍼스널 디지털 어시스턴트(PDA)와 같은 가전 제품 및 다른 생산품에 사용되는 최종 제품으로 된다. 한편, 본 발명은 이해를 돕기 위해 IC를 형성하는 상황으로 설명되었다. 나아가, IC는 공정 중의 임의의 단계일 수도 있다.
실례로, 기판은 기판 내에/상에 형성되는 부분(도시되어 있지 않음)을 포함한다. 부분이란 IC를 형성하는 디바이스에 해당한다. IC에 포함되는 것에는 상기 정의된 디바이스층(416)이 있으며, 거기서 다른 전도층과의 접촉이 요구된다. 보통, 디바이스층은 유전체 재료에 의해 다른 디바이스층 또는 부분들과 격리되며, 상기 유전체 재료는 평탄화되어 평탄한 표면(413)을 형성한다. 디바이스층(416)은, 예를 들어, 하부 금속배선 층의 일부를 나타낸다. 대안적으로, 디바이스층은 고밀도로 도핑된 실리콘, 폴리실리콘 층, 또는 예를 들어 트랜지스터의 소스 또는 드레인 영역과 같은 임의의 형태의 능동 소자의 일부일 수 있다. 하나의 실시예에서는, 디바이스층(416)은 DRAM IC의 비트 선을 나타낸다.
절연 층(418)은 표면(413) 위에 놓여진다. 절연 층은 이산화실리콘 같은 유전체 재료를 포함한다. 인 규산염 유리(PSG), 붕소규산염 유리(BSG), 또는 붕소 인 규산염 유리(BPSG)를 포함하는 도핑된 규산염 유리와 같은 다른 재료도 포함된다. 다른 절연 층은 또한 예를 들어 폴리이미드와 같은 중합체를 포함한다. 절연 층은 예를 들어 화학기상증착(CVD) 또는 다른 증착법을 사용하여 기판 상에 증착될 수 있다. 이 실시예에서는, 절연 층(418)은 약 0.9 내지 2.0 미크론 범위의 두께를 가질 수 있다. 평탄한 상부 표면을 제공하기 위해, 절연 층은 보통 평탄화된다.
제 1 트렌치(404)를 형성하기 위해 절연 층을 패터닝 하는 데에는 통상적인 리소그래피 기술이 사용된다. 그 기술은, 예를 들어, 웨이퍼의 표면상에 ARC(513)와 포토레지스트 층(500)을 증착하여 절연 층을 덮는 것을 포함한다. 패턴을 포함하는 마스크를 사용하여, 절연 층은 노출 광원으로부터의 심자외선(deep ultra-violet, DUV) 또는 극자외선(extreme ultra-violet, EUV)과 같은 복사선에 선택적으로 노출된다. DUV 및 EUV와 다른 파장을 가진 복사선을 발생시키는 다른 노출 광원들도 또한 유용하다. 포지티브 또는 네거티브 레지스트 중 어느 것이 사용되는가에 따라, 레지스트 층의 노출된 또는 노출되지 않은 부분이 공정 동안 제거되어 트렌치(404)에 상응하는 절연 층의 일부를 노출시키게 된다. 다음, 웨이퍼는 에칭 챔버에서 이방성으로(anisotropically) 에칭되어 트렌치를 형성한다. 이방성 에칭 공정은, 예를 들어, 반응성 이온 에칭(RIE)을 포함한다. 보통, 제 1 이방성 에칭 공정은 유기 ARC 층을 관통하는데 사용된다. ARC 층을 에칭하는데 에는 N2와 같은 에천트(etchant)가 사용될 수 있다. 그 후에, 제 2 의 이방성 에칭으로 원하는 양만큼의 절연 층을 제거하여 트렌치를 형성한다. 예를 들어, C4F8 및 O2가 절연 층을 에칭하기 위한 에천트 소스 가스로 사용된다.
도시된 바와 같이, 트렌치(404)는 하부 디바이스층(416)과 접촉하는, 상부 금속배선 층을 나타낸다. 상부 금속배선 층은, 예를 들어, 전도성 선이다. 전도성 선은 이어서 형성된 통로 공동(아래에서 설명됨)에 의해 디바이스층(416)에 전기적으로 연결되어 있다. 전도성 선은 영역(416)과 접촉된다. 그러므로, 트렌치(410)의 위치는 영역(416) 위에 있게 된다. 트렌치(410)와 같이 전도성 선을 나타내는 다른 트렌치는 전기적 접촉이 요구되는 다른 정의된 영역 위에 위치된다. 트렌치의 깊이와 폭은 사용되는 재료와 시트 저항 같은 설계 변수들에 의존한다. 보통 원하는 깊이를 얻기 위해 에칭 시간이 조절된다. 어떤 실시예에서는, 제 2 의 절연 층(도시되어 있지 않음)이 절연 층(418)의 위에 증착되어 있다. 제 2 절연체는, 예를 들어, 제 1 절연 층과 다르며 선택적으로 에칭될 수 있는 유전체를 포함한다. 이로 인해 제 1 절연 층이 에칭 스톱(etching stop)으로 작용하여 트렌치의 깊이를 한정하도록 한다. 그러므로, 제 1 절연 층은 두꺼울 필요가 없으며, 제 2 절연 층은 대략 트렌치에 의해 정의되는 전도성 선의 두께와 동일한 두께를 가진다. 트렌치(404, 410)의 형성에 이어서, 스핀 온 글래스(SOG)라 불리는 유동성 산화물 층(400)이 절연체(418)의 상부 표면(406) 상에 증착된다. 설명된 실시예에서, 유동성 산화물은 SiO2를 형성하는 중합에 의한 화합물이다. 유동성 산화물은, 예를 들어, Corning사에서 제조되는 FOx이다. 다른 유동성 산화물이나 SOG도 유용하다. 일 실시예에서는, 트렌치를 채우기 위해 FOx가 사용된다. FOx는 스핀 온 공정 또는 다른 통상적인 증착법으로 증착된다. 일 예로, 유동성 산화물은 통상적인 SOG 스핀 코팅기에서 기판을 수소 실레스퀴옥산(hydrogen silesquioxane) 층으로 코팅함에 의해 형성된다. 일 예로, 기판을 약 150℃로 약 1분간, 약 250℃로 약 1분간, 약 350℃로 약 1분간의 순서로 건조하는 동안, 코팅 재료가 약 1000-5000 RPM으로 회전될 수 있다. 어떤 경우에는, 유동성 산화물은 희생이 되는 층이기 때문에 치유 단계를 없애는 것도 허용될 수 있다. 층(400)은 트렌치를 채우기에 충분히 두껍다. 유동성 산화물은, 예를 들어, 절연체(418)의 상부 표면(406) 상에 대략 적어도 원하는 두께 "h"까지 확장된다. 보통, h는 공정 제어 변수에 의존한다. 일 예로, 층(400)은 어떤 경우에 약 500nm 두께일 수 있다.
다음, 도 2b에 도시된 바와 같이, 유동성 산화물 층(400)은 절연체(418)의 상부 표면(406)과 실질적으로 동일 평면이 되기 위해 에칭된다. 설명된 실시예에서는, 절연체(418)의 상부 표면(406)은 에칭 스톱으로 사용된다. 이런 식으로, 트렌치(404)를 덮는 실질적으로 평탄한 표면(402)은 트렌치(410)를 덮는 실질적으로 평탄한 표면(408)과 실질적으로 동시에 형성된다. 절연체(418)의 상부 표면(406)과 표면(402, 408)은 포토리소그래피를 사용한 작은 표면 기하 구조의 광분해에 적합한 실질적으로 평탄한 표면을 제공한다.
도 2c에 관해 언급하면, 절연 층을 통해 하부 디바이스층과 위에 놓인 금속배선을 상호 연결하는데 적합한 통로의 형성은 아래의 작업을 포함한다. 먼저, 절연체(418)의 상부 표면(406)과 표면들(402, 408)위에 ARC 층(513)(보통 사실상 유기물임)과 포토레지스트 층(500)을 증착한다. 다음, 통로 공동을 형성하기 위해 이미 존재하는 트렌치(404) 내의 유동성 산화물과 이미 존재하는 트렌치(404)의 바닥에 있는 절연체(418)를 에칭하기 위한 준비로 포토레지스트 층(500)이 당업계에 공지된 방법으로 현상된다. 웨이퍼를 산화물 에칭 챔버에 위치시킴으로써 유기 ARC 층(513)이 에칭될 수 있으며, 상기 챔버에서 당업계에 RIE로 알려진 제 1 에칭 공정이 에천트 소스 가스로 N2를 사용하여 현상된 포토레지스트에 의해 노출된 영역에서 유기 ARC 층(513)을 관통한다. 일단 ARC 층(513)이 노출되면, C4F8 에천트 소스 가스와 제 1 분량의 O2 에천트 소스 가스를 사용하여 이미 존재하는 트렌치(404) 내에 포함된 유동성 산화물(506)을 브레이크스루 에칭하고, 이어서 디바이스층(416)을 에칭 스톱으로 사용하여 C4F8 에천트 소스 가스와 제 2 분량의 O2 에천트 소스 가스를 사용하여 절연체(418)를 브레이크스루 에칭함으로써 통로 공동(508)을 형성한다. 설명된 실시예에서는, O2 에천트 소스 가스의 제 1 분량이 O2 에천트 소스 가스의 제 2 분량보다 많을 수 있다.
이미 존재하는 트렌치(410) 내의 유동성 산화물은 절연체(518)를 에칭 스톱으로 사용하여 유사한 방법으로 제거될 수 있다. 일 실시예에서, 유동성 산화물은 예를 들어 Ar, C4F8, CO 및/또는 O2를 사용한 RIE 에칭을 통하여 기판을 에칭함에 의해 제거된다.
도 2d는 본 발명의 일 실시예에 따라, 통로 공동(508)의 형성이 완료된 후의 반도체 구조(400)의 횡단면도이다. 도 2d에서는, ARC 층 및 통로 에칭 포토레지스트 마스크와 마찬가지로, 트렌치(410, 404) 내의 유동성 산화물이 제거되었다.
금속은 화학 기상 증착(CVD), 물리 기상 증착(PVD), 또는 저압 화학 기상 증착(LPCVD)과 같은 공지 기술로 증착될 수 있다. CVD, PVD, 및 LPCVD를 위한 구체적인 장치 및 공정 변수의 선택은 반도체 공정 업계의 당업자의 능력에 달려 있다. 금속의 증착(보통 반도체 구조의 전체 표면에 걸친 담요와 같은 형)은 트렌치(410)와 통로 공동(508)을 채워서, 위에 놓인 금속배선과 이와 관련된 아래의 놓인 디바이스층(416)으로의 통로 상호연결을 실질적으로 동시에 형성한다.
도 3에 도시된 흐름도에 설명된 유동성 희생 산화물을 이용한 이중 다마신 법을 사용한 다층 동일 평면 금속/절연체 막의 형성에는 본 발명의 일 실시예에 따른 아래 공정 단계들이 포함된다.
단계(810)에서, 기판 위에 배치된 적층 구조를 가지는 기판이 제공된다. 적층 구조는 하부 디바이스층과 상기 디바이스층의 상부 절연 층을 포함한다. 도 2a를 참조하면, 기판은 기판(412)으로, 하부 디바이스층은 디바이스층(416)으로 도시되어 있다. 절연 층(418)은 기판과 하부 디바이스층(416)의 위에 놓인 것으로 도시되어 있다.
단계(815)에서, 절연 층의 상부 표면에 트렌치가 형성된다. 이 실시예에서는, 트렌치는 하부 디바이스층의 위에 위치되며, 절연 층은 트렌치의 바닥과 하부 디바이스층 사이에 배치된다. 도 2a를 참조하면, 트렌치는 트렌치(404)로 도시되어 있다.
단계(820)에서, 유동성 산화물 층이 절연 층의 상부 표면 위에 증착된다. 이 유동성 산화물은 도 2a에서 유동성 산화물(400)로 도시되어 있다. 설명된 실시예에서, 유동성 산화물은 SiO2를 형성하는 중합체 화합물이다. 설명된 대로, 증착은 스핀 온 공정 또는 당업자에게 공지된 다른 통상적인 수단에 의해 수행된다.
단계(825)에서, 유동성 산화물 층은 도 2b에 도시된 바와 같이 대략 절연 층의 상부 표면의 높이까지 평탄화된다. 한편, 어떤 경우에는, 더 평탄화하는 것, 예를 들어, 이미 존재하는 절연 층의 상부 표면의 높이를 지나서까지 평탄화하는 것도 허용될 수 있다.
도 2c는 단계(830)에서 트렌치(404) 내의 유동성 산화물과 트렌치(404) 바닥의 절연 층(418)을 통과하여 하부 디바이스층(416)까지 에칭함에 의해 통로(508)가 형성되는 것을 도시하고 있다.
통로를 형성하기 위한 본 발명의 이중 다마신 에칭을 실시하는 설명된 방법의 이용으로 여러 장점이 실현될 수 있다. 그런 장점의 하나는, 설명한 유동성 산화물을 사용한 이중 다마신 법은 절연 층의 상부 표면에 트렌치가 형성된 후에 통로 공동이 형성되기 때문에 펜스가 형성되지 않는다는 점이다. 이런 방식으로, 절연 층의 표면상에 증착된 ARC 재료는 통로 공동 사이에 빠지지 않게 되는데 이는 아직 통로 공동이 형성되지 않기 때문이다. 이와 같이 펜스가 형성되지 않음에 의해 금속이 통로 공동 속으로 원활하게 흐를 수 있어서 이어지는 금속 증착을 촉진시킨다. 이런 식으로, 통로 공동과 트렌치로의 금속 흐름은 펜스의 존재에 의한 공간의 발생 없이 실질적으로 균일하게 된다. 이 금속 증착의 균일성은 통로상의 금속 공간의 존재에 기인하는 국부화된 전자 이동이 감소 또는 충분히 제거되기 때문에 개선된 장기간의 신뢰도를 얻게 한다.
본 발명의 다른 장점은 유동성 산화물 표면이 ARC 층을 증착하기에 앞서 평탄화된다는 것과 관련된다. 작은 기하 구조를 적절히 분해하기 위한 포토리소그래피 능력이 ARC 증착이 일어나는 표면의 평탄도와 관련되어 있으므로, 가능한 한 평탄한 표면을 얻는 것이 유리하다. 설명된 대로, 유동성 산화물은 평탄한 표면이 형성되는 것을 가능하게 하여, 통상의 이중 다마신법과는 대조적으로, 최상의 광선(optical beam) 또는 전자선(electron beam) 리소그래피 장치에 대한 투자 없이도 작은 기하 구조의 분해를 용이하게 한다.
본 발명의 여러 특징과 장점은 상기 설명으로부터 명백하며, 따라서, 첨부된 청구의 범위가 본 발명의 그런 모든 특징과 장점을 포괄하는 것으로 볼 것이다. 더욱이, 여러 가지의 수정과 변화가 당업자에게는 용이할 것이므로 본 발명을 예시되고 설명된 구조와 작업에 한정하는 것은 바람직하지 않다. 따라서, 모든 적합한 수정물과 균등물은 본 발명의 범위 내에 속하는 것으로 볼 것이다.
본 발명의 이중 다마신 에칭을 실시하는 설명된 방법의 이용으로 여러 효과가 실현될 수 있다. 그런 효과의 하나는, 절연 층의 상부 표면에 트렌치가 형성된 후에 통로 공동이 형성되기 때문에 펜스가 형성되지 않는다는 점이다. 이와 같이 펜스가 형성되지 않음에 의해 금속이 통로 공동 속으로 원활하게 흐를 수 있어서 이어지는 금속 증착을 촉진시킨다. 이런 식으로, 통로 공동과 트렌치로의 금속 흐름은 펜스의 존재에 의한 공간의 발생 없이 실질적으로 균일하게 된다. 이 금속 증착의 균일성은 통로상의 금속 공간의 존재에 기인하는 국부화된 전자 이동이 감소 또는 충분히 제거되기 때문에 개선된 장기간의 신뢰도를 얻게 한다.
또 다른 효과는, 유동성 산화물의 사용으로 평탄한 표면 형성이 가능하여, 통상의 이중 다마신법과는 대조적으로, 최상의 광선(optical beam) 또는 전자선(electron beam) 리소그래피 장치에 대한 투자 없이도 작은 기하 구조의 분해를 용이하게 하는 것이다.
본 발명은 첨부 도면은 발명의 제한이 아니라 일례로서 설명된다. 아래 도면에서 동일한 참조 번호는 이해를 돕기 위해 유사 내지 상사한 요소를 가리킨다.
도 1a는 통상적인 이중 다마신 법을 사용하여 통로 공동을 형성한 후의, 절연 층 하부 전도층을 가진 반도체 기판의 횡단면도.
도 1b는 금속 리소그래피 포토레지스트 현상 후의, 도 1a의 절연 층 아래에 놓인 금속배선(metalization)을 가진 반도체 기판의 횡단면도.
도 1c는 금속 리소그래피 레지스트 제거 후의, 도 1b의 절연 층 아래에 놓인 금속배선을 가진 반도체 기판의 횡단면도.
도 2a는 본 발명의 일 실시예에 따른, 반도체 구조(400) 형성 단계의 횡단면도.
도 2b는 본 발명의 일 실시예에 따른, 절연체의 상부 표면과 실질적으로 동일평면이 되도록 유동성 산화물 층을 에칭한 후의 도 2a의 반도체 구조의 횡단면도.
도 2c는 본 발명의 일 실시예에 따른, 통로 공동 형성 후의 도 2b의 반도체 구조의 횡단면도.
도 2d는 본 발명의 일 실시예에 따른, 금속 증착 준비가 된 도 2c의 반도체 구조의 횡단면도.
* 도면의 주요부분에 대한 부호의 설명 *
108, 418 : 절연 층 116, 416 : 전도층
118, 412 : 기판 210, 212, 404, 410 : 트렌치
218 : 펜스

Claims (25)

  1. 기판 위에 배치되고 하부 디바이스층과 상기 하부 디바이스층의 위에 배치된 절연 층을 포함하는 적층 구조를 통과하여 이중 다마신 에칭 방법으로서,
    상기 절연 층의 상부 표면에 트렌치를 형성하는 단계를 포함하는데, 상기 트렌치는 상기 하부 디바이스층 위에 배치되며, 상기 트렌치의 상기 바닥에 있는 절연 재료에 의해 상기 하부 디바이스층으로부터 분리되며;
    상기 절연 층의 상기 상부 표면과 상기 트렌치 속으로 유동성 산화물을 증착하는 단계;
    상기 유동성 산화물을 아래로는 대략 상기 절연 층의 상기 상부 표면의 높이까지 평탄화하는 단계; 및
    상기 트렌치 내의 상기 유동성 산화물과 상기 트렌치의 바닥에 있는 상기 절연 재료를 통과해 아래로는 상기 하부 디바이스층까지 에칭하여 통로를 형성하는 단계를 포함하는 이중 다마신 에칭 방법.
  2. 제 1 항에 있어서,
    상기 유동성 산화물과 상기 절연 재료를 통과하는 상기 에칭을 촉진하기 위해 상기 유동성 산화물 위에 비반사 층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 이중 다마신 에칭 방법.
  3. 제 2 항에 있어서,
    상기 유동성 산화물과 상기 절연 재료를 통과하는 상기 에칭에 앞서 상기 비반사 층을 브레이크스루 에칭하는 단계를 더 포함하는 것을 특징으로 하는 이중 다마신 에칭 방법.
  4. 제 3 항에 있어서,
    상기 브레이크스루 에칭에서는 N2를 포함하는 에천트 소스 가스를 사용하는 것을 특징으로 하는 이중 다마신 에칭 방법.
  5. 제 3 항에 있어서,
    상기 유동성 산화물과 상기 절연 재료를 통과하는 상기 에칭은,
    제 1 에칭 변수들에 따라 상기 트렌치 내의 상기 유동성 산화물을 통과해 에칭하는 단계; 및
    제 2 에칭 변수들에 따라 상기 트렌치의 바닥에 있는 상기 절연 재료를 통과해 에칭하는 단계를 포함하는 것을 특징으로 하는 이중 다마신 에칭 방법.
  6. 제 5 항에 있어서,
    상기 제 1 변수들과 상기 제 2 변수들 중 적어도 하나는 C4F8을 포함하는 에천트 소스 가스 사용을 포함하는 것을 특징으로 하는 이중 다마신 에칭 방법.
  7. 제 6 항에 있어서,
    상기 제 1 변수들은 상기 제 2 변수들의 O2 에천트 소스 가스의 양 보다 많은 O2 에천트 소스 가스의 양을 포함하는 것을 특징으로 하는 이중 다마신 에칭 방법.
  8. 제 1 항에 있어서,
    상기 기판은 실리콘 웨이퍼인 것을 특징으로 하는 이중 다마신 에칭 방법.
  9. 제 1 항에 있어서,
    상기 유동성 산화물은 스핀 온 글래스(SOG)인 것을 특징으로 하는 이중 다마신 에칭 방법.
  10. 제 1 항에 있어서,
    상기 평탄화는 반응성 이온 에칭에 의해서 얻어지는 것을 특징으로 하는 이중 다마신 에칭 방법.
  11. 제 1 항에 있어서,
    상기 기판은 집적 회로의 제조에 사용되는 것을 특징으로 하는 이중 다마신 에칭 방법.
  12. 제 1 항에 있어서,
    상기 기판은 디램의 제조에 사용되는 것을 특징으로 하는 이중 다마신 에칭 방법.
  13. 적층 구조에서의 상부 디바이스층과 하부 디바이스층을 연결하는 통로를 형성하는 방법으로서, 상기 상부 전도체는 절연 층에 형성된 트렌치 내에 배치되고 상기 트렌치의 바닥에 있는 절연 재료에 의해 상기 하부 디바이스층으로부터 이격된 통로 형성 방법으로서,
    유동성 산화물을 상기 절연 층의 상부 표면 위와 상기 트렌치 내에 증착시키는 단계;
    상기 유동성 산화물을 아래로는 적어도 대략 상기 절연 층의 상부 표면의 높이까지 평탄화하는 단계; 및
    상기 트렌치 내의 상기 유동성 산화물과 상기 트렌치의 바닥에 있는 상기 절연 재료를 통과해 아래로는 적어도 상기 하부 디바이스층까지 에칭하여 상기 통로를 형성하는 단계를 포함하는 통로 형성 방법.
  14. 제 13 항에 있어서,
    상기 유동성 산화물과 상기 절연 재료를 통과하는 상기 에칭 단계는,
    제 1 에칭 변수들에 따라, 상기 트렌치 내의 상기 유동성 산화물을 실질적으로 통과하여 에칭하는 단계; 그리고
    제 2 에칭 변수들에 따라, 상기 트렌치의 상기 바닥에 있는 상기 절연 재료를 통과하여 에칭하는 단계를 포함하는 것을 특징으로 하는 통로 형성 방법.
  15. 제 14 항에 있어서,
    상기 유동성 산화물과 상기 절연 재료를 통과하는 상기 에칭 단계를 촉진하기 위하여 상기 유동성 산화물 위에 비반사 층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 통로 형성 방법.
  16. 제 15 항에 있어서,
    상기 유동성 산화물과 상기 절연 재료를 통과하는 상기 에칭 단계에 앞서 상기 비반사 층위에 포토레지스트 마스크를 형성하는 단계를 더 포함하는 것을 특징으로 하는 통로 형성 방법.
  17. 제 16 항에 있어서,
    상기 포토레지스트 마스크를 사용하여 상기 유동성 산화물과 상기 절연 재료를 통과하는 상기 에칭에 앞서 상기 비반사 층을 브레이크스루 에칭하는 단계를 더 포함하는 것을 특징으로 하는 통로 형성 방법.
  18. 제 17 항에 있어서,
    상기 브레이크스루 에칭 단계에는 N2를 포함하는 에천트 소스 가스가 사용되는 것을 특징으로 하는 통로 형성 방법.
  19. 제 14 항에 있어서,
    상기 제 1 변수들과 상기 제 2 변수들 중의 적어도 하나는 C4F8을 포함하는 에천트 소스 가스의 사용을 포함하는 것을 특징으로 하는 통로 형성 방법.
  20. 제 14 항에 있어서,
    상기 상부 전도체를 형성하고 상기 상부 전도체와 상기 하부 디바이스층을 전기적으로 연결하기 위해 상기 통로와 상기 트렌치 속으로 전도성 재료를 증착하는 단계를 더 포함하는 것을 특징으로 하는 통로 형성 방법.
  21. 제 14 항에 있어서,
    상기 기판은 디램의 제조에 사용되는 것을 특징으로 하는 통로 형성 방법.
  22. 적층 구조에서의 하부 디바이스층과 상부 전도체를 연결하기 위해 형성된 통로 내에서의 펜스 형성을 실질적으로 감소시키는 방법으로서, 상기 상부 전도체는 절연 층에 형성된 트렌치 내에 배치되고 상기 트렌치의 바닥에 있는 절연 재료에 의해 상기 하부 디바이스층으로부터 이격되어 형성된, 펜스 형성 감소 방법으로서,
    상기 트렌치를 완전히 채우도록 상기 트렌치 속으로, 그리고 상기 절연 층의 상부 표면 위로 충분한 양의 유동성 산화물을 증착하는 단계;
    실질적으로 평탄한 표면을 형성하기 위해 아래로는 적어도 대략 상기 절연 층의 상기 상부 표면의 높이까지 상기 유동성 산화물을 평탄화하는 단계; 및
    상기 트렌치 내의 상기 유동성 산화물과 상기 트렌치의 상기 바닥에 있는 상기 절연 층을 통과하여 아래로는 적어도 상기 하부 디바이스층까지 에칭하여 상기 통로를 형성하는 단계를 포함하는 펜스 형성 감소 방법.
  23. 제 22 항에 있어서,
    상기 기판은 디램의 제조에 사용되는 것을 특징으로 하는 펜스 형성 감소 방법.
  24. 제 22 항에 있어서,
    상기 디바이스층은 전도층인 것을 특징으로 하는 펜스 형성 감소 방법.
  25. 상기 디바이스층은 도핑된 실리콘 층인 것을 특징으로 하는 펜스 형성 감소 방법.
KR10-1998-0023337A 1997-06-30 1998-06-22 유동성희생산화물을이용하는이중다마신법을사용한다층동일평면금속/절연체막형성방법 KR100494955B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/884,861 US6300235B1 (en) 1997-06-30 1997-06-30 Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US08/884,861 1997-06-30
US8/884,861 1997-06-30

Publications (2)

Publication Number Publication Date
KR19990007191A KR19990007191A (ko) 1999-01-25
KR100494955B1 true KR100494955B1 (ko) 2005-09-09

Family

ID=25385585

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0023337A KR100494955B1 (ko) 1997-06-30 1998-06-22 유동성희생산화물을이용하는이중다마신법을사용한다층동일평면금속/절연체막형성방법

Country Status (7)

Country Link
US (1) US6300235B1 (ko)
EP (1) EP0895283B1 (ko)
JP (1) JPH1187352A (ko)
KR (1) KR100494955B1 (ko)
CN (1) CN1146956C (ko)
DE (1) DE69837313T2 (ko)
TW (1) TW462112B (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7215025B1 (en) * 1998-03-20 2007-05-08 Mcsp, Llc Wafer scale semiconductor structure
US7205635B1 (en) 1998-03-20 2007-04-17 Mcsp, Llc Hermetic wafer scale integrated circuit structure
US6982475B1 (en) 1998-03-20 2006-01-03 Mcsp, Llc Hermetic wafer scale integrated circuit structure
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
JP3214475B2 (ja) * 1998-12-21 2001-10-02 日本電気株式会社 デュアルダマシン配線の形成方法
US6727143B1 (en) * 1999-11-30 2004-04-27 Advanced Micro Devices, Inc. Method and system for reducing charge gain and charge loss when using an ARC layer in interlayer dielectric formation
JP2001332621A (ja) * 2000-03-13 2001-11-30 Toshiba Corp 半導体装置及びその製造方法
US6521542B1 (en) * 2000-06-14 2003-02-18 International Business Machines Corp. Method for forming dual damascene structure
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
JP3704030B2 (ja) * 2000-07-24 2005-10-05 シャープ株式会社 半導体装置の製造方法
US6465358B1 (en) * 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6514860B1 (en) * 2001-01-31 2003-02-04 Advanced Micro Devices, Inc. Integration of organic fill for dual damascene process
US6372635B1 (en) * 2001-02-06 2002-04-16 Advanced Micro Devices, Inc. Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
TW478133B (en) * 2001-02-27 2002-03-01 Nanya Technology Corp Manufacturing method of the bit line contact plug of semiconductor memory cell
KR100405934B1 (ko) * 2001-12-26 2003-11-14 주식회사 하이닉스반도체 반도체 소자의 콘택홀 제조 방법
KR100428791B1 (ko) * 2002-04-17 2004-04-28 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
TW546771B (en) * 2002-05-13 2003-08-11 Nanya Technology Corp Manufacturing method of dual damascene structure
KR100454130B1 (ko) * 2002-05-28 2004-10-26 삼성전자주식회사 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
TW544857B (en) * 2002-07-30 2003-08-01 Promos Technologies Inc Manufacturing method of dual damascene structure
KR100442147B1 (ko) * 2002-08-09 2004-07-27 동부전자 주식회사 이중 다마신 패턴 형성 방법
US7538025B2 (en) * 2003-11-14 2009-05-26 Taiwan Semiconductor Manufacturing Company Dual damascene process flow for porous low-k materials
US6955926B2 (en) * 2004-02-25 2005-10-18 International Business Machines Corporation Method of fabricating data tracks for use in a magnetic shift register memory device
KR100624098B1 (ko) 2005-06-16 2006-09-15 삼성전자주식회사 박막 구조물 및 이의 형성 방법
KR100703560B1 (ko) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US8062971B2 (en) 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
US7618874B1 (en) * 2008-05-02 2009-11-17 Micron Technology, Inc. Methods of forming capacitors
US7696056B2 (en) * 2008-05-02 2010-04-13 Micron Technology, Inc. Methods of forming capacitors
CN102592989B (zh) * 2011-01-07 2015-04-08 中国科学院微电子研究所 层间电介质的近界面平坦化回刻方法
US8629037B2 (en) * 2011-09-24 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Forming a protective film on a back side of a silicon wafer in a III-V family fabrication process
CN108538839B (zh) * 2017-03-01 2019-08-23 联华电子股份有限公司 半导体结构、用于存储器元件的半导体结构及其制作方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940010197A (ko) 1992-10-13 1994-05-24 김광호 반도체 장치의 제조방법
US5449644A (en) * 1994-01-13 1995-09-12 United Microelectronics Corporation Process for contact hole formation using a sacrificial SOG layer
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
TW388083B (en) * 1995-02-20 2000-04-21 Hitachi Ltd Resist pattern-forming method using anti-reflective layer, resist pattern formed, and method of etching using resist pattern and product formed
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
US5935877A (en) 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
ES2268894T3 (es) * 1998-12-18 2007-03-16 Scios Inc. Agonistas y antagonistas de receptores de benzodiazepina de tipo periferico.

Also Published As

Publication number Publication date
EP0895283B1 (en) 2007-03-14
TW462112B (en) 2001-11-01
DE69837313T2 (de) 2007-12-20
KR19990007191A (ko) 1999-01-25
EP0895283A2 (en) 1999-02-03
JPH1187352A (ja) 1999-03-30
DE69837313D1 (de) 2007-04-26
CN1146956C (zh) 2004-04-21
US6300235B1 (en) 2001-10-09
EP0895283A3 (en) 2000-05-03
CN1208949A (zh) 1999-02-24

Similar Documents

Publication Publication Date Title
KR100494955B1 (ko) 유동성희생산화물을이용하는이중다마신법을사용한다층동일평면금속/절연체막형성방법
US6573168B2 (en) Methods for forming conductive contact body for integrated circuits using dummy dielectric layer
TWI251296B (en) Method for fabricating semiconductor device capable of preventing damage by wet cleaning process
KR20010030170A (ko) 이중 물결무늬 구조를 포함하는 집적회로 제조방법
US11158536B2 (en) Patterning line cuts before line patterning using sacrificial fill material
KR20000072897A (ko) 반도체 장치의 제조 방법
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
JPH09120990A (ja) 接続孔の形成方法
KR100422356B1 (ko) 반도체소자의 콘택 형성방법
US7799676B2 (en) Method of manufacturing a contact structure to avoid open issue
US7326632B2 (en) Method for fabricating metal wirings of semiconductor device
US20070010089A1 (en) Method of forming bit line of semiconductor device
KR100524928B1 (ko) 다마신 공정을 이용한 금속배선 형성방법
KR100310823B1 (ko) 반도체장치의콘택홀형성방법
KR100262009B1 (ko) 반도체장치의 제조 방법
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
KR20070079807A (ko) 반도체 소자의 제조 방법
KR100670686B1 (ko) 반도체 소자의 콘택플러그 제조 방법
KR100415988B1 (ko) 반도체 장치의 비아홀 형성 방법
KR20030002623A (ko) 다마신 공정을 이용한 반도체 소자의 제조방법
KR20020004374A (ko) 반도체소자의 제조방법
KR20030056923A (ko) 금속 배선 형성 방법
KR20050056353A (ko) 반도체 소자의 랜딩 플러그 폴리 형성방법
KR20010063865A (ko) 반도체소자의 도전배선 형성방법
KR20040102397A (ko) 반도체 소자의 랜딩 플러그 콘택 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130523

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140522

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150521

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee