KR100422356B1 - 반도체소자의 콘택 형성방법 - Google Patents

반도체소자의 콘택 형성방법 Download PDF

Info

Publication number
KR100422356B1
KR100422356B1 KR10-2001-0054511A KR20010054511A KR100422356B1 KR 100422356 B1 KR100422356 B1 KR 100422356B1 KR 20010054511 A KR20010054511 A KR 20010054511A KR 100422356 B1 KR100422356 B1 KR 100422356B1
Authority
KR
South Korea
Prior art keywords
forming
interlayer insulating
contact
tin film
contact hole
Prior art date
Application number
KR10-2001-0054511A
Other languages
English (en)
Other versions
KR20030021373A (ko
Inventor
류인철
진성곤
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2001-0054511A priority Critical patent/KR100422356B1/ko
Priority to JP2001401588A priority patent/JP2003086678A/ja
Priority to US10/034,497 priority patent/US20030045091A1/en
Publication of KR20030021373A publication Critical patent/KR20030021373A/ko
Application granted granted Critical
Publication of KR100422356B1 publication Critical patent/KR100422356B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal

Abstract

본 발명은 반도체소자의 콘택 형성방법에 관한 것으로, 콘택깊이가 서로 다른 콘택홀을 형성하는 반도체소자의 콘택 형성방법에 있어서, 실리콘기판상에 제1층간절연막을 형성하는 단계; 상기 제1층간절연막상에 도전성 물질층패턴을 형성하는 단계; 상기 도전성 물질층패턴을 포함한 상기 제1층간절연막 상에 제2층간절연막을 형성하는 단계; 상기 제2층간절연막과 제1층간절연막을 선택적으로 제거하여 상기 도전성물질층패턴과 상기 실리콘기판의 일부분을 각각 개구시키는 제1콘택홀과 제2콘택홀을 형성하는 단계; 상기 제1콘택홀과 제2콘택홀을 포함한 상기 제1 및 2 층간절연막상에 적어도 CVD TiN 막을 포함하는 글루층을 형성하는 단계; 및 상기 글루층상에 텅스텐층을 형성하여 상기 제1 및 2 콘택홀을 매립하는 단계:를 포함하여 이루어진다.

Description

반도체소자의 콘택 형성방법{Method for forming contact in semiconductor device}
본 발명은 반도체소자의 제조방법에 관한 것으로서, 보다 상세하게는 로직 및 메모리소자등의 반도체소자에 있어서 텅스텐 플러그 또는 텅스텐 배선, 텅스텐다마신 등의 텅스텐 증착공정을 수행하는 반도체소자의 제조시에 적용하는 반도체소자의 콘택 형성방법에 관한 것이다.
종래기술에 따른 반도체소자의 제조시에, 층간절연막을 식각하여 콘택식각을 함에 있어서, 식각용 소오스물질은 F 소오스를 함유하고 있다. 예를들면, CHF3, CF4, C2F8등의 소오스 가스가 거의 모든 반도체 콘택 식각 소오스물질로 사용되고 있다.
이들 물질의 경우, 층간절연막으로 사용되는 산화막 또는 질화막 계열의 실리콘 화합물을 식각하는데 사용되는 주요 물질이다. 이들 화합물들을 이용한 플라즈마 식각공정이 콘택식각에 이용되고 있다.
반도체집적회로의 미세화에 따라 더 깊고 작은 콘택을 식각하는 것이 필수적으로 도입되고 있는 실정이다.
또한, 공정상의 비용감소를 위해 콘택식각을 함에 있어서 여러 부위의 콘택, 특히 콘택 깊이차이가 심한 콘택(예를들면, 깊이차이 〉7000 Å)을 동시에 식각하여 개구(open)시키는 것이 필수적이다.
이러한 관점에서, 종래기술에 따른 반도체소자의 콘택 형성방법을 도 1을 참조하여 설명하면 다음과 같다.
도 1 은 종래기술에 따른 반도체소자의 콘택 형성방법을 설명하기 위한 콘택 단면도이다.
종래기술에 따른 반도체소자의 콘택 형성방법은, 도 1에 도시된 바와같이, 실리콘기판(1)상에 제1층간절연막(3)을 증착하고, 상기 제1층간절연막(3)상에 다결정실리콘층패턴(5)을 증착한다.
그다음, 상기 다결정실리콘층패턴(5)을 포함한 제1층간절연막(3)상에 제2층간절연막(7)을 증착한다.
이어서, 상기 제2층간절연막(7)상에 감광막(미도시)을 도포하고 이를 포토리소그래피공정기술을 이용하여 노광 및 현상공정에 의해 선택적으로 제거하여 제1 및 제2 콘택홀을 형성하기 위한 감광막패턴(9)을 형성한다.
그다음, 상기 감광막패턴(9)을 마스크로 상기 제2층간절연막(7)과 제1층간절연막(5)을 선택적으로 제거하여 상기 다결정실리콘층패턴(5)와 실리콘기판(1)의 일부분을 각각 노출시키는 제1콘택홀(11a)과 제2콘택홀(11b)을 동시에 형성한다. 이때, 상기 제2콘택홀(11b)은 제1콘택홀(11a)보다 약 7000 Å이상의 깊이로 형성된다.
또한, 상기 제1 및 제2 콘택홀 형성을 위한 식각공정시에, 콘택홀이 개구되는 부위에서의 물질차이 또는 과도한 콘택홀의 깊이차이(7000 Å이상)에 의해 식각용액(즉, 식각시 반응하는 물질)의 식각 선택비(특히, 산화막과 다결정실리콘등의 실리콘)를 높게 하여야만 적당한 PR 두께를 가지고 식각할 수 있다.
그러나, 상기와 같은 종래기술에 있어서의 콘택식각의 경우에 콘택 깊이가 낮은 콘택홀은 깊은 콘택홀이 완전히 개구될 때까지 식각용액에 의해 노출되게 된다.
이런 경우에, 상기 식각용액이 콘택홀측면의 측벽에 존재하는 층간절연막과반응하게 된다. 이는 층간절연막에 비해 상대적으로 낮은 식각률을 가지는 다결정실리콘 또는 실리콘-질소 화합물위에 콘택깊이가 낮은 콘택홀이 개구될 경우에 더욱 심하게 발생하므로써 콘택 프로파일의 상변화를 초래하게 된다. 특히, 식각률이 심하게 차이가 나는 콘택홀의 바닥부위에서 프로파일의 변형이 심하게 발생하게 된다.
이로 인하여, 콘택 매립에 사용되는 텅스텐 매립이 어려워지므로써 안정된 저항을 얻기가 어려워지는 문제점이 있다.
이에 본 발명은 상기 종래기술의 제반 문제점을 해결하기 위하여 안출한 것으로서, 텅스텐과 콘택홀에 의해 개구되는 부위의 전도성 물질과의 접촉면적을 증가시켜 안정된 콘택저항값을 얻을 수 있는 반도체소자의 콘택 형성방법을 제공함에 그 목적이 있다.
도 1은 종래기술에 따른 반도체소자의 콘택 형성방법을 설명하기 위한 공정단면도.
도 2 내지 도 4는 본 발명에 따른 반도체소자의 콘택 형성방법을 설명하기 위한 공정 단면도.
[도면부호의설명]
21 : 실리콘기판 23 : 제1층간절연막
25 : 도전성 물질층패턴 27 : 제2층간절연막
29a : 제1콘택홀 29b : 제2콘택홀
31 : TiN 박막 33 : 텅스텐층
상기 목적을 달성하기 위한 본 발명에 따른 반도체소자의 콘택 형성방법은, 콘택깊이가 서로 다른 콘택홀을 형성하는 반도체소자의 콘택 형성방법에 있어서, 실리콘기판상에 제1층간절연막을 형성하는 단계; 상기 제1층간절연막상에 도전성 물질층패턴을 형성하는 단계; 상기 도전성 물질층패턴을 포함한 상기 제1층간절연막 상에 제2층간절연막을 형성하는 단계; 상기 제2층간절연막과 제1층간절연막을 선택적으로 제거하여 상기 도전성물질층패턴과 상기 실리콘기판의 일부분을 각각 개구시키는 제1콘택홀과 제2콘택홀을 형성하는 단계; 상기 제1콘택홀과 제2콘택홀을 포함한 상기 제1 및 2 층간절연막상에 적어도 CVD TiN 막을 포함하는 글루층을형성하는 단계; 및 상기 글루층상에 텅스텐층을 형성하여 상기 제1 및 2 콘택홀을 매립하는 단계:를 포함하여 이루어지는 것을 특징으로한다.
또한, 본 발명에 따른 반도체소자의 콘택 형성방법은, 콘택깊이가 서로 다른 콘택홀을 형성하는 반도체소자의 콘택 형성방법에 있어서, 실리콘기판상에 제1층간 절연막을 형성하는 단계; 상기 제1층간절연막상에 상기 제1층간절연막의 식각률보다 느린 식각률을 가진 도전성 물질층패턴을 형성하는 단계; 상기 도전성 물질층패턴을 포함한 상기 제1층간절연막상에 제2층간절연막을 형성하는 단계; 상기 제2층간 절연막과 제1층간절연막을 선택적으로 제거하여 상기 도전성물질층패턴과 상기 실리콘기판의 일부분을 각각 개구시키는 제1콘택홀과 상기 제1콘택홀의 깊이보다 깊은 제2콘택홀을 형성하는 단계; 상기 제1 및 제2 콘택홀을 포함한 상기 제1 및 2 층간절연막상에 CVD TiN 막을 형성하는 단계; 및 상기 CVD TiN 막상에 텅스텐 층을 형성하여 상기 제1 및 2 콘택홀을 매립하는 단계:를 포함하여 이루어지는 것을 특징으로한다.
(실시예)
이하, 본 발명에 따른 반도체소자의 콘택 형성방법을 첨부된 도면을 참조하여 상세히 설명한다.
도 2 내지 도 4는 본 발명에 따른 반도체소자의 콘택 형성방법을 설명하기 위한 공정단면도이다.
본 발명에 따른 반도체소자의 콘택 형성방법은, 도 2에 도시된 바와같이, 먼저 실리콘기판(21)상에 제1층간절연막(23)을 증착하고, 상기 제1층간절연막(23)상에, 후속공정인 콘택 형성을 위한 식각공정에서 콘택이 개구되는 부위의 물질의 식각률이 상기 층간절연막들(25)(23)로 사용하는 물질, 예를들면 산화막, BPSG, SOG 등보다 느린 도전성 물질층(미도시)을 증착한다. 이때, 상기 도전성 물질층으로는 다결정실리콘, 언도프트 실리콘, 도프트실리콘, 텅스텐실리사이드 또는 텅스텐 중에서 어느 하나를 사용한다.
그다음, 상기 도전성 물질층(미도시)을 원하는 부분만 남도록 선택적으로 패터닝하여 도전성 물질층패턴(25)을 형성한다.
이어서, 상기 도전성 물질층패턴(25)을 포함한 제1층간절연막(23)상에 제2층간절연막(27)을 증착한다.
그다음, 도면에는 도시하지 않았지만, 상기 제2층간절연막(27)상에 감광막(미도시)을 도포하고 포토리소그라피 공정기술을 이용한 노광 및 현상공정에 의해 상기 감광막(미도시)을 선택적으로 제거하여 제1 및 제2 콘택홀을 형성하기 위한 감광막패턴(미도시)을 형성한다.
이어서, 상기 감광막패턴(미도시)을 마스크로 상기 제2층간절연막(27)과 제1층간절연막(23)을 선택적으로 제거하여 상기 도전성물질층패턴(25)와 실리콘기판 (21)의 일부분을 각각 노출시키는 제1콘택홀(29a)과 제2콘택홀(29b)을 동시에 형성하고 상기 감광막패턴(미도시)을 제거한다. 이때, 상기 제2콘택홀(29b)은 제1콘택홀(29a)보다 약 7000 Å이상의 깊이로 형성된다.
또한, 제2층간절연막(27)과 제1층간절연막(23)의 선택적 식각공정은 콘택 식각소스로 플루오루(F) 소스를 가진 가스 또는 이온, 라디칼을 이용하여 플라즈마식각을 진행한다. 이때, 상기 플루오르 소스를 가진 가스로는 CF4, CHF3, CH2F2, C2F6, C2F8, C5F8등을 포함한다.
그러나, 상기 콘택홀 형성시에 콘택 깊이가 낮은 제1콘택홀(29a)은 깊은 제2콘택홀(29b)이 완전히 개구될 때까지 식각소스에 의해 노출되게 된다.
이때, 종래기술의 도 1에서와 같이, 상기 식각소스가 상기 제1콘택홀(29a)측면의 측벽에 존재하는 제2층간절연막(27)과 반응하게 된다. 더욱이, 상기 식각소스는 상기 제2층간절연막(27)에 비해 상대적으로 낮은 식각률을 가지는 도전성 물질층패턴(25)위에서 콘택깊이가 낮은 제1콘택홀(29a)이 개구될 경우에 상기 도전성 물질층패턴(25)과 더욱 심하게 반응하므로써 콘택 프로파일의 상변화를 초래하게 된다. 특히, 식각률이 심하게 차이가 나는 제1콘택홀(29a)의 바닥부위인 도전성물질층패턴에서 프로파일의 변형이 심하게 발생하게 된다.
그다음, 도 3에 도시된 바와같이, 이러한 프로파일의 변형이 발생하더라도 안정된 콘택저항을 얻기 위해, 프로파일의 변형이 일어난 상기 도전성 물질층 패턴(25)과 제1콘택홀(29a) 및 제2콘택홀(29b) 그리고 상기 제2층간절연막(27) 및 제1층간절연막(23)의 노출된 표면상에 CVD 방법에 의해 약 400 Å이하 두께의 TiN 박막(31)을 증착한다. 이때, 상기 TiN 박막(31)은 TDMAT, TDMET 또는 TiCl4소오스를 이용하여 증착한다.
또한, 상기 TiN 박막을 증착하는 도중 또는 증착후에 N2+ H2또는 N2, H2등의 가스를 약 1kW 의 RF 파워에서 플라즈마처리를 진행할 수도 있다.
그리고, 상기 TiN 박막은 TiCl4소오스를 사용하여 Ti박막 (또는 TiSi2)/TiN 박막을 동시에 증착할 수도 있다.
더욱이, 후속공정에서 형성되는 텅스텐의 콘택갭의 매립 능력을 증가시키고 보다 낮은 저항을 얻기 위하여 CVD 방법에 의해 형성된 TiN막을 포함하는 적어도 2층이상의 TiN막, 예를들면 CVD TiN막과 PVD TiN막의 적층구조 또는 PVD TiN막과 CVD TiN막의 적층구조를 포함한다.
한편, 콘택저항을 낮추기 위해, 상기 TiN 박막(31)을 증착하기 전후에 아닐링공정, 예를들면 RTA 또는 튜브아닐링(ture annealing) 등을 실시할 수도 있다.
그다음, 상기 TiN 박막(31)이 형성된 제1콘택홀(29a)과 제2콘택홀(29b)을 포함한 제2층간절연막(27)상에 텅스텐막(33)을 증착하여 상기 제1콘택홀(29a)과 제2콘택홀(29b)을 매립한다.
상기에서 설명한 바와같이, 본 발명에 따른 반도체소자의 콘택 형성방법에 있어서는 다음과 같은 효과가 있다.
본 발명에 따른 반도체소자의 콘택 형성방법에 있어서는, 콘택프로파일의 이상에 의한 콘택 측면의 측벽에서의 CVD TiN과 같은 글루층(glue layer)에 의해 안정된 스텝 커버리지를 확보하므로써 콘택에서의 텅스텐과 다결정 실리콘등의 전도성 물질층간의 접촉면적을 증가시켜 접촉저항의 디비에이션(deviation)없이 안정된 접촉 저항을 확보할 수 있다.
따라서, 콘택 식각 프로파일에 의한 개구성 패일(fail)을 방지할 수가 있다.
또한, 심한 콘택 깊이차이(약 7000 Å이상)에 의한 콘택 프로파일의 변형 문제로 인해 마스크공정 및 식각공정을 별도로 진행할 필요가 없기 때문에 반도체소자의 제조공정을 단순화시킬 수 있다.
한편, 본 발명은 상술한 특정의 바람직한 실시예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변경 실시가 가능할 것이다.

Claims (19)

  1. 콘택깊이가 서로 다른 콘택홀을 형성하는 반도체소자의 콘택 형성방법에 있어서,
    실리콘기판상에 제1층간절연막을 형성하는 단계;
    상기 제1층간절연막상에 도전성 물질층패턴을 형성하는 단계;
    상기 도전성 물질층패턴을 포함한 상기 제1층간절연막상에 제2층간절연막을 형성하는 단계;
    상기 제2층간절연막과 제1층간절연막을 선택적으로 제거하여 상기 도전성물질층패턴과 상기 실리콘기판의 일부분을 각각 개구시키는 제1콘택홀과 제2콘택홀을 형성하는 단계;
    상기 제1콘택홀과 제2콘택홀을 포함한 상기 제1 및 2 층간절연막상에 적어도 CVD TiN 막을 포함하는 글루층을 형성하는 단계; 및
    상기 글루층상에 텅스텐층을 형성하여 상기 제1 및 2 콘택홀을 매립하는 단계:를 포함하여 이루어지는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  2. 제1항에 있어서, 상기 도전성물질층패턴은 다결정실리콘, 언도프트 실리콘, 도프트 실리콘, 텅스텐실리사이드, 텅스텐중에서 어느 하나를 포함하는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  3. 제1항에 있어서, 상기 글루층은 CVD TiN막과 PVD TiN막의 적층구조 또는 PVD TiN막과 CVD TiN막의 적층구조로 구성되어 있는 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
  4. 제1항에 있어서, 상기 CVD TiN막은 TDMAT 또는 TDMET 소스를 사용하거나 TiCl4소스를 이용하여 400 Å 이하 두께로 증착하는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  5. 제1항에 있어서, 상기 CVD TiN막을 증착하는 도중 또는 증착후에 N2+ H2또는 N2, H2가스를 이용하여 플라즈마 처리를 실시하는 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
  6. 제1항에 있어서, 상기 CVD TiN막은 Ti막과 TiN막을 포함하는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  7. 제1항에 있어서, 상기 제1 및 2 콘택홀을 선택적으로 제거하는 단계는, 콘택가스로 플루오르 소스를 가진 가스 또는 이온, 라디칼를 사용하는 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
  8. 제7항에 있어서, 상기 플루오르 소스를 가진 가스로는 CF4, CHF3, CH2F2, C2F6, C2F8, C5F8을 포함하는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  9. 제1항에 있어서, 상기 제2콘택홀의 깊이는 제1콘택홀의 깊이보다 7000 Å 이상인 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
  10. 콘택깊이가 서로 다른 콘택홀을 형성하는 반도체소자의 콘택 형성방법에 있어서,
    실리콘기판상에 제1층간절연막을 형성하는 단계;
    상기 제1층간절연막상에 상기 제1층간절연막의 식각률보다 느린 식각률을 가진 도전성 물질층패턴을 형성하는 단계;
    상기 도전성 물질층패턴을 포함한 상기 제1층간절연막상에 제2층간절연막을 형성하는 단계;
    상기 제2층간절연막과 제1층간절연막을 선택적으로 제거하여 상기 도전성물질층패턴과 상기 실리콘기판의 일부분을 각각 개구시키는 제1콘택홀과 상기 제1콘택홀의 깊이보다 깊은 제2콘택홀을 형성하는 단계;
    상기 제1 및 제2 콘택홀을 포함한 상기 제1 및 2 층간절연막상에 적어도 CVD TiN 막을 형성하는 단계; 및
    상기 CVD TiN 막상에 텅스텐층을 형성하여 상기 제1 및 2 콘택홀을 매립하는단계:를 포함하여 이루어지는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  11. 제10항에 있어서, 상기 도전성물질층패턴은 다결정실리콘, 언도프트 실리콘, 도프트 실리콘, 텅스텐실리사이드, 텅스텐중에서 어느 하나를 포함하는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  12. 제10항에 있어서, 상기 CVD TiN막을 형성한후 PVD TiN막을 형성하거나 상기 CVD TiN막을 형성하기 전에 PVD TiN막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
  13. 제10항에 있어서, 상기 CVD TiN막은 TDMAT 또는 TDMET 소스를 사용하거나 TiCl4소스를 이용하여 400 Å 이하 두께로 증착하는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  14. 제10항에 있어서, 상기 CVD TiN막을 증착하는 도중 또는 증착후에 N2+ H2또는 N2, H2가스를 이용하여 플라즈마 처리를 실시하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
  15. 제10항에 있어서, 상기 CVD TiN막은 Ti막과 TiN막을 포함하는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  16. 제10항에 있어서, 상기 제1 및 2 층간절연막을 선택적으로 제거하는 단계는, 콘택가스로 플루오르 소스를 가진 가스 또는 이온, 라디칼를 사용하는 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
  17. 제16항에 있어서, 상기 플루오르 소스를 가진 가스로는 CF4, CHF3, CH2F2, C2F6, C2F8, C5F8을 포함하는 것을 특징으로하는 반도체소자의 콘택 형성방법.
  18. 제10항에 있어서, 상기 제2콘택홀의 깊이는 제1콘택홀의 깊이보다 7000 Å 이상인 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
  19. 제10항에 있어서, 상기 CVD TiN막을 증착하기 전 또는 증착후에 급속 열처리공정 또는 튜브아닐링을 진행하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 콘택 형성방법.
KR10-2001-0054511A 2001-09-05 2001-09-05 반도체소자의 콘택 형성방법 KR100422356B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2001-0054511A KR100422356B1 (ko) 2001-09-05 2001-09-05 반도체소자의 콘택 형성방법
JP2001401588A JP2003086678A (ja) 2001-09-05 2001-12-28 半導体素子のコンタクト形成方法
US10/034,497 US20030045091A1 (en) 2001-09-05 2001-12-28 Method of forming a contact for a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0054511A KR100422356B1 (ko) 2001-09-05 2001-09-05 반도체소자의 콘택 형성방법

Publications (2)

Publication Number Publication Date
KR20030021373A KR20030021373A (ko) 2003-03-15
KR100422356B1 true KR100422356B1 (ko) 2004-03-11

Family

ID=19713977

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0054511A KR100422356B1 (ko) 2001-09-05 2001-09-05 반도체소자의 콘택 형성방법

Country Status (3)

Country Link
US (1) US20030045091A1 (ko)
JP (1) JP2003086678A (ko)
KR (1) KR100422356B1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100562985B1 (ko) * 2003-12-30 2006-03-23 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7407875B2 (en) * 2006-09-06 2008-08-05 International Business Machines Corporation Low resistance contact structure and fabrication thereof
KR102402670B1 (ko) * 2017-06-26 2022-05-26 삼성전자주식회사 저항 구조체를 포함하는 반도체 소자

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970052237A (ko) * 1995-12-15 1997-07-29 김주용 반도체소자의 콘택 형성방법
US6077769A (en) * 1998-02-26 2000-06-20 United Microelectronics Corp. Method of fabricating a daul damascene structure
US6184130B1 (en) * 1997-11-06 2001-02-06 Industrial Technology Research Institute Silicide glue layer for W-CVD plug application

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970052237A (ko) * 1995-12-15 1997-07-29 김주용 반도체소자의 콘택 형성방법
US6184130B1 (en) * 1997-11-06 2001-02-06 Industrial Technology Research Institute Silicide glue layer for W-CVD plug application
US6077769A (en) * 1998-02-26 2000-06-20 United Microelectronics Corp. Method of fabricating a daul damascene structure

Also Published As

Publication number Publication date
KR20030021373A (ko) 2003-03-15
US20030045091A1 (en) 2003-03-06
JP2003086678A (ja) 2003-03-20

Similar Documents

Publication Publication Date Title
US6017817A (en) Method of fabricating dual damascene
KR100494955B1 (ko) 유동성희생산화물을이용하는이중다마신법을사용한다층동일평면금속/절연체막형성방법
TWI251296B (en) Method for fabricating semiconductor device capable of preventing damage by wet cleaning process
US6287964B1 (en) Method for forming a metallization layer of a semiconductor device
US20070066047A1 (en) Method of forming opening and contact
KR100422356B1 (ko) 반도체소자의 콘택 형성방법
US6225216B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
KR20000073501A (ko) 반도체 소자의 접촉구 형성 방법
KR100315039B1 (ko) 반도체 소자의 금속배선 형성방법
KR100548570B1 (ko) 반도체소자의 금속배선 형성방법
US7799676B2 (en) Method of manufacturing a contact structure to avoid open issue
KR20050041263A (ko) 반도체 장치 제조 방법
KR100784074B1 (ko) 반도체 소자의 비트 라인 형성 방법
KR20030041550A (ko) 반도체소자의 제조 방법
KR100325601B1 (ko) 반도체 소자의 접촉구 형성 방법
KR100226753B1 (ko) 반도체 소자의 금속배선 형성방법
KR100990933B1 (ko) 반도체 소자의 제조방법
KR100548588B1 (ko) 반도체소자의 배선 형성방법
KR100328829B1 (ko) 반도체 장치의 연결부 형성방법
KR100923763B1 (ko) 반도체 소자의 콘택홀 형성 방법
KR100519644B1 (ko) 반도체 소자의 게이트 형성 방법
KR20050041264A (ko) 반도체 장치 제조 방법
KR20050073043A (ko) 반도체 소자의 비트 라인 형성방법
KR100587056B1 (ko) 반도체소자의 콘택홀 형성방법
KR100500936B1 (ko) 반도체 소자의 콘택홀 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090121

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee