KR100428791B1 - 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법 - Google Patents

저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법 Download PDF

Info

Publication number
KR100428791B1
KR100428791B1 KR10-2002-0020887A KR20020020887A KR100428791B1 KR 100428791 B1 KR100428791 B1 KR 100428791B1 KR 20020020887 A KR20020020887 A KR 20020020887A KR 100428791 B1 KR100428791 B1 KR 100428791B1
Authority
KR
South Korea
Prior art keywords
film
hard mask
insulating film
etching
wiring
Prior art date
Application number
KR10-2002-0020887A
Other languages
English (en)
Other versions
KR20030082238A (ko
Inventor
전진원
김영욱
박태수
이경태
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0020887A priority Critical patent/KR100428791B1/ko
Priority to US10/412,522 priority patent/US6911397B2/en
Priority to JP2003109503A priority patent/JP4105023B2/ja
Priority to DE10318299A priority patent/DE10318299B4/de
Priority to CNB031454224A priority patent/CN1317756C/zh
Publication of KR20030082238A publication Critical patent/KR20030082238A/ko
Application granted granted Critical
Publication of KR100428791B1 publication Critical patent/KR100428791B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

듀얼 다마신 배선 형성방법이 개시된다. 특히 저유전율(low-k) 유기폴리머를 절연막으로 사용한 듀얼 다마신 배선 형성방법으로서 하드마스크막을 하나만 사용하면서도 자기정렬된 스페이서와 식각률이 서로 다른 하드마스크막 및 식각저지막을 이용하여 유기폴리머로 이루어진 절연막이 애싱 손상을 입지 않는다. 또한, 사진공정에서 한계치수보다 적은 비아홀을 형성할 수 있다. 또한, 하드마스크막을 하나만 사용하여 공정이 단순하면서도 감광막 테일이 없는 듀얼 다마신 배선 형성방법을 제공할 수 있다.

Description

저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법{METHOD OF FORMING DUAL DAMASCENE INTERCONNECTION USING LOW DIELECTRIC MATERIAL}
본 발명은 다층 배선 형성방법에 관한 것으로, 특히 저유전율(low-k) 절연막을 이용한 듀얼 다마신 배선 형성방법에 관한 것이다.
로직 소자의 고속화, 고집적화는 급속도로 진행되고 있는데, 이는 트랜지스터의 미세화에 따라 이루어지고 있다. 트랜지스터의 집적도 향상에 대응하여 배선은 미세화되고 있으며, 이에 따른 배선 지연의 문제가 심각해지고 있으며, 소자의 고속화를 방해하는 원인으로 대두되고 있다.
이러한 상황에서 종래부터 LSI(Large Scale Integration)의 배선재료로 일반적으로 이용해 왔던 알루미늄 합금 대신에 보다 저항이 작고, 높은 EM(Electro-migration) 내성을 갖는 재료인 구리(Cu)를 이용한 배선이 활발히 개발되고 있다. 그런데 구리는 식각하는 것이 용이하지 않으며, 공정 중에 산화되는 문제점으로 인하여 구리 배선 형성을 위하여는 다마신(damascene) 공정을 사용한다. 다마신 공정은 절연막에 상부배선이 형성될 배선홈(interconnection groove)과 이 상부배선을 하부배선 또는 기판에 접속하는 비아홀(via hole)을 형성하고, 상기 배선홈 및 비아홀에 구리를 채운 후에 화학기계적 연마(Chemical Mechanical Polishing; 이하 CMP라 함)공정으로 평탄화하는 채움공정이다.
한편, 저유전률(low-k) 절연막은 구리배선과 더불어 배선간 기생용량을 감소시켜 디바이스의 속도를 빠르게 하고, 디바이스의 상호간섭(crosstalk)을 저감시킬 수 있다. 저유전률(low-k) 절연막은 현재 다양하게 개발되고 있으며, 크게SiO2계(Si-O계) 무기 폴리머와 카본계(C계) 유기 폴리머로 나뉘어 진다.
도 1은 종래기술에 의한 싱글 하드마스크막을 사용하는 듀얼 다마신(dual damascene) 공정을 나타내는 단면도이다.
도 1을 참조하면, 하부도전층(100) 상에 하부 식각저지막(105), 하부절연막(110), 상부 식각저지막(115), 상부절연막(120), 및 하드마스크막(125)을 형성한다.
다음으로, 상기 하드마스크막(125), 상부절연막(120), 상부 식각저지막(115) 및 하부절연막(110)을 선택적으로 식각하여 상기 하부 식각저지막(105)을 노출시키는 비아홀(135)을 형성한다. 이하 도면에서는 비아홀의 폭을 D1으로 도시한다.
다음으로, 배선홈 폭의 개구부를 갖는 감광막 패턴(140)을 형성한다. 이하 도면에서는 배선홈의 폭을 D2로 도시한다. 이후에는 도면에는 도시되어 있지 않지만 상기 감광막 패턴을 이용하여 배선홈을 형성하여 다마신 패턴을 형성하게 된다.
그런데, 상기 하부절연막(110) 및 상부절연막(120)이 유기폴리머인 저유전률 절연막으로 이루어진 경우에는 상기 감광막 패턴(140)의 애싱공정에서 사용하는 산소 플라즈마에 의하여 절연막(110, 120)이 손상을 받게 된다. 특히, 상기 배선홈 폭을 가진 감광막 패턴(140)의 사진 공정이 불량하여 다시 사진 공정을 실시하기 위하여 감광막 패턴을 제거하는 리워크(rework) 공정에서는 이미 비아홀 측벽에 노출된 유기폴리머인 절연막(110, 120)에 심각한 손상을 주게 된다.
이와 같은 문제점으로 인하여, 현재 유기폴리머로 이루어진 절연막을 사용하는 듀얼 다마신 공정에서는 하드마스크막을 이중으로 사용하여 배선홈 패턴을 먼저 형성하는 방법이 사용되고 있다
도 2a 내지 도 2j는 종래기술에 의한 듀얼 하드마스크막(dual hardmask layer)을 이용하여 유기폴리머로 구성된 절연막 내에 듀얼 다마신(dual damascene) 패턴을 형성하는 단면도들이다.
도 2a를 참조하면, 하부도전층(200) 상에 하부 식각저지막(205), 하부 절연막(210), 상부 식각저지막(215), 상부절연막(220), 하층 하드마스크막(225), 및 상층 하드마스크막(230)을 차례대로 형성한다.
도 2b를 참조하면, 상기 상층 하드마스크막(230) 상에 배선홈 폭(D2)의 개구부를 갖는 감광막 패턴(235)을 형성한다. 이어서, 상기 감광막 패턴(235)을 식각마스크로 사용하여 상기 상층 하드마스크막(230)을 패터닝하여 상기 하층 하드마스크막(225)의 표면을 노출시키는 배선홈 개구부(233)를 형성한다.
도 2c를 참조하면, 상기 감광막 패턴(235)을 애싱하여 제거한다. 상기 상층 하드마스크막(230)에는 배선홈 개구부(233)가 형성되어 있다.
도 2d를 참조하면, 상기 노출된 하층 하드마스크막(225) 상에 비아홀 폭의 개구부를 갖는 감광막 패턴(240)을 형성한다. 그런데, 상기 감광막 패턴(240)을 형성하는 사진공정은 오정렬이 발생할 수 있으며, 사진공정 실시 후에는 감광막 테일(photoresist tail, 241)이 발생할 수가 있다. 상기 감광막 테일(241)의 발생원인은 상기 패터닝된 상층 하드마스크막(230)의 단차에 의하여 DOF(Depth Of Focus)마진이 부족하여 발생한다. 상기 감광막 테일(241)은 후속 공정에서 패턴 불량을 유발하여 안정적인 다마신 구조를 형성하지 못하게 하며, 최악의 경우에는 패턴이 형성되지 않을 수도 있다.
도 2e를 참조하면, 상기 감광막 패턴(240)을 식각마스크로 하여 상기 하층 하드마스크막(225)을 패터닝하여 상기 상부 절연막(220)의 표면을 노출시킨다.
도 2f를 참조하면, 상기 하층 하드마스크막(225)을 식각마스크로 이용하여 상기 상부 절연막(220)을 선택적으로 식각하여 상기 상부 식각저지막(215)의 표면을 노출시키는 홀 개구부(243)를 형성한다. 특기할 만한 사항은 유기폴리머로 이루어진 상기 상부절연막(220)은 상기 감광막 패턴(240)과 성분이 같은 카본 계열이다. 따라서, 식각률이 유사하여 상기 상부절연막(220)의 식각시에 상기 감광막 패턴(240)이 동시에 제거된다.
도 2g를 참조하면, 상기 패터닝된 상기 상층 하드마스크막(230)을 식각마스크로 하여 상기 하층 하드마스크막(225) 및 상기 노출된 상부 식각저지막(215)을 식각하여 상기 홀 개구부(243)에 인접한 상기 상부 절연막(220)의 상부면 및 상기 홀 개구부(243) 하부의 상기 하부 절연막(210)을 노출시킨다.
도 2h를 참조하면, 상기 노출된 상부 절연막(220) 및 상기 노출된 하부 절연막(210)을 패터닝하여 상기 상부절연막 내에 배선홈(245)를 형성함과 동시에 상기 하부절연막 내에 상기 배선홈(245)보다 좁은 비아홀(250)을 형성한다.
도 2i를 참조하면, 상기 비아홀(250) 하부의 상기 하부 식각저지막(205)을 제거하여 하층도전층(200)의 표면을 노출시킨다. 이 때, 상기 상층하드마스크막(230) 및 상기 배선홈(245) 하부에 노출된 상기 상부 식각저지막(215)도 제거될 수 있다.
도 2j를 참조하면, 도전재료를 상기 배선홈(240) 및 비아홀(235) 내에 충전하고 CMP에 의하여 연마하여 배선(260)을 형성한다. 상기 도전재료를 형성하기 전에는 장벽금속층(255)을 형성할 수 있다.
상기한 듀얼 하드마스크막을 이용한 다마신 공정은 공정이 복잡하다는 단점이 있으며, 상술하였듯이 오정렬이 발생하거나 감광막 테일(photoresist tail)이 발생하는 문제점이 있다.
본 발명은 상기와 같은 문제점을 해결하기 위하여 안출된 것으로, 하드마스크막을 하나만 사용하여 공정이 간단하면서도 유기폴리머로 이루어진 절연막에 애싱 손상이 없는 듀얼 다마신 배선 형성방법을 제공하는데 목적이 있다.
또한, 사진 장비의 한계치수보다 작은 비아홀을 형성할 수 있는 듀얼 다마신 배선 형성방법을 제공하는데 목적이 있다.
또한, 단차에 의하여 발생되는 감광막 테일이 발생하지 않는 듀얼 다마신 배선 형성방법을 제공하는데 목적이 있다.
도 1은 종래기술에 의한 싱글 하드마스크막을 사용하는 듀얼 다마신(dual damascene) 공정을 나타내는 단면도,
도 2a 내지 도 2j는 종래기술에 의한 듀얼 하드마스크막을 사용하는 듀얼 다마신(dual damascene) 공정을 나타내는 단면도들,
도 3a 내지 도 3h는 본 발명의 일실시예에 따른 듀얼 다마신 배선의 형성방법을 공정순으로 도시한 단면도들,
도 4a 내지 도 4e는 본 발명의 일실시예에 따른 듀얼 다마신 배선의 형성방법을 공정순으로 도시한 평면도들이다.
*도면의 주요 부분에 대한 부호의 설명
300 : 하부 도전층 305 : 하부 식각저지막
310 : 하부절연막 315 : 하부 식각저지막
320 : 상부절연막 325 : 하드마스크막
335 : 배선홈 340 : 스페이서
350 : 비아홀
상기 목적을 달성하기 위한 본 발명의 듀얼 다마신 배선 형성방법은 먼저 하부도전층을 갖는 반도체기판 상에 하부절연막, 상부 식각저지막, 상부절연막, 및 하드마스크막을 차례대로 형성한다. 상기 하드마스크막 및 상기 상부절연막을 선택적으로 식각하여 상기 상부절연막 내에 배선홈을 형성하고 상기 배선홈의 측벽에 자기정렬 스페이서를 형성한다. 상기 스페이서를 갖는 반도체기판 상에 상기 배선홈을 가로지르는 개구부를 갖는 감광막 패턴을 형성한 후에 상기 개구부에 노출된 상기 상부 식각저지막 및 하부절연막을 연속적으로 식각하여 상기 하부절연막 내에 상기 하부도전층의 소정영역을 노출시키는 홀을 형성한다. 이어서 상기 패터닝된 하드마스크막 및 상기 스페이서를 제거하고 상기 홀 및 배선홈 내에 도전재료를 형성하고 평탄화하여 배선 및 비아 콘택플러그를 형성한다.
상술한 목적, 특징들 및 장점은 첨부된 도면과 관련한 다음의 상세한 설명을 통하여 보다 분명해 질 것이다. 이하, 첨부된 도면을 참조하여 본 발명에 따른 바람직한 일실시예를 상세히 설명한다.
도 3a 내지 도 3h는 본 발명의 일실시예에 따른 듀얼 다마신 배선의 형성방법을 공정순으로 도시한 단면도들이다. 도 4a 내지 도 4e는 본 발명의 일실시예에 따른 듀얼 다마신 배선의 형성방법을 공정순으로 도시한 평면도들이다.
도 3a를 참조하면, 하부도전층(300)을 갖는 반도체기판 상에 하부 식각저지막(305), 하부절연막(310), 상부 식각저지막(315), 상부절연막(320), 및 하드마스크막(325)을 순서대로 형성한다.
상기 하부도전층(300)은 다층 배선 구조의 하층배선에 해당할 수 있고, 또는 반도체기판에 형성될 수 있다.
상기 상부절연막 및 하부절연막(320, 310)은 배선홈 및 비아홀(이하, 콘택홀도 '비아홀'이라 한다)을 형성하기에 충분한 두께를 가지며, 유기폴리머로 형성할수 있으며, 그 밖에 불소가 도핑된 산화막(fluorine-doped oxide), 탄소가 도핑된 산화막(carbon-doped oxide), 및 실리콘 산화막으로 형성할 수도 있다. 저유전률을 갖는 유기폴리머로는 폴리알릴에테르계 수지, 환상 불소 수지, 실록산 공중합체, 불화 폴리알릴에테르계 수지, 폴리펜타플루오르스티렌(polypentafluorostylene), 폴리테트라플루오르스티렌계 수지, 불화 폴리이미드 수지, 불화 폴리나프탈렌(polynaphthalene fluoride), 폴리사이드(polycide) 수지 등이 있다. 형성방법은 PECVD(Plasma Enhanced CVD), HDP-CVD(High Density Plasma CVD), APCVD(Atmospheric Pressure CVD), 스핀코팅(spin coating) 방식 중에서 선택된 어느 하나를 사용할 수 있다.
상기 하드마스크막(325) 및 하부 식각저지막(305)은 실리콘 질화막으로 형성할 수 있다.
상기 상부 식각저지막(315)은 상기 하드마스크막(325) 및 하부 식각저지막(305)과 식각률(etch rate)이 다른 물질로 형성한다. 예컨대, 상기 하드마스크막(325) 및 하부 식각저지막(305)을 실리콘 질화막으로 형성할 경우에는 상기 상부 식각저지막(315)은 실리콘 산화막으로 형성할 수 있다.
도 3b를 참조하면, 상기 하드마스크막(325) 상에 배선홈 폭의 개구부를 갖는 감광막 패턴(330)을 형성한다. 이어서, 상기 감광막 패턴(330)을 식각마스크로 하여 상기 하드마스크막(325)을 패터닝하여 상기 상부절연막(320)의 표면을 노출시키는 배선홈 개구부(323)를 형성한다. 도면에서는 3개의 배선홈 개구부(323)가 도시되어 있다.
도 3c를 참조하면, 상기 패터닝된 하드마스크막(325)을 식각마스크로 하여 상기 상부절연막(320)을 상기 상부 식각저지막(315)의 표면이 노출될 때까지 식각하여 배선홈(335)을 형성하다. 주목할 점은 상기 상부절연막(320)이 저유전률을 갖는 유기폴리머인 경우에는 상기 감광막 패턴(330)과 같은 카본 계열이므로 식각률이 유사하다. 따라서 상기 상부절연막(320)의 식각시에 상기 감광막 패턴(330)이 제거된다.
평면적으로는 도 4a를 참조하면, 상기 하드마스크막(325)을 식각마스크로 하여 상기 상부 식각저지막(315)을 노출시키는 배선홈(335)을 형성한다.
도 3d를 참조하면, 상기 배선홈(335)을 포함하는 기판 전면에 스페이서 절연막을 형성하고 전면식각하여 상기 배선홈(335)의 측벽에 자기정렬된 스페이서(340)를 형성한다. 상기 스페이서 절연막은 상기 하드마스크막(325) 및 상기 하부 식각저지막(305)과 동일한 식각률을 갖는 물질로 형성하며, 상기 상부 식각저지막(315)과는 서로 다른 식각률을 갖는 물질로 형성한다. 예컨대, 상기 스페이서 절연막은 실리콘 질화막으로 형성할 수 있다. 따라서, 자기정렬 스페이서를 형성하기 위한 전면식각에서 식각률이 서로 다른 상기 상부 식각저지막(315)은 식각되지 않게 된다.
상기 배선홈(335)의 측벽에 형성된 상기 스페이서(340) 하부의 폭은 비아홀의 폭을 결정하므로 형성 두께를 조절하면 비아홀의 폭을 조절할 수 있다. 즉, 사진공정의 한계치수보다 더 적은 비아홀도 자기정렬된 스페이서에 의하여 그 크기를 한정할 수 있는 장점이 있게 된다. 또한, 사진공정에서 발생되는 오정렬의 문제나단차로 인한 감광막 테일의 문제도 발생하지 않는다.
평면적으로는 도 4b를 참조하면, 상기 배선홈(335)의 측벽에 자기정렬된 스페이서(340)를 형성한다.
도 3e를 참조하면, 기판 전면에 감광막을 도포하고 통상의 사진공정을 실시하여 상기 배선홈(335)을 가로지르는 개구부(347)를 갖는 감광막 패턴(345)을 형성한다. 상기 감광막 패턴(345)을 형성하는 사진 공정에서 패턴 불량이 발생하여 리워크(rework)을 실시하여야 할 경우에는 상기 절연막(310, 320)이 상기 감광막 패턴(345)과 식각률이 유사한 유기폴리머로 구성되어 있더라도 손상을 입지 않는다. 이는 상기 절연막(310, 320)은 상기 스페이서(340), 하드마스크막(325), 및 상부 식각저지막(315)에 의하여 둘러싸여져 있기 때문에 리워크(rework) 시에 사용하는 애싱 가스로부터 보호되기 때문이다. 상기 감광막 패턴(345)을 형성하기 위한 사진 공정에서는 상기 감광막 패턴(345) 내부에 단차가 없는 평탄화된 상기 하드마스크막(325) 상에서 형성되므로 종래기술과는 달리 감광막 테일이 발생하지 않는다.
평면적으로는 도 4c를 참조하면, 상기 스페이서(340)를 갖는 반도체기판 상에 상기 배선홈(335)을 가로지르는 개구부(347)를 갖는 감광막 패턴(345)을 형성한다.
상기 개구부(347)는 종래 기술에서는 비아홀 폭을 갖는 감광막 패턴(종래기술 도 2d 참조)으로 형성하여야 하는데, 본 발명의 실시예에서는 그 보다 넓은 폭의 감광막 패턴으로 형성할 수 있으므로 사진공정의 한계치수를 극복할 수 있다. 즉, 도면에서 상기 배선홈(335)을 가로지르는 방향(도면에서는 x방향임)은 이미 형성된 상기 스페이서(340)에 의하여 사진공정의 한계치수보다 더 적은 비아홀을 형성할 수 있다. 배선홈 방향(도면에서는 y방향임)은 상기 개구부(347)를 배선홈을 가로지르는 방향으로 넓게 형성할 수 있으므로 사진공정의 여유 마진을 확보할 수 있다. 상기 개구부(347)는 하나의 배선홈에 하나의 비아홀을 형성하기 위하여 개방될 수 있다(도면에서 가운데 개구부 참조). 뿐만아니라 인접하는 복수의 배선홈들 각각에 복수의 비아홀들을 형성할 경우에는 상기 배선홈들을 가로지르는 하나의 개구부(도면에서 상하부의 개구부 참조)를 형성할 수 있다. 다시 말하면, 종래기술은 하나의 비아홀 개구부에 하나의 비아홀을 형성한다면, 본 발명의 실시예에서는 하나의 개구부로 복수의 비아홀을 형성할 수 있다. 하나의 개구부로 복수의 비아홀을 형성할 경우의 장점은 상술한 사진공정의 마진이 더 넓어진다는 점이다. 도 3e는 평면도인 도 4c를 절단선 Ⅰ-Ⅰ'로 취한 단면도이며, 인접하는 3개의 배선홈에서 하나의 개구부(347)를 형성한 경우이다. 즉, 3개의 배선홈을 가로지르는 하나의 개구부에 의하여 3개의 비아홀을 형성할 수 있다.
도 3f를 참조하면, 상기 감광막 패턴(345), 상기 스페이서(340) 및 상기 하드마스크막(325)을 식각마스크로 하여 상기 개구부(347)에 의해 노출된 상기 상부 식각저지막(315)을 선택적으로 식각하여 상기 하부절연막(310)을 노출시킨다. 상술하였듯이, 상기 스페이서(340) 및 상기 하드마스크막(325)은 상기 상부 식각저지막(315)과 식각률이 서로 다른 물질이므로 식각마스크로 사용할 수 있다.
다음으로, 상기 패터닝된 하드마스크막(325), 상기 상부 식각저지막(315), 및 상기 스페이서(340)를 식각마스크로 사용하여 상기 노출된 하부절연막(310)을상기 하부 식각저지막(305)이 노출될 때까지 선택적으로 식각하여 상기 하부절연막(310) 내에 비아홀(350)을 형성하다. 주목할 점은 상기 하부절연막(310)이 유기폴리머로 구성된 경우에는 상기 감광막 패턴(345)은 상기 노출된 하부절연막(310)을 식각하는 동안 동시에 제거된다.
평면적으로는 도 4d를 참조하면, 상기 감광막 패턴(345)을 식각마스크로 하여 상기 상부 식각저지막(315)을 패터닝한 후에, 상기 패터닝된 식각저지막(315), 상기 하드마스크막(325) 및 상기 스페이서(340)를 식각마스크로 하여 상기 하부 식각저지막(305)을 노출시키는 홀(350)을 형성한다. 도 3f는 평면도인 도 4d를 절단선 Ⅱ-Ⅱ'로 취한 단면도이다.
도 3g를 참조하면, 상기 하드마스크막(325), 스페이서(340) 및 상기 비아홀(350) 하부의 상기 하부 식각저지막(305)을 동시에 제거하여 배선홈(335) 및 비아홀(350)로 이루어진 다마신 패턴을 형성한다. 상기 제거되는 막은 모두 식각선택비가 같은 물질로 예컨대, 실리콘 질화막으로 구성되어 있으므로 동시에 제거될 수 있다.
제거하는 방법은 건식식각 또는 습식식각을 사용할 수 있다. 건식식각을 사용할 경우에 있어서, 상기 스페이서(340)는 배선홈의 측벽에 일부 제거되지 않고 잔류할 수 있다. 도면에는 모두 제거된 것으로 도시되어 있다.
평면적으로는 도 4e를 참조하면, 상기 하드마스크막(325), 스페이서(340), 및 상기 노출된 하부 식각저지막(305)을 제거한다. 결국, 상기 상부절연막(320) 내에는 배선홈(335)이 형성되어 있고, 상기 배선홈(335)에는 상기 하부도전층(300)과연결되는 비아홀(350)이 형성되어 있다. 도 3g는 평면도인 도 4e를 절단선 Ⅲ-Ⅲ'로 취한 단면도이다.
도 3h를 참조하면, 도전재료를 상기 배선홈(335) 및 비아홀(350) 내에 충전하고 평탄화하여 배선(360)을 형성한다.
상기 도전재료는 알루미늄(Al), 알루미늄 합금(Al-alloy), 구리(Cu), 금(Au), 은(Ag), 텅스텐(W) 및 몰리브데늄(Mo)으로 이루어진 군에서 선택된 적어도 어느 하나로 구성된 도전성 물질이다. 형성방법은 도전재료를 스퍼터법으로 막을 형성하고 리플로우(reflow) 하는 방법, CVD(Chemical Vapor Deposition)법으로 형성하는 방법, 전기도금법을 이용하는 방법이 있다. 전기도금법을 이용하는 경우에는 전해시에 전류를 흘리기 위하여 시드층(seed layer)을 형성할 필요가 있다.
상기 도전재료를 형성하기 전에는 장벽금속층(355)을 형성할 수 있다. 특히 구리(Cu)를 다마신 공정에서 형성할 때에는 상기 장벽금속층은 구리 도전물질의 확산에 의하여 층간절연막의 절연특성 열화등을 방지하기 위하여 필수적으로 사용된다. 장벽금속층의 재료로는 Ta, TaN, TiN, WN, TaC, WC, TiSiN, TaSiN 중에서 선택된 적어도 하나를 사용할 수 있으며, 형성방법으로는 PVD(Physical Vapor Deposition)법, CVD(Chemical Vapor Deposition)법, ALD(Atomic Layer Deposition)법 중에서 선택된 어느 하나의 방법을 사용할 수 있다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
상기와 같이 이루어진 본 발명은, 종래 듀얼 다마신 공정과 대비하여 자기정렬된 스페이서를 이용하여 사진공정의 한계치수보다 적은 비아홀을 형성할 수 있을 뿐만 아니라 오정렬이 없는 비아홀을 형성할 수 있다.
또한, 하드마스크막을 하나만 사용하여 공정이 단순화 되면서도 유기폴리머로 이루어진 절연막이 애싱 손상을 입지 않으며, 단차에 의한 감광막 테일이 발생하지 않는 듀얼 다마신 배선 형성방법을 제공할 수 있다.

Claims (16)

  1. 하부도전층이 형성된 반도체기판 상에 하부절연막, 상부 식각저지막, 상부절연막, 및 하드마스크막을 차례로 형성하는 단계;
    상기 하드마스크막 및 상기 상부절연막을 패터닝하여 상기 하드마스크막 및 상기 상부절연막 내에 상기 상부 식각저지막의 소정영역을 노출시키는 배선홈(interconnection groove)을 형성하는 단계;
    상기 배선홈의 측벽에 스페이서를 형성하는 단계;
    상기 스페이서를 갖는 반도체기판 상에 상기 배선홈을 가로지르는 개구부를 갖는 감광막 패턴을 형성하는 단계;
    상기 개구부에 의해 노출된 상기 상부 식각저지막 및 상기 하부절연막을 연속적으로 식각하여 상기 하부절연막 내에 상기 하부도전층의 소정영역을 노출시키는 홀을 형성하는 단계;
    상기 패터닝된 하드마스크막 및 상기 스페이서를 제거하는 단계; 및
    상기 배선홈 및 상기 홀 내부를 채우는 배선을 형성하는 단계를 포함하는 듀얼 다마신 배선 형성방법.
  2. 제 1 항에 있어서,
    상기 하부절연막 및 상부절연막은 저유전률을 갖는 유기폴리머로 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  3. 제 1 항에 있어서,
    상기 하부절연막 및 상부절연막은 불소가 도핑된 산화막(fluorine-doped oxide), 탄소가 도핑된 산화막(carbon-doped oxide), 및 실리콘 산화막 중에서 선택된 적어도 하나로 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  4. 제 1 항에 있어서,
    상기 하드마스크막은 스페이서와는 서로 동일한 식각률을 가지며, 상기 상부 식각저지막과는 서로 다른 식각률을 갖는 물질로 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  5. 제 4 항에 있어서,
    상기 하드마스크막 및 스페이서는 실리콘 질화막으로 형성하고, 상기 상부 식각저지막은 실리콘 산화막으로 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  6. 제 1 항에 있어서,
    상기 하부도전층 상에 하부 식각저지막을 형성하는 단계를 더 포함하며, 상기 하부 식각저지막은 상기 패터닝된 하드마스크막 및 스페이서를 제거하는 단계에서 함께 제거되는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  7. 제 6 항에 있어서,
    상기 하부 식각저지막은 상기 하드마스크막과 서로 동일한 식각률을 갖는 물질로 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  8. 제 1 항에 있어서,
    상기 배선홈을 형성하는 단계는,
    상기 하드마스크막 상에 상기 하드마스크막의 소정영역을 노출시키는 감광막 패턴을 형성하는 단계;
    상기 감광막 패턴을 식각마스크로 사용하여 상기 노출된 하드마스크막을 식각하여 상기 상부절연막의 소정영역을 노출시키는 하드마스크막 패턴을 형성하는 단계; 및
    상기 하드마스크막 패턴을 식각마스크로 사용하여 상기 노출된 상부절연막을 식각하여 상기 상부 식각저지막의 소정영역을 노출시키는 단계를 포함하되, 상기 감광막 패턴은 상기 노출된 상부절연막을 식각하는 동안 제거되는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  9. 제 1 항에 있어서,
    상기 홀을 형성하는 단계는,
    상기 개구부에 의해 노출된 상기 상부 식각저지막을 선택적으로 식각하여 상기 하부절연막의 소정영역을 노출시키는 단계; 및
    상기 패터닝된 하드마스크막, 상기 스페이서 및 상기 상부 식각저지막을 식각 마스크로 사용하여 상기 노출된 하부절연막을 선택적으로 식각하여 상기 하부도전층의 소정영역을 노출시키는 단계를 포함하되, 상기 감광막 패턴은 상기 노출된 하부절연막을 식각하는 동안 제거되는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  10. 제 1 항에 있어서,
    상기 개구부는 배선홈 방향보다 배선홈을 가로지르는 방향이 더 넓게 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  11. 제 1 항에 있어서,
    상기 배선홈을 가로지르는 개구부는 복수의 배선홈을 가로지르는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  12. 제 1 항에 있어서,
    상기 도전재료는 알루미늄(Al), 알루미늄 합금(Al-alloy), 구리(Cu), 금(Au), 은(Ag), 텅스텐(W) 및 몰리브데늄(Mo)으로 이루어진 군에서 선택된 적어도 어느 하나로 구성된 물질로 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  13. 제 1 항에 있어서,
    상기 도전재료를 형성하기 전에 장벽금속층을 더 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  14. 제 13 항에 있어서,
    상기 장벽금속층은 Ta, TaN, TiN, WN, TaC, WC, TiSiN, TaSiN 중에서 선택된 적어도 하나로 형성하는 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  15. 제 1 항에 있어서,
    상기 하부도전층은 반도체기판 상에 형성된 하층배선이고 상기 홀은 비아홀인 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
  16. 제 1 항에 있어서,
    상기 하부도전층은 반도체기판 상에 형성되어 있고 상기 홀은 콘택홀인 것을 특징으로 하는 듀얼 다마신 배선 형성방법.
KR10-2002-0020887A 2002-04-17 2002-04-17 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법 KR100428791B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR10-2002-0020887A KR100428791B1 (ko) 2002-04-17 2002-04-17 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
US10/412,522 US6911397B2 (en) 2002-04-17 2003-04-11 Method of forming dual damascene interconnection using low-k dielectric
JP2003109503A JP4105023B2 (ja) 2002-04-17 2003-04-14 低誘電率絶縁膜を利用したデュアルダマシン配線の形成方法
DE10318299A DE10318299B4 (de) 2002-04-17 2003-04-15 Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung
CNB031454224A CN1317756C (zh) 2002-04-17 2003-04-17 使用低-k介电材料形成双大马士革互连的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0020887A KR100428791B1 (ko) 2002-04-17 2002-04-17 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법

Publications (2)

Publication Number Publication Date
KR20030082238A KR20030082238A (ko) 2003-10-22
KR100428791B1 true KR100428791B1 (ko) 2004-04-28

Family

ID=29208708

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0020887A KR100428791B1 (ko) 2002-04-17 2002-04-17 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법

Country Status (5)

Country Link
US (1) US6911397B2 (ko)
JP (1) JP4105023B2 (ko)
KR (1) KR100428791B1 (ko)
CN (1) CN1317756C (ko)
DE (1) DE10318299B4 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160039525A (ko) * 2014-10-01 2016-04-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 상호 접속 구조체를 형성하는 방법

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8337419B2 (en) 2002-04-19 2012-12-25 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
US6992391B2 (en) * 2001-09-28 2006-01-31 Intel Corporation Dual-damascene interconnects without an etch stop layer by alternating ILDs
TWI250558B (en) * 2003-10-23 2006-03-01 Hynix Semiconductor Inc Method for fabricating semiconductor device with fine patterns
US7157380B2 (en) * 2003-12-24 2007-01-02 Intel Corporation Damascene process for fabricating interconnect layers in an integrated circuit
JP2005191290A (ja) * 2003-12-25 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP4012163B2 (ja) * 2004-03-11 2007-11-21 株式会社東芝 半導体装置
CN1299349C (zh) * 2004-04-28 2007-02-07 联华电子股份有限公司 双镶嵌工艺中两阶段去除介层洞光刻胶的方法
KR100568257B1 (ko) * 2004-07-29 2006-04-07 삼성전자주식회사 듀얼 다마신 배선의 제조방법
JP2006245198A (ja) * 2005-03-02 2006-09-14 Nec Electronics Corp 半導体装置の製造方法
US7240322B2 (en) * 2005-04-04 2007-07-03 International Business Machines Corporation Method of adding fabrication monitors to integrated circuit chips
JP2006294771A (ja) * 2005-04-08 2006-10-26 Sony Corp 半導体装置の製造方法
KR100632653B1 (ko) * 2005-04-22 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
DE102005020132B4 (de) * 2005-04-29 2011-01-27 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
KR100744672B1 (ko) * 2005-06-24 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
SG128529A1 (en) * 2005-06-28 2007-01-30 United Microelectronics Corp Method for eliminating bridging defect in vial first dual damascene process
KR100675895B1 (ko) 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
US7238619B2 (en) 2005-07-06 2007-07-03 United Microelectronics Corp. Method for eliminating bridging defect in via first dual damascene process
CN100378951C (zh) * 2005-07-12 2008-04-02 联华电子股份有限公司 介层洞优先双镶嵌的制造方法
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7214612B2 (en) * 2005-08-31 2007-05-08 United Microelectronics Corp. Dual damascene structure and fabrication thereof
KR100691456B1 (ko) * 2005-09-07 2007-03-09 주식회사 대경기업 냉난방용 공기순환매트
CN100423228C (zh) * 2005-09-16 2008-10-01 联华电子股份有限公司 双重金属镶嵌结构及其制造方法
KR100691492B1 (ko) * 2005-09-29 2007-03-09 주식회사 하이닉스반도체 플래시 메모리 소자의 금속배선 형성방법
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7485581B2 (en) 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
CN101365821B (zh) * 2006-02-08 2011-10-05 Jsr株式会社 金属膜的形成方法
US7795152B2 (en) * 2006-05-10 2010-09-14 Micron Technology, Inc. Methods of making self-aligned nano-structures
KR100788587B1 (ko) * 2006-07-05 2007-12-26 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
KR100776141B1 (ko) * 2006-08-18 2007-11-15 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 형성 방법
US7902066B2 (en) * 2006-09-26 2011-03-08 Chartered Semiconductor Manufacturing, Ltd. Damascene contact structure for integrated circuits
KR100771891B1 (ko) * 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US7592262B2 (en) * 2007-03-21 2009-09-22 United Microelectronics Corp. Method for manufacturing MOS transistors utilizing a hybrid hard mask
JP5293930B2 (ja) * 2007-03-22 2013-09-18 Jsr株式会社 化学気相成長材料及び化学気相成長方法
CN101281871B (zh) * 2007-04-05 2011-11-09 联华电子股份有限公司 复合硬掩模层、金属氧化物半导体晶体管及其制作方法
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7759242B2 (en) * 2007-08-22 2010-07-20 Qimonda Ag Method of fabricating an integrated circuit
CN101630667A (zh) 2008-07-15 2010-01-20 中芯国际集成电路制造(上海)有限公司 形成具有铜互连的导电凸块的方法和系统
DE102008049727A1 (de) * 2008-09-30 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Kontaktelemente und Kontaktdurchführungen eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung hergestellt sind
WO2010061955A1 (ja) 2008-11-28 2010-06-03 Jsr株式会社 コバルトカルボニル錯体入り容器及びコバルトカルボニル錯体組成物
KR101460697B1 (ko) * 2008-11-28 2014-11-13 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
JP2010142862A (ja) * 2008-12-22 2010-07-01 Cyber Laser Kk 誘電体材料表面のナノ周期構造形成方法
US7855142B2 (en) * 2009-01-09 2010-12-21 Samsung Electronics Co., Ltd. Methods of forming dual-damascene metal interconnect structures using multi-layer hard masks
CN102386059B (zh) * 2010-09-03 2013-06-12 中芯国际集成电路制造(上海)有限公司 用于形成小间距图案的方法
DE102010063775B4 (de) 2010-12-21 2019-11-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
CN102412188A (zh) * 2011-05-13 2012-04-11 上海华力微电子有限公司 一种超厚顶层金属的金属硬掩模双大马士革工艺
CN102420174B (zh) * 2011-06-07 2013-09-11 上海华力微电子有限公司 一种双大马士革工艺中通孔填充的方法
CN102856248A (zh) * 2011-07-01 2013-01-02 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN102364670B (zh) * 2011-09-15 2013-06-12 上海华力微电子有限公司 金属铜大马士革互联结构的制造方法
JP5754334B2 (ja) 2011-10-04 2015-07-29 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
US20130313717A1 (en) * 2012-05-24 2013-11-28 International Business Machines Corporation Spacer for enhancing via pattern overlay tolerence
US9269747B2 (en) 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
US8647981B1 (en) * 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
US9111857B2 (en) 2012-09-21 2015-08-18 Micron Technology, Inc. Method, system and device for recessed contact in memory array
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN104112702B (zh) * 2013-04-18 2016-12-28 中芯国际集成电路制造(上海)有限公司 在半导体制造中降低超低k介电层损伤的方法
JP2015198135A (ja) 2014-03-31 2015-11-09 株式会社東芝 半導体装置の製造方法
US10546772B2 (en) * 2016-03-30 2020-01-28 Intel Corporation Self-aligned via below subtractively patterned interconnect
US10211151B2 (en) * 2016-06-30 2019-02-19 International Business Machines Corporation Enhanced self-alignment of vias for asemiconductor device
CN109656069A (zh) * 2017-10-11 2019-04-19 京东方科技集团股份有限公司 阵列基板的制作方法、阵列基板和显示装置
US10566231B2 (en) 2018-04-30 2020-02-18 Globalfoundries Inc. Interconnect formation with chamferless via, and related interconnect
CN111640655B (zh) * 2019-03-01 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
JP2000077416A (ja) * 1998-09-02 2000-03-14 Nec Corp 埋め込み配線の形成方法
KR20010004004A (ko) * 1999-06-28 2001-01-15 김영환 반도체 소자의 금속배선 형성방법
KR20010017903A (ko) * 1999-08-16 2001-03-05 윤종용 듀얼다마신 배선 형성방법
KR100299379B1 (ko) * 1998-06-30 2002-10-25 주식회사 하이닉스반도체 반도체소자의금속배선형성방법
KR20030002238A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 감광막 스페이서를 이용한 듀얼 다마신 패턴 형성방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10509285A (ja) * 1995-09-14 1998-09-08 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 縮小したフィーチャーサイズのためのダマスクプロセス
US5847460A (en) * 1995-12-19 1998-12-08 Stmicroelectronics, Inc. Submicron contacts and vias in an integrated circuit
US6300235B1 (en) * 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6063711A (en) * 1998-04-28 2000-05-16 Taiwan Semiconductor Manufacturing Company High selectivity etching stop layer for damascene process
US6303489B1 (en) * 1998-06-03 2001-10-16 Advanced Micro Devices, Inc. Spacer - defined dual damascene process method
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6319815B1 (en) 1998-10-21 2001-11-20 Tokyo Ohka Kogyo Co., Ltd. Electric wiring forming method with use of embedding material
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
US6365504B1 (en) * 1999-10-15 2002-04-02 Tsmc-Acer Semiconductor Manufacturing Corporation Self aligned dual damascene method
JP2002026122A (ja) * 2000-07-04 2002-01-25 Sony Corp 半導体装置の製造方法
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20030008490A1 (en) * 2001-07-09 2003-01-09 Guoqiang Xing Dual hardmask process for the formation of copper/low-k interconnects
US6696222B2 (en) * 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
US6613666B2 (en) * 2001-12-07 2003-09-02 Applied Materials Inc. Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
KR100299379B1 (ko) * 1998-06-30 2002-10-25 주식회사 하이닉스반도체 반도체소자의금속배선형성방법
JP2000077416A (ja) * 1998-09-02 2000-03-14 Nec Corp 埋め込み配線の形成方法
KR20010004004A (ko) * 1999-06-28 2001-01-15 김영환 반도체 소자의 금속배선 형성방법
KR20010017903A (ko) * 1999-08-16 2001-03-05 윤종용 듀얼다마신 배선 형성방법
KR20030002238A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 감광막 스페이서를 이용한 듀얼 다마신 패턴 형성방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160039525A (ko) * 2014-10-01 2016-04-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 상호 접속 구조체를 형성하는 방법
KR101711264B1 (ko) * 2014-10-01 2017-02-28 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스용 상호 접속 구조체를 형성하는 방법

Also Published As

Publication number Publication date
CN1459844A (zh) 2003-12-03
DE10318299A1 (de) 2003-11-13
KR20030082238A (ko) 2003-10-22
JP2003318258A (ja) 2003-11-07
US20030199169A1 (en) 2003-10-23
DE10318299B4 (de) 2006-12-21
JP4105023B2 (ja) 2008-06-18
CN1317756C (zh) 2007-05-23
US6911397B2 (en) 2005-06-28

Similar Documents

Publication Publication Date Title
KR100428791B1 (ko) 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
US7176126B2 (en) Method of fabricating dual damascene interconnection
USRE38753E1 (en) Interconnect structure and method for forming the same
US6268283B1 (en) Method for forming dual damascene structure
JP2002043419A (ja) 半導体装置の製造方法及び半導体装置
US6372635B1 (en) Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
US6521524B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
US6372631B1 (en) Method of making a via filled dual damascene structure without middle stop layer
US7022600B2 (en) Method of forming dual damascene interconnection using low-k dielectric material
US7618887B2 (en) Semiconductor device with a metal line and method of forming the same
KR20010030171A (ko) 집적 회로 및 이중 다마스커스 구조를 구비한 집적 회로를제조하는 방법
US6383919B1 (en) Method of making a dual damascene structure without middle stop layer
US6821896B1 (en) Method to eliminate via poison effect
US6376367B1 (en) Method for manufacturing multilayer interconnects by forming a trench with an underlying through-hole in a low dielectric constant insulator layer
US6429116B1 (en) Method of fabricating a slot dual damascene structure without middle stop layer
JP3988592B2 (ja) 半導体装置の製造方法
US6465340B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
KR100664807B1 (ko) 반도체 제조 공정에서의 듀얼 다마신 패턴 형성 방법
US6365505B1 (en) Method of making a slot via filled dual damascene structure with middle stop layer
KR100909174B1 (ko) 듀얼 다마신 패턴 형성 방법
US6444573B1 (en) Method of making a slot via filled dual damascene structure with a middle stop layer
US6391766B1 (en) Method of making a slot via filled dual damascene structure with middle stop layer
KR100587140B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR100562314B1 (ko) 반도체 소자 및 그 제조 방법
KR20020058429A (ko) 반도체소자의 배선 및 그 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
FPAY Annual fee payment

Payment date: 20190329

Year of fee payment: 16