DE10318299A1 - Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung - Google Patents

Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung

Info

Publication number
DE10318299A1
DE10318299A1 DE10318299A DE10318299A DE10318299A1 DE 10318299 A1 DE10318299 A1 DE 10318299A1 DE 10318299 A DE10318299 A DE 10318299A DE 10318299 A DE10318299 A DE 10318299A DE 10318299 A1 DE10318299 A1 DE 10318299A1
Authority
DE
Germany
Prior art keywords
layer
hard mask
opening
etch stop
interconnection
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10318299A
Other languages
English (en)
Other versions
DE10318299B4 (de
Inventor
Jin-Won Jun
Young-Wug Kim
Tae-Soo Park
Kyung-Tae Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE10318299A1 publication Critical patent/DE10318299A1/de
Application granted granted Critical
Publication of DE10318299B4 publication Critical patent/DE10318299B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Die Erfindung bezieht sich auf ein Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung, bei dem eine untere Isolationsschicht (310), eine obere Ätzstoppschicht (315), eine obere Isolationsschicht (320) und eine harte Maskenschicht (325) auf einem Halbleitersubstrat gebildet werden, auf dem eine untere leitfähige Schicht (300) ausgebildet ist. DOLLAR A Erfindungsgemäß werden die harte Maskenschicht (325) und die obere Isolationsschicht (320) strukturiert, um eine Zwischenverbindungsvertiefung in der oberen Isolationsschicht zu bilden, wobei die Zwischenverbindungsvertiefung einen Teil der oberen Ätzstoppschicht (315) freilegt, wonach ein Abstandshalter (340) an einer Seitenwand der Zwischenverbindungsvertiefung und anschließend eine Photoresiststruktur mit einer Öffnung gebildet werden, welche die Zwischenverbindungsvertiefung und den Teil der oberen Ätzstoppschicht freilegt. Dann werden die obere Ätzstoppschicht und die untere Isolationsschicht (310) geätzt, um eine Öffnung (350) in der unteren Isolationsschicht zu bilden, wobei die Öffnung einen Teil der unteren leitfähigen Schicht (300) freilegt, und die strukturierte harte Maskenschicht und der Abstandshalter werden entfernt und es wird eine Zwischenverbindung gebildet, indem die Vertiefung und die Öffnung gefüllt werden. DOLLAR A Verwendung z. B. in der Halbleiterbauelementfertigung.

Description

  • Die Erfindung bezieht sich auf ein Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung nach dem Oberbegriff des Anspruchs 1.
  • Mit höherer Integration von Transistoren tendieren Logikbauelemente in Richtung hoher Geschwindigkeit und hoher Integration. Mit hoher Integration der Transistoren werden Zwischenverbindungen in ihrer Abmessung zunehmend minimiert. Eine derartige Minimierung führt zu einer Verzögerung der Zwischenverbindung und einer Behinderung des Hochgeschwindigkeitsbetriebs der Bauelemente.
  • Statt Aluminiumlegierungen (Al-Legierungen) wurde in letzter Zeit Kupfer (Cu) aufgrund seines geringeren spezifischen elektrischen Widerstands und seinen höheren Elektromigrations(EM)-Widerstandseigenschaften zum Zwischenverbindungsmaterial der Wahl. Da es jedoch schwierig ist, Cu zu ätzen, und da Cu während eines Oxidationsprozesses leicht oxidiert, wird ein Damaszener-Prozess zur Bildung von Cu-Zwischenverbindungen verwendet. Entsprechend dem Damaszener-Prozess werden eine Zwischenverbindungsvertiefung, in der eine obere Zwischenverbindung zu bilden ist, und eine Durchkontaktöffnung, weiche die obere Zwischenverbindung mit einer unteren Zwischenverbindung oder einem Substrat verbindet, in einer Isolationsschicht erzeugt. Nach dem Füllen der Zwischenverbindungsvertiefung und der Durchkontaktöffnung mit Cu wird chemisch-mechanisches Polieren (CMP) durchgeführt, um die besagte Struktur zu planarisieren. Auf diese Weise stellt der Damaszener- Prozess eine Art Füllprozess dar.
  • Ein Dielektrikum mit niedrigem k macht es möglich, die resultierende parasitäre Kapazität zwischen Zwischenverbindungen zu verringern, die Betriebsgeschwindigkeit des Bauelements zu steigern und das Kreuzkopplungsphänomen zu unterdrücken. In Anbetracht dieser Vorteile wird das Dielektrikum mit niedrigem k auf verschiedene Weisen entwickelt. Im Allgemeinen wird das Dielektrikum mit niedrigem k in ein organisches Polymer einer Siliciumdioxid(SiO2)-Gruppe und ein organisches Polymer einer Kohlenstoff(C)-Gruppe klassifiziert.
  • Nunmehr wird ein herkömmlicher Damaszener-Prozess unter Verwendung einer einzelnen harten Maskenschicht unter Bezugnahme auf Fig. 1 beschrieben.
  • Bezugnehmend auf Fig. 1 werden eine untere Ätzstoppschicht 105, eine untere Isolationsschicht 110, eine obere Ätzstoppschicht 115, eine obere Isolationsschicht 120 und eine harte Maskenschicht 125 sequentiell auf eine untere leitfähige Schicht 100 gestapelt. Die harte Maskenschicht 125, die obere Isolationsschicht 120, die obere Ätzstoppschicht 115 und die untere Isolationsschicht 110 werden sukzessiv geätzt, um eine Durchkontaktöffnung 135 zu bilden, welche die untere Ätzstoppschicht 105 freilegt. In den Zeichnungen bezeichnet das Bezugszeichen D1 die Breite der Durchkontaktöffnung.
  • Als nächstes wird eine Photoresiststruktur 140 mit einer Öffnung mit der Breite einer Zwischenverbindungsvertiefung gebildet. In den Zeichnungen bezeichnet das Bezugszeichen D2 die Breite einer Zwischenverbindung. In nicht näher gezeigter Weise wird eine Zwischenverbindungsvertiefung unter Verwendung der Photoresiststruktur 140 gebildet, um eine Damaszener-Struktur zu erzeugen.
  • In dem Fall, in dem die untere und die obere isolierende Schicht 110 und 120 aus einem Dielektrikum mit niedrigem k gebildet werden, das ein organisches Polymer ist, tendieren sie dazu, durch Sauerstoffplasma geschädigt zu werden, das in einem Veraschungsprozess für die Photoresiststruktur 140 verwendet wird. Wenn ein Nachbehandlungsprozess verwendet wird, bei dem eine Photoresiststruktur entfernt wird, um den photolithographischen Prozess neu durchzuführen, da der anfängliche photolithographische Prozess nicht korrekt war, können außerdem die Isolationsschichten 110 und 120, die an den Seitenwänden der Durchkontaktöffnung bereits freigelegt sind, signifikant geschädigt werden. Demgemäß wird in einem bisherigen Doppeldamaszener-Prozess, der eine aus einem organischen Polymer gebildete Isolationsschicht verwendet, eine zweifache harte Maskenschicht verwendet, um eine Zwischenverbindungsvertiefungsstruktur zu bilden.
  • Die Fig. 2A bis 2J zeigen herkömmliche Schritte zur Bildung einer Doppeldamaszener-Struktur in einer aus einem organischen Polymer bestehenden Isolationsschicht, wobei eine zweifache harte Maskenschicht verwendet wird.
  • Bezugnehmend auf Fig. 2A werden eine untere Ätzstoppschicht 205, eine untere Isolationsschicht 210, eine obere Ätzstoppschicht 215, eine obere Isolationsschicht 220, eine untere harte Maskenschicht 225 und eine obere harte Maskenschicht 230 sequentiell auf eine untere leitfähige Schicht 200 gestapelt.
  • Bezugnehmend auf Fig. 2B wird eine Photoresiststruktur 235 mit einer Öffnung, die eine Breite D2 einer Zwischenverbindungsvertiefung aufweist, auf der oberen harten Maskenschicht 230 gebildet. Unter Verwendung der Photoresiststruktur 235 als Ätzmaske wird die obere harte Maskenschicht 230 strukturiert, um eine Zwischenverbindungsvertiefungsöffnung 233 zu bilden, welche eine Oberfläche der unteren harten Maskenschicht 225 freilegt.
  • Bezugnehmend auf Fig. 2C wird die Photoresiststruktur 235 durch einen Veraschungsprozess entfernt. Die Zwischenverbindungsvertiefungsöffnung 233 ist in der oberen harten Maskenschicht 230 angeordnet.
  • Bezugnehmend auf Fig. 2D wird eine Photoresiststruktur 240 mit einer Öffnung, die eine Breite einer Durchkontaktöffnung aufweist, auf der freigelegten unteren harten Maskenschicht 225 gebildet. In einem photolithographischen Prozess zur Bildung der Photoresiststruktur 240 kann eine Fehljustierung auftreten, und nach dem photolithographischen Prozess kann eine restliche Photoresistspur 241 auftreten. Der Photoresistrest 241 resultiert aus einem Fehlen eines Spielraums für die Tiefenschärfe (DOF), was durch einen Stufenunterschied der strukturierten oberen harten Maskenschicht 230 verursacht wird. Der Photoresistrest 241 führt zu einer nicht korrekten Struktur, welche die Bildung einer stabilen Damaszener-Struktur verhindern kann. Im schlechtesten Fall wird eventuell gar keine Struktur gebildet.
  • Bezugnehmend auf Fig. 2E wird die untere harte Maskenschicht 225 unter Verwendung der Photoresiststruktur 240 als Ätzmaske strukturiert, um eine Oberfläche der oberen isolierenden Schicht 220 freizulegen.
  • Bezugnehmend auf Fig. 2F wird die obere Isolationsschicht 220 unter Verwendung der unteren harten Maskenschicht 225 als Ätzmaske selektiv geätzt, um eine Öffnung 243 zu bilden, die eine Oberfläche der oberen Ätzstoppschicht 215 freilegt. Man beachte, dass sich die obere Isolationsschicht 220, die aus einem organischen Polymer besteht, in der gleichen Kohlenstoffgruppe wie die Photoresiststruktur 240 befindet. Da ihre Ätzraten ähnlich zueinander sind, wird auch die Photoresiststruktur 240 entfernt, während die obere Isolationsschicht 220 geätzt wird.
  • Bezugnehmend auf Fig. 2G werden die untere harte Maskenschicht 225 und die freigelegte obere Ätzstoppschicht 215 unter Verwendung der strukturierten oberen harten Maskenschicht 230 als Ätzmaske geätzt, um eine Oberseite der oberen Isolationsschicht 220 benachbart zu dem oberen Teil der Öffnung 243 und die untere Isolationsschicht 210 in einem unteren Teil der Öffnung 243 freizulegen.
  • Bezugnehmend auf Fig. 2H werden die freigelegte obere Isolationsschicht 220 und die freigelegte untere Isolationsschicht 210 strukturiert, um sowohl eine Zwischenverbindungsvertiefung 245 in der oberen Isolationsschicht als auch eine Durchkontaktöffnung 250 in der unteren Isolationsschicht zu erzeugen. Die Zwischenverbindungsvertiefung 245 ist breiter als die Durchkontaktöffnung 250, wie gezeigt.
  • Bezugnehmend auf Fig. 2I wird die untere Ätzstoppschicht 205 an einem unteren Teil der Durchkontaktöffnung 250 entfernt, um eine Oberfläche der unteren leitfähigen Schicht 200 freizulegen. Zu diesem Zeitpunkt können auch die obere harte Maskenschicht 230 und die freigelegte Ätzstoppschicht 215 an einem unteren Teil der Zwischenverbindungsvertiefung 245 entfernt werden.
  • Bezugnehmend auf Fig. 2J wird nach dem Füllen der Zwischenverbindungsvertiefung 245 und der Durchkontaktöffnung 250 mit einem leitfähigen Material ein CMP-Vorgang ausgeführt, um eine Zwischenverbindung 260 zu bilden. Vor dem Füllen der Zwischenverbindungsvertiefung 245 und der Durchkontaktöffnung 250 kann eine optionale Barrierenmetallschicht 255 erzeugt werden, wie gezeigt.
  • Der Damaszener-Prozess, der die vorstehende zweifache harte Maskenschicht verwendet, ist relativ komplex. Des Weiteren führt dieser Damaszener-Prozess, wie vorstehend erläutert, üblicherweise zu einer Fehljustierung oder der Bildung einer Photoresistspur.
  • Der Erfindung liegt als technisches Problem die Bereitstellung eines Verfahrens zur Bildung einer Doppeldamaszener-Zwischenverbindung der eingangs genannten Art zugrunde, mit dem sich die oben genannten Schwierigkeiten herkömmlicher Verfahren, wie Veraschungsschädigungen und störende Photoresistreste, wenigstens teilweise vermeiden lassen und das in einer relativ einfachen Prozesstechnik realisierbar ist.
  • Die Erfindung löst dieses Problem durch die Bereitstellung eines Verfahrens zur Bildung einer Doppeldamaszener-Zwischenverbindung mit den Merkmalen des Anspruchs 1. Charakteristischerweise kann bei diesem Verfahren eine einzelne harte Maskenschicht genügen. Dies vereinfacht den Herstellungsprozess. Trotzdem wird eine Isolationsschicht aus einem organischen Polymer vor einer Schädigung durch Veraschung zuverlässig geschützt.
  • Vorteilhafte Weiterbildungen der Erfindung sind in den Unteransprüchen angegeben.
  • Vorteilhafte, nachfolgend beschriebene Ausführungsformen der Erfindung und die zu deren besserem Verständnis oben erläuterten, herkömmlichen Ausführungsbeispiele sind in den Zeichnungen dargestellt, in denen zeigen:
  • Fig. 1 eine Querschnittansicht eines herkömmlichen Doppeldamaszener-Prozesses unter Verwendung einer einzelnen harten Maskenschicht,
  • Fig. 2A bis 2J Querschnittansichten eines herkömmlichen Doppeldamaszener-Prozesses unter Verwendung einer zweifachen harten Maskenschicht,
  • Fig. 3A bis 3H Querschnittansichten, welche die Schritte zur Bildung einer erfindungsgemäßen Doppeldamaszener-Zwischenverbindung darstellen, und
  • Fig. 4A bis 4E Draufsichten, welche die Schritte zur Bildung der erfindungsgemäßen Doppeldamaszener-Zwischenverbindung darstellen.
  • Die Fig. 3A bis 3H sind Querschnittansichten, welche die Schritte zur Bildung einer erfindungsgemäßen Doppeldamaszener-Zwischenverbindung zeigen. Die Fig. 4A bis 4E sind Draufsichten, welche ebenfalls bestimmte Schritte zur Bildung der erfindungsgemäßen Doppeldamaszener-Zwischenverbindung zeigen.
  • Bezugnehmend auf Fig. 3A werden eine untere Ätzstoppschicht 305, eine untere Isolationsschicht 310, eine obere Ätzstoppschicht 315, eine obere Isolationsschicht 320 und eine harte Maskenschicht 325 sequentiell auf ein Halbleitersubstrat gestapelt, das eine untere leitfähige Schicht beinhaltet. Hierbei kann die untere leitfähige Schicht zum Beispiel einer unteren Zwischenverbindung einer Mehrebenen-Zwischenverbindungsstruktur entsprechen oder kann an dem Halbleitersubstrat ausgebildet sein.
  • Die obere und die untere Isolationsschicht 320 und 310 weisen eine ausreichende Dicke auf, um später die Basis für eine Zwischenverbindungsvertiefung und eine Durchkontaktöffnung bereitzustellen (im Folgenden wird der Einfachkeit halber auch eine Kontaktöffnung als Durchkontaktöffnung bezeichnet). Die obere und die untere Isolationsschicht 320 und 310 können aus einem organischen Polymer oder optional anderen Verbindungen bestehen, wie mit Fluor dotiertes Oxid, mit Kohlenstoff dotiertes Oxid und Siliciumoxid. Das organische Polymer kann ein dielektrisches organisches Polymer mit niedrigem k beinhalten, wie ein Harz der Polyallylether-Gruppe, ein ringförmiges Fluorid-Harz, ein Siloxan-Copolymer, ein Fluorid-Harz der Polyallylether-Gruppe, Polypentafluorstyrol, ein Harz der Polytetrafluorstyrol-Gruppe, ein Polyimidfluorid-Harz, ein Polynaphthalenfluorid-Harz und ein Polycid-Harz. Ein Verfahren zur Erzeugung derselben kann eines sein, das aus der Gruppe ausgewählt ist, die aus plasmaunterstützter Gasphasenabscheidung (PECVD), chemischer Gasphasenabscheidung mit Plasma hoher Dichte (HDCVD), chemischer Gasphasenabscheidung bei Atmosphärendruck (APCVD) und Aufschleudern besteht.
  • Die harte Maskenschicht 325 und die untere Ätzstoppschicht 305 können zum Beispiel aus Siliciumnitrid gebildet sein.
  • Die obere Ätzstoppschicht 315 ist aus einem Material gebildet, dessen Ätzrate sich von jener der harten Maskenschicht 325 und der unteren Ätzstoppschicht 305 unterscheidet. Wenn zum Beispiel die harte Maskenschicht 325 und die untere Ätzstoppschicht 305 aus Siliciumnitrid gebildet sind, kann die obere Ätzstoppschicht 315 aus Siliciumoxid gebildet sein.
  • Bezugnehmend auf Fig. 3B wird eine Photoresiststruktur 330 mit einer Öffnung, die eine Breite einer Zwischenverbindungsvertiefung aufweist, auf der harten Maskenschicht 325 gebildet. Unter Verwendung der Photoresiststruktur 330 als Ätzmaske wird die harte Maskenschicht 325 strukturiert, um zur Freilegung einer Oberfläche der oberen Isolationsschicht 320 eine Zwischenverbindungsvertiefungsöffnung 323 zu bilden. In dieser Figur sind drei Zwischenverbindungsvertiefungsöffnungen 323 gezeigt.
  • Bezugnehmend auf Fig. 3C wird die obere Isolationsschicht 320 unter Verwendung der strukturierten harten Maskenschicht 325 als Ätzmaske bis auf eine Oberfläche der unteren Ätzstoppschicht 315 heruntergeätzt, um eine Zwischenverbindungsvertiefung 335 zu bilden. Man beachte, dass in dem Fall, in dem die obere Isolationsschicht 320 aus einem dielektrischen organischen Polymer mit niedrigem k gebildet ist, diese in der gleichen Kohlenstoffgruppe wie die Photoresiststruktur 330 ist. Demgemäß ist die Ätzrate der oberen Isolationsschicht 330 ähnlich jener der Photoresiststruktur 330. Somit kann die Photoresiststruktur 330 geätzt werden, während die obere Isolationsschicht 320 geätzt wird.
  • Bezugnehmend auf Fig. 4A wird unter Verwendung der harten Maskenschicht 325 als Ätzmaske eine Zwischenverbindungsvertiefung 335 gebildet, um die obere Ätzstoppschicht 315 freizulegen.
  • Bezugnehmend auf Fig. 3D wird eine isolierende Abstandshalterschicht auf einer gesamten Oberfläche der resultierenden Struktur einschließlich der Zwischenverbindungsvertiefung 335 gebildet. Es wird dann ein vollständiger Ätzvorgang an dieser Schicht durchgeführt, um einen selbstjustierten Abstandshalter 340 an Seitenwänden der Zwischenverbindungsvertiefung 335 zu bilden. Die isolierende Abstandshalterschicht ist zum Beispiel aus einem Material gebildet, dessen Ätzrate oder Ätzselektivität identisch zu jener der harten Maskenschicht 325 und der unteren Ätzstoppschicht 305 ist, die sich jedoch von jener der oberen Ätzstoppschicht unterscheidet. Die isolierende Abstandshalterschicht kann zum Beispiel aus Siliciumnitrid gebildet sein. Somit wird die obere Ätzstoppschicht 315 während des vollständigen Ätzschrittes nicht geätzt, der zur Bildung des selbstjustierten Abstandshalters 340 verwendet wird.
  • Da die Breite einer Durchkontaktöffnung durch die untere Breite des an der Seitenwand der Zwischenverbindungsvertiefung 335 ausgebildeten Abstandshalters 340 festgelegt ist, kann sie durch Einstellen der gebildeten Dicke des Abstandshalters 340 eingestellt werden. Das heißt, der selbstjustierte Abstandshalter 340 dient dazu, die Abmessung der Durchkontaktöffnung auf eine Abmessung zu begrenzen, die geringer als die verfügbare Auflösung des photolithographischen Prozesses ist. Des Weiteren leidet der Prozess der Erfindung nicht an den Beschränkungen der herkömmlichen Vorgehensweise, wie dem Auftreten einer Fehljustierung während des photolithographischen Prozesses oder der Bildung eines Photoresistrestes, der durch einen Stufenunterschied verursacht wird, wie vorstehend erläutert.
  • Bezugnehmend auf Fig. 4B wird ein selbstjustierter Abstandshalter 340 an einer Seitenwand der Zwischenverbindungsvertiefung 335 gebildet.
  • Bezugnehmend auf Fig. 3E wird nach der Beschichtung einer gesamten Oberfläche eines Substrats mit einem Photoresist ein herkömmlicher photolithographischer Prozess ausgeführt, um eine Photoresiststruktur 345 mit einer Öffnung 347 zu bilden, welche die Zwischenverbindungsvertiefung 335 freilegt. In dem Fall, in dem aufgrund einer schlechten Struktur (oder schlechter Strukturen), die während des zur Bildung der Photoresiststruktur 345 verwendeten photolithographischen Prozesses gebildet wird, eine Nachbehandlung notwendig ist, werden die Isolationsschichten 310 und 320 nicht geschädigt, wenngleich sie aus organischem Polymer bestehen, dessen Ätzrate ähnlich jener der Photoresiststruktur 345 ist. Dies liegt daran, dass die Isolationsschichten 310 und 320 von dem Abstandshalter 340, der harten Maskenschicht 325 und der oberen Ätzstoppschicht 315 bedeckt und somit vor einem bei der Nachbehandlung verwendeten Veraschungsgas geschützt sind. Da die Photoresiststruktur 345 auf der planarisierten harten Maskenschicht 325 ohne Stufenunterschied in dem photolithographischen Prozess gebildet wird, wird der herkömmliche Photoresistrest nicht erzeugt.
  • Bezugnehmend auf Fig. 4C wird auf einem Halbleitersubstrat einschließlich des Abstandshalters 340 eine Photoresiststruktur 345 mit einer Öffnung 347 gebildet, welche die Zwischenverbindungsvertiefung 335 freilegt.
  • Im Stand der Technik wird die Öffnung 347 durch eine Photoresiststruktur mit der Breite der eventuellen Durchkontaktöffnung (siehe Fig. 2D) erzeugt. Im Gegensatz dazu wird in der Erfindung die Öffnung 347 gemäß einer Photoresiststruktur gebildet, die breiter als die Durchkontaktöffnung ist, um die begrenzte Abmessung des photolithographischen Prozesses zu überwinden. Das heißt, in der Richtung über die Zwischenverbindungsvertiefung 335 hinweg (x-Richtung) kann durch den vorgeformten Abstandshalter 340 eine Durchkontaktöffnung erzeugt werden, die kleiner als die Auflösungsgrenze des photolithographischen Prozesses ist. In der Richtung der Zwischenverbindungsvertiefung (y- Richtung) kann der Spielraum des photolithographischen Prozesses gesichert werden, da die Öffnung 347 in x-Richtung breit erzeugt werden kann. Um eine Durchkontaktöffnung in einer Zwischenverbindungsvertiefung zu bilden, kann die Öffnung 347 geöffnet werden (siehe mittlere (Öffnung von Fig. 4C). In dem Fall, dass eine Mehrzahl von Durchkontaktöffnungen an je einer von einer Mehrzahl benachbarter Zwischenverbindungsvertiefungen gebildet werden, kann eine Öffnung erzeugt werden (siehe die obere und die untere Öffnung 347 von Fig. 4C), welche die Mehrzahl entsprechender Zwischenverbindungsvertiefungen kreuzt. Das heißt, während bei der herkömmlichen Vorgehensweise eine Durchkontaktöffnung an einer Photoresiststrukturöffnung mit der Breite der Durchkontaktöffnung gebildet wird, kann bei der Erfindung eine Mehrzahl von Durchkontaktöffnungen durch eine einzige Photoresiststrukturöffnung gebildet werden, die breiter als die resultierende Durchkontaktöffnungsbreite ist. Durch Bilden einer Mehrzahl von Durchkontaktöffnungen an einer Öffnung in der Photoresiststruktur wird der Spielraum des photolithographischen Prozesses breiter. Wieder bezugnehmend auf Fig. 3E ist diese Figur eine Querschnittansicht entlang einer Linie I-I' von Fig. 4C, die den Fall zeigt, in dem eine Öffnung 347 in der Photoresiststruktur über drei benachbarte Zwischenverbindungsvertiefungen hinweg gebildet wird. Es können nämlich drei Durchkontaktöffnungen durch eine Öffnung in der Photoresiststruktur erzeugt werden, die drei Zwischenverbindungsvertiefungen kreuzt.
  • Bezugnehmend auf Fig. 3F wird unter Verwendung der Photoresiststruktur 345, des Abstandshalters 340 und der harten Maskenschicht 325 als Ätzmaske die durch die Öffnung 347 freigelegte obere Ätzstoppschicht 315 selektiv geätzt, um die untere Isolationsschicht 310 freizulegen. Da sich die Ätzrate oder die Ätzselektivität des Abstandshalters 340 und der harten Maskenschicht 325 von jener der oberen Ätzstoppschicht 315 unterscheidet, wie zuvor beschrieben, können diese als Ätzmaske verwendet werden.
  • Unter Verwendung der strukturierten harten Maskenschicht 325, der oberen Ätzstoppschicht 315 und des Abstandshalters 340 als Ätzmaske wird die freigelegte untere Isolationsschicht 310 bis auf eine Oberseite der unteren Ätzstoppschicht 305 selektiv geätzt, um eine Durchkontaktöffnung 350 in der unteren Isolationsschicht 310 zu erzeugen. Man beachte, dass in dem Fall, in dem die untere Isolationsschicht 310 aus organischem Polymer besteht, die Photoresiststruktur 345 entfernt wird, während die freigelegte untere Isolationsschicht 310 geätzt wird.
  • Bezugnehmend auf Fig. 4D wird nach der Strukturierung der oberen Ätzstoppschicht 315 unter Verwendung der Photoresiststruktur 345 als Ätzmaske eine Öffnung 350, welche die untere Ätzstoppschicht 305 freilegt, unter Verwendung der strukturierten Ätzstoppschicht 315, der harten Maskenschicht 325 und des Abstandshalters 340 als Ätzmaske erzeugt. Fig. 3F ist eine Querschnittansicht entlang einer Linie II-II' von Fig. 4D.
  • Bezugnehmend auf Fig. 3G werden zum Beispiel die harte Maskenschicht 325, der Abstandshalter 340 und die untere Ätzstoppschicht 305 unter der Durchkontaktöffnung 350 gleichzeitig entfernt, um eine Damaszener-Struktur mit einer Zwischenverbindungsvertiefung 335 und einer Durchkontaktöffnung 350 zu bilden. Da die entfernten Schichten alle aus einem Material mit der gleichen Ätzrate gebildet sind, z. B. Siliciumnitrid, können sie gleichzeitig entfernt werden.
  • Für den Entfernungsprozess kann eine Trockenätztechnik oder eine Nassätztechnik verwendet werden. In dem Fall, in dem eine Trockenätztechnik verwendet wird, wird ein Teil des Abstandshalters 340 nicht entfernt und verbleibt daher an der Seitenwand der Zwischenverbindungsvertiefung. In der Darstellung von Fig. 3G sind sie alle entfernt.
  • Bezugnehmend auf Fig. 4E werden die harte Maskenschicht 325, der Abstandshalter 340 und die freigelegte untere Ätzstoppschicht 305 entfernt. Als Folge wird die Zwischenverbindungsvertiefung 335 in der oberen Isolationsschicht 320 gebildet, und die Durchkontaktöffnung 350, die mit der unteren leitfähigen Schicht 300 verbunden ist, wird an der Zwischenverbindungsvertiefung 335 gebildet. Fig. 3G ist eine Querschnittansicht entlang einer Linie III-III' von Fig. 4E.
  • Bezugnehmend auf Fig. 3H wird nach dem Füllen der Zwischenverbindungsvertiefung 335 und der Durchkontaktöffnung 350 mit einem leitfähigen Material ein Planarisierungsprozess ausgeführt, um eine Zwischenverbindung 360 zu bilden.
  • Das leitfähige Material ist zum Beispiel wenigstens ein Material, das aus der Gruppe ausgewählt ist, die aus Aluminium (Al), Aluminiumlegierungen (Al-Legierungen), Kupfer (Cu), Gold (Au), Silber (Ag), Wolfram (W) und Molybdän (Mo) besteht. Des Weiteren kann das leitfähige Material unter Verwendung eines Prozesses gebildet werden, der aus der Gruppe ausgewählt ist, die aus einer Aufschmelztechnik für eine durch Sputtern des leitfähigen Materials gebildete Schicht, eine chemische Gasphasenabscheidungstechnik (CVD-Technik), eine Elektroplattierungstechnik und so weiter besteht. In dem Fall, in dem die Elektroplattierungstechnik verwendet wird, ist eine Kristallkeimschicht erforderlich, so dass Strom während der Elektrolysierung fließen kann.
  • Vor der Bildung des leitfähigen Materials kann eine Barrierenmetallschicht 355 gebildet werden. Insbesondere in einem Fall, in dem Kupfer (Cu) in dem Damaszener-Prozess verwendet wird, wird die Barrierenmetallschicht dazu verwendet, zu verhindern, dass die isolierende Eigenschaft eines Zwischenschichtdielektrikums durch Diffusion des leitfähigen Materials, d. h. Cu, verschlechtert wird. Die Barrierenmetallschicht kann aus einem Material gebildet werden, das aus der Gruppe ausgewählt ist, die aus Ta, TaN, WN, TaC, TiSiN und TaSiN besteht. Des Weiteren kann die Barrierenmetallschicht unter Verwendung eines Prozesses gebildet werden, der aus der Gruppe ausgewählt ist, die aus einer physikalischen Gasphasenabscheidungstechnik (PVD-Technik), einer chemischen Gasphasenabscheidungstechnik (CVD-Technik) und einer atomaren Schichtdepositionstechnik (ALD-Technik) besteht.

Claims (16)

1. Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung, bei dem
eine untere Isolationsschicht (310), eine obere Ätzstoppschicht (315), eine obere Isolationsschicht (320) und eine harte Maskenschicht (325) auf einem Halbleitersubstrat gebildet werden, auf dem eine untere leitfähige Schicht (300) ausgebildet ist, gekennzeichnet durch folgende Schritte:
- Strukturieren der harten Maskenschicht (325) und der oberen Isolationsschicht (320), um eine Zwischenverbindungsvertiefung (335) in der oberen Isolationsschicht zu bilden, wobei die Zwischenverbindungsvertiefung einen Teil der oberen Ätzstoppschicht (315) freilegt,
- Bilden eines Abstandshalters (340) an einer Seitenwand der Zwischenverbindungsvertiefung,
- Bilden einer Photoresiststruktur (345) mit einer Öffnung (347), welche die Zwischenverbindungsvertiefung und den Teil der oberen Ätzstoppschicht freilegt,
- sukzessives Ätzen der oberen Ätzstoppschicht und der unteren Isolationsschicht (310), um eine Öffnung (350) in der unteren Isolationsschicht zu bilden, wobei die Öffnung einen Teil der unteren leitfähigen Schicht (300) freilegt,
- Entfernen der strukturierten harten Maskenschicht und des Abstandshalters und
- Bilden einer Zwischenverbindung (360), indem die Zwischenverbindungsvertiefung und die Öffnung gefüllt werden.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die obere und die untere Isolationsschicht ein dielektrisches organisches Polymer mit niedrigem k beinhalten.
3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die untere und die obere Isolationsschicht ein Material beinhalten, das aus der Gruppe ausgewählt ist, die aus mit Fluor dotiertem Oxid, mit Kohlenstoff dotiertem Oxid und Siliciumoxid besteht.
4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass die harte Maskenschicht ein Material mit einer Ätzrate beinhaltet, die identisch zu jener des Abstandshalters ist, die sich jedoch von jener der oberen Ätzstoppschicht unterscheidet.
5. Verfahren nach Anspruch 4, dadurch gekennzeichnet, dass die harte Maskenschicht und der Abstandshalter Siliciumnitrid beinhalten und die obere Ätzstoppschicht Siliciumoxid beinhaltet.
6. Verfahren nach einem der Ansprüche 1 bis 5, weiter gekennzeichnet durch das Bilden einer unteren Ätzstoppschicht (305) auf der unteren leitfähigen Schicht, wobei die untere Ätzstoppschicht während der Entfernung der strukturierten harten Maskenschicht und des Abstandshalters entfernt wird.
7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass die untere Ätzstoppschicht ein Material mit einer Ätzrate beinhaltet, die identisch zu jener der harten Maskenschicht ist.
8. Verfahren nach einem der Ansprüche 1 bis 7, dädurch gekennzeichnet, dass die Bildung der Zwischenverbindungsvertiefung folgende Schritte umfasst:
- Bilden einer Photoresiststruktur (330) auf der harten Maskenschicht, wobei die Photoresiststruktur einen Teil der harten Maskenschicht freilegt,
- Verwenden der Photoresiststruktur als Ätzmaske, Ätzen der freigelegten harten Maskenschicht, um eine harte Maskenschichtstruktur zu bilden, die einen Teil der oberen Isolationsschicht freilegt, und
- Verwenden der harten Maskenschichtstruktur als Ätzmaske und Ätzen der freigelegten oberen Isolationsschicht, um einen Teil der oberen Ätzstoppschicht freizulegen,
- wobei die Photoresiststruktur entfernt wird, während die freigelegte obere Isolationsschicht geätzt wird.
9. Verfahren nach einem der Ansprüche 1 bis 8, dadurch gekennzeichnet, dass das Bilden der Öffnung (350) in der unteren Isolationsschicht folgende Schritte umfasst:
- selektives Ätzen der oberen Ätzstoppschicht, die durch die zugehörige Öffnung freigelegt ist, um einen Teil der unteren Isolationsschicht freizulegen, und
- Verwenden der strukturierten harten Maskenschicht, des Abstandshalters und der oberen Ätzstoppschicht als Ätzmaske und selektives Ätzen der freigelegten unteren Isolationsschicht, um den Teil der unteren leitfähigen Schicht freizulegen,
- wobei die Photoresiststruktur entfernt wird, während die freigelegte untere Isolationsschicht geätzt wird.
10. Verfahren nach einem der Ansprüche 1 bis 9, dadurch gekennzeichnet, dass die Öffnung (347), welche die Zwischenverbindungsvertiefung freilegt, mit einer ersten Breite in der Richtung der Zwischenverbindungsvertiefung gebildet wird, die größer als eine zweite Breite in einer Richtung ist, welche die Zwischenverbindungsvertiefung kreuzt.
11. Verfahren nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, dass die betreffende Öffnung (347) eine Mehrzahl von Zwischenverbindungsvertiefungen freilegt.
12. Verfahren nach einem der Ansprüche 1 bis 11, dadurch gekennzeichnet, dass die Zwischenverbindung aus einem leitfähigen Material gebildet wird, das aus der Gruppe ausgewählt wird, die aus Aluminium (Al), Aluminium-Legierungen (Al-Legierungen), Kupfer (Cu), Gold (Au), Silber (Ag), Wolfram (W) und Molybdän (Mo) besteht.
13. Verfahren nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, dass eine Barrierenmetallschicht (355) vor der Bildung der Zwischenverbindung gebildet wird.
14. Verfahren nach Anspruch 13, dadurch gekennzeichnet, dass die Barrierenmetallschicht aus der Gruppe ausgewählt ist, die aus Ta, TaN, TiN, WN, TaC, WC, TiSiN und TaSiN besteht.
15. Verfahren nach einem der Ansprüche 1 bis 14, dadurch gekennzeichnet, dass die untere leitfähige Schicht eine untere Zwischenverbindung ist, die auf dem Halbleitersubstrat ausgebildet ist, und die Öffnung (350) in der unteren Isolationsschicht eine Durchkontaktöffnung ist.
16. Verfahren nach einem der Ansprüche 1 bis 14, dädurch gekennzeichnet, dass die untere leitfähige Schicht auf einem Halbleitersubstrat ausgebildet ist und die Öffnung (350) in der unteren Isolationsschicht eine Kontaktöffnung ist.
DE10318299A 2002-04-17 2003-04-15 Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung Expired - Lifetime DE10318299B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2002-0020887A KR100428791B1 (ko) 2002-04-17 2002-04-17 저유전율 절연막을 이용한 듀얼 다마신 배선 형성방법
KR2002-20887 2002-04-17

Publications (2)

Publication Number Publication Date
DE10318299A1 true DE10318299A1 (de) 2003-11-13
DE10318299B4 DE10318299B4 (de) 2006-12-21

Family

ID=29208708

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10318299A Expired - Lifetime DE10318299B4 (de) 2002-04-17 2003-04-15 Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung

Country Status (5)

Country Link
US (1) US6911397B2 (de)
JP (1) JP4105023B2 (de)
KR (1) KR100428791B1 (de)
CN (1) CN1317756C (de)
DE (1) DE10318299B4 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005020132A1 (de) * 2005-04-29 2006-11-09 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102010063775A1 (de) * 2010-12-21 2012-06-21 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
DE102014117338A1 (de) * 2014-10-01 2016-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8337419B2 (en) 2002-04-19 2012-12-25 Sanofi-Aventis Deutschland Gmbh Tissue penetration device
US6992391B2 (en) * 2001-09-28 2006-01-31 Intel Corporation Dual-damascene interconnects without an etch stop layer by alternating ILDs
TWI250558B (en) * 2003-10-23 2006-03-01 Hynix Semiconductor Inc Method for fabricating semiconductor device with fine patterns
US7157380B2 (en) * 2003-12-24 2007-01-02 Intel Corporation Damascene process for fabricating interconnect layers in an integrated circuit
JP2005191290A (ja) * 2003-12-25 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP4012163B2 (ja) * 2004-03-11 2007-11-21 株式会社東芝 半導体装置
CN1299349C (zh) * 2004-04-28 2007-02-07 联华电子股份有限公司 双镶嵌工艺中两阶段去除介层洞光刻胶的方法
KR100568257B1 (ko) * 2004-07-29 2006-04-07 삼성전자주식회사 듀얼 다마신 배선의 제조방법
JP2006245198A (ja) * 2005-03-02 2006-09-14 Nec Electronics Corp 半導体装置の製造方法
US7240322B2 (en) * 2005-04-04 2007-07-03 International Business Machines Corporation Method of adding fabrication monitors to integrated circuit chips
JP2006294771A (ja) * 2005-04-08 2006-10-26 Sony Corp 半導体装置の製造方法
KR100632653B1 (ko) * 2005-04-22 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성방법
KR100744672B1 (ko) * 2005-06-24 2007-08-01 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
SG128529A1 (en) * 2005-06-28 2007-01-30 United Microelectronics Corp Method for eliminating bridging defect in vial first dual damascene process
KR100675895B1 (ko) 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
US7238619B2 (en) 2005-07-06 2007-07-03 United Microelectronics Corp. Method for eliminating bridging defect in via first dual damascene process
CN100378951C (zh) * 2005-07-12 2008-04-02 联华电子股份有限公司 介层洞优先双镶嵌的制造方法
US7214612B2 (en) * 2005-08-31 2007-05-08 United Microelectronics Corp. Dual damascene structure and fabrication thereof
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
KR100691456B1 (ko) * 2005-09-07 2007-03-09 주식회사 대경기업 냉난방용 공기순환매트
CN100423228C (zh) * 2005-09-16 2008-10-01 联华电子股份有限公司 双重金属镶嵌结构及其制造方法
KR100691492B1 (ko) * 2005-09-29 2007-03-09 주식회사 하이닉스반도체 플래시 메모리 소자의 금속배선 형성방법
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
EP1995347A4 (de) * 2006-02-08 2010-04-28 Jsr Corp Verfahren zur bildung eines metallfilms
US7795152B2 (en) * 2006-05-10 2010-09-14 Micron Technology, Inc. Methods of making self-aligned nano-structures
KR100788587B1 (ko) * 2006-07-05 2007-12-26 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
KR100776141B1 (ko) * 2006-08-18 2007-11-15 동부일렉트로닉스 주식회사 반도체 장치의 금속 배선 형성 방법
US7902066B2 (en) * 2006-09-26 2011-03-08 Chartered Semiconductor Manufacturing, Ltd. Damascene contact structure for integrated circuits
KR100771891B1 (ko) * 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US7592262B2 (en) * 2007-03-21 2009-09-22 United Microelectronics Corp. Method for manufacturing MOS transistors utilizing a hybrid hard mask
JP5293930B2 (ja) * 2007-03-22 2013-09-18 Jsr株式会社 化学気相成長材料及び化学気相成長方法
CN101281871B (zh) * 2007-04-05 2011-11-09 联华电子股份有限公司 复合硬掩模层、金属氧化物半导体晶体管及其制作方法
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7759242B2 (en) * 2007-08-22 2010-07-20 Qimonda Ag Method of fabricating an integrated circuit
CN101630667A (zh) 2008-07-15 2010-01-20 中芯国际集成电路制造(上海)有限公司 形成具有铜互连的导电凸块的方法和系统
DE102008049727A1 (de) * 2008-09-30 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Kontaktelemente und Kontaktdurchführungen eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung hergestellt sind
WO2010061955A1 (ja) 2008-11-28 2010-06-03 Jsr株式会社 コバルトカルボニル錯体入り容器及びコバルトカルボニル錯体組成物
KR101460697B1 (ko) * 2008-11-28 2014-11-13 삼성전자 주식회사 반도체 집적 회로 장치의 제조 방법
JP2010142862A (ja) * 2008-12-22 2010-07-01 Cyber Laser Kk 誘電体材料表面のナノ周期構造形成方法
US7855142B2 (en) * 2009-01-09 2010-12-21 Samsung Electronics Co., Ltd. Methods of forming dual-damascene metal interconnect structures using multi-layer hard masks
CN102386059B (zh) * 2010-09-03 2013-06-12 中芯国际集成电路制造(上海)有限公司 用于形成小间距图案的方法
CN102412188A (zh) * 2011-05-13 2012-04-11 上海华力微电子有限公司 一种超厚顶层金属的金属硬掩模双大马士革工艺
CN102420174B (zh) * 2011-06-07 2013-09-11 上海华力微电子有限公司 一种双大马士革工艺中通孔填充的方法
CN102856248A (zh) * 2011-07-01 2013-01-02 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN102364670B (zh) * 2011-09-15 2013-06-12 上海华力微电子有限公司 金属铜大马士革互联结构的制造方法
JP5754334B2 (ja) 2011-10-04 2015-07-29 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
US20130313717A1 (en) * 2012-05-24 2013-11-28 International Business Machines Corporation Spacer for enhancing via pattern overlay tolerence
US9269747B2 (en) 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
US8647981B1 (en) * 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
US9111857B2 (en) 2012-09-21 2015-08-18 Micron Technology, Inc. Method, system and device for recessed contact in memory array
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN104112702B (zh) * 2013-04-18 2016-12-28 中芯国际集成电路制造(上海)有限公司 在半导体制造中降低超低k介电层损伤的方法
JP2015198135A (ja) 2014-03-31 2015-11-09 株式会社東芝 半導体装置の製造方法
US10546772B2 (en) * 2016-03-30 2020-01-28 Intel Corporation Self-aligned via below subtractively patterned interconnect
US10211151B2 (en) * 2016-06-30 2019-02-19 International Business Machines Corporation Enhanced self-alignment of vias for asemiconductor device
CN109656069A (zh) * 2017-10-11 2019-04-19 京东方科技集团股份有限公司 阵列基板的制作方法、阵列基板和显示装置
US10566231B2 (en) 2018-04-30 2020-02-18 Globalfoundries Inc. Interconnect formation with chamferless via, and related interconnect
CN111640655B (zh) * 2019-03-01 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614765A (en) * 1995-06-07 1997-03-25 Advanced Micro Devices, Inc. Self aligned via dual damascene
EP0792513A1 (de) * 1995-09-14 1997-09-03 Advanced Micro Devices, Inc. Damaszenes verfahren für verminderte merkmalgrösse
US5847460A (en) * 1995-12-19 1998-12-08 Stmicroelectronics, Inc. Submicron contacts and vias in an integrated circuit
US6300235B1 (en) 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6063711A (en) 1998-04-28 2000-05-16 Taiwan Semiconductor Manufacturing Company High selectivity etching stop layer for damascene process
US6303489B1 (en) * 1998-06-03 2001-10-16 Advanced Micro Devices, Inc. Spacer - defined dual damascene process method
KR100299379B1 (ko) * 1998-06-30 2002-10-25 주식회사 하이닉스반도체 반도체소자의금속배선형성방법
JP2000077416A (ja) * 1998-09-02 2000-03-14 Nec Corp 埋め込み配線の形成方法
JP3657788B2 (ja) 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
US6319815B1 (en) 1998-10-21 2001-11-20 Tokyo Ohka Kogyo Co., Ltd. Electric wiring forming method with use of embedding material
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
KR20010004004A (ko) * 1999-06-28 2001-01-15 김영환 반도체 소자의 금속배선 형성방법
KR100585069B1 (ko) * 1999-08-16 2006-05-30 삼성전자주식회사 듀얼다마신 배선 형성방법
US6365504B1 (en) * 1999-10-15 2002-04-02 Tsmc-Acer Semiconductor Manufacturing Corporation Self aligned dual damascene method
JP2002026122A (ja) 2000-07-04 2002-01-25 Sony Corp 半導体装置の製造方法
US6603204B2 (en) 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
KR100759256B1 (ko) * 2001-06-30 2007-09-17 매그나칩 반도체 유한회사 감광막 스페이서를 이용한 듀얼 다마신 패턴 형성방법
US20030008490A1 (en) 2001-07-09 2003-01-09 Guoqiang Xing Dual hardmask process for the formation of copper/low-k interconnects
US6696222B2 (en) * 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
US6613666B2 (en) 2001-12-07 2003-09-02 Applied Materials Inc. Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005020132A1 (de) * 2005-04-29 2006-11-09 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102005020132B4 (de) * 2005-04-29 2011-01-27 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102010063775A1 (de) * 2010-12-21 2012-06-21 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
US8399352B2 (en) 2010-12-21 2013-03-19 Globalfoundries Inc. Semiconductor device comprising self-aligned contact bars and metal lines with increased via landing regions
US8716126B2 (en) 2010-12-21 2014-05-06 Globalfoundries Inc. Semiconductor device comprising self-aligned contact bars and metal lines with increased via landing regions
DE102010063775B4 (de) * 2010-12-21 2019-11-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
DE102014117338A1 (de) * 2014-10-01 2016-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102014117338B4 (de) * 2014-10-01 2016-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung

Also Published As

Publication number Publication date
US6911397B2 (en) 2005-06-28
KR20030082238A (ko) 2003-10-22
CN1459844A (zh) 2003-12-03
KR100428791B1 (ko) 2004-04-28
US20030199169A1 (en) 2003-10-23
JP2003318258A (ja) 2003-11-07
CN1317756C (zh) 2007-05-23
JP4105023B2 (ja) 2008-06-18
DE10318299B4 (de) 2006-12-21

Similar Documents

Publication Publication Date Title
DE10318299B4 (de) Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE112013000362B4 (de) Verfahren zur herstellung elektrisch programmierbarerback-end-sicherung
DE69531244T2 (de) Vereinfachter doppel-damaszenen prozess für die herstellung einer mehrlagen-metallisierung und einer verbindungsstruktur
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE60211915T2 (de) Halbleiterstruktur unter verwendung von opfermaterial und zugeörige herstellungsverfahren
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102005034182B4 (de) Halbleitervorrichtung und Herstellungsverfahren dafür
DE10256346A1 (de) Halbleiterbauelement mit MIM-Kondensator und Herstellungsverfahren
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102019217879B4 (de) Verbindungsstruktur für obere Elektrode und Herstellungsverfahren
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE10314307A1 (de) Halbleiterbauelement mit MIM-Kondensator und Herstellungsverfahren
DE19834917A1 (de) Verfahren zum Bilden von selbstausrichtenden Durchgängen in integrierten Schaltungen mit mehreren Metallebenen
DE112004001530B4 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE102005024912A1 (de) Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
DE102013103976A1 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE10244570A1 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right