KR100304395B1 - 복수레벨상호접속형성방법 - Google Patents

복수레벨상호접속형성방법 Download PDF

Info

Publication number
KR100304395B1
KR100304395B1 KR1019980020129A KR19980020129A KR100304395B1 KR 100304395 B1 KR100304395 B1 KR 100304395B1 KR 1019980020129 A KR1019980020129 A KR 1019980020129A KR 19980020129 A KR19980020129 A KR 19980020129A KR 100304395 B1 KR100304395 B1 KR 100304395B1
Authority
KR
South Korea
Prior art keywords
copper
layer
pattern
forming
substrate
Prior art date
Application number
KR1019980020129A
Other languages
English (en)
Other versions
KR19980087540A (ko
Inventor
하자라 에스 래소어
호마즈댜 엠 다랠
폴 에스 맥라우그린
두 비 니구옌
리차드 지 스미스
알렉산더 제이 스윈톤
리차드 에이 와치닉
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/866,777 external-priority patent/US6130161A/en
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR19980087540A publication Critical patent/KR19980087540A/ko
Application granted granted Critical
Publication of KR100304395B1 publication Critical patent/KR100304395B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명의 방법은 일렉트로마이그레이션(electromigration) 및 내부식성(corrosion resistance)이 향상된 서브 1/2 마이크론 구리 상호 접속(sub-half-micron copper interconnections)을 제공한다. 본 발명의 방법은 대략 800 옹스트롬(angstroms) 미만의 층에 화학적 기상 증착(chemical vapor deposition) 또는 물리적 기상 증착(physical vapor deposition)에 의해 시드층(seed layer)이 증착되는, 전기 도금된 구리를 사용한 이중 대머신(double damascene)을 포함한다.

Description

복수 레벨 상호 접속 형성 방법{SUB-QUARTER-MICRON COPPER INTERCONNECTIONS WITH IMPROVED ELECTROMIGRATION RESISTANCE AND REDUCED DEFECT SENSITIVITY}
본 발명은 반도체 제조 분야에 관한 것으로서, 특히, 부식(corrosion) 및 결함에 대한 민감도가 감소되어, 신뢰도가 향상된 서브 마이크론 치수(sub-micron dimensions)의 구리 기반 상호 접속(copper based interconnections)의 설계에 관한 것이다. 또한, 본 발명은 이와 같이 설계된 구조를 형성하는 방법을 제공하는 것에 관한 것이다.
ULSI(Ultra Large Scale Integrated) 회로에 대한 디바이스의 기하학적 구조의 크기가 계속해서 감소됨에 따라, 이전의 그 어느 때보다도 강건한 신뢰도를 요구하면서, 최소한의 피치(pitch) 및 고전도성(high conductivity)을 갖는 상호 접속 배선(interconnect wiring)과, 낮은 유전 상수(dielectric constant)를 갖는 패시베이션 물질(passivation material)에 대한 요구가 증가되고 있다. 특히, 서브 1/4 마이크론 라인 폭(sub-quarter micron line width)에서, 가장 중요한 요소는 전도성이 높을 것과 일렉트로마이그레이션 저항(electromigration resistance)이 높아야 한다는 것이다.
하나의 기법에서는, 고전도성 및 높은 일렉트로마이그레이션 저항을 위해, 낮은 교차 캐패시턴스(low cross capacitance)를 위한 폴리이미드(polyimide) 패시베이션과 함께 구리 야금(metallurgy)을 사용해 왔다. 루터(Luther) 등에 의한 VLSI Multilevel Interconnection Conference(VMIC), pp.15-21, 1993의 문헌에는 이러한 기법을 사용하는 공정이 개시되어 있다. 구리 상호 접속 라인과 레벨간 비아 스터드(inter-level via studs)를 동시에 형성하는 이중 대머신 기법(doubledamascene method)을 사용한 공정의 향상에 대해서는 다랄(Dalal) 등에 의한 미국 특허 제 5,434,451 호에 개시되어 있다. 대머신 기법은 좁은 트렌치(trenches)나 좁은 홀 또는 그 둘의 조합을 충진(filling)하는 것을 포함한다. 충진된 물질 라인 또는 스터드의 현저한 테이퍼형(tapered)의 단면(highly tapered cross section)이 형성되기 때문에, 스퍼터링(sputtering) 또는 증발(evaporation)과 같은 물리적 기상 증착(Physical Vapor Deposition; PVD) 기법을 사용하여 좁은 홀 및 트렌치를 충진하는 것은 적합하지 않다는 것이 본 기술 분야에 잘 알려져 있다. 조쉬(Joshi) 등의 미국 특허 제 5,300,813 호에는 PVD 기법을 사용하여 고전도성 금속을 증착하고, 이어서, 텅스텐 캡층(tungsten cap layer)을 화학적 기상 증착(Chemical Vapor Deposition; CVD)하여, 테이퍼형의 단면의 상부를 충진하는 것이 개시되어 있다. 테이퍼형의 단면 때문에, 캡핑 공정에 의해 구리 도체의 단면 영역이 실질적으로 감소된다. 또한, 캡핑 금속은 도체 금속을 따라 증착되기 때문에, 최종 산물에서 도체 에지를 따라 도체 금속의 슬릿(slits)이 노출된다. 더욱이, 이 캡핑 공정에서 화학 기계적 연마 단계 동안 연마에 의해 제거된 딱딱한 금속 입자들은 금속 라인을 마모(abrade)시키는 경향이 있다. 따라서, 구리 증착을 위해 CVD나 전기 도금과 같은 부합적 증착 기법(conformal deposition methods)이 필요하다.
그러나, CVD 구리가 필요로 하는 매우 복잡한 프리커서(precursors)의 저장 수명(shelf life)이 제한적이라는 사실이 발견되었다. CVD 구리가 갖는 보다 심각한 문제는 구리 프리커서의 증기에 의해 제조 라인이 오염되는 것이며, 이것은반도체 디바이스에 손상을 입힌다.
수 십년 동안 프린트 배선 회로용 기판(Printed Circuit Board; PCB)을 위해 전기 도금에 의한 구리 증착이 사용되어 왔다. 전기 도금은 낮은 비용, 낮은 증착 온도 및 좁은 개구를 부합적으로 코팅하는 능력으로 인해, 구리 상호 접속 시 바람직한 증착 방법이다. 구리의 전기 도금은 기판 상에 구리 시드층(seed layer)을 필요로 함을 알아야 한다. 시드층을 위한 구리 증착 시에는 PVD 기법이 필수적으로 사용되어 왔다. 그러나, PVD 증착된 구리는 전기 도금된 구리에 비해 10 배 낮은 일렉트로마이그레이션 저항을 가지며, CVD 구리에 비해 3 배 낮은 일렉트로마이그레이션 저항을 갖는 것으로 알려져 있다. 구리 시드층은 상호 접속 라인의 단면 영역의 20%까지 형성될 수 있으므로, 시드층은 구리 상호 접속의 일렉트로마이그레이션 특성을 심각하게 손상시킨다. 구리의 일렉트로마이그레이션 저항은 통상적으로 설계된 도체 라인에서의 마모(wear-out)를 견디기에 충분히 높은 반면, PVD 시드층/전기 도금된 구리 도체 라인에서는 결함에 의해 유도된 일렉트로마이그레이션 장애들이 관측된다. 구리는 그 전도성이 높으므로, 200 옹스트롬 정도로 얇게 될 때, 도체 라인 폭 또는 두께 등의 라인 결함들이 전기 스크린 검사에 검출되지 않은 채 지나칠 수 있다. 이들 영역에서의 전류 밀도는 실제 사용하는 동안 매우 높기 때문에, 일렉트로마이그레이션으로 인한 초기 필드 장애가 발생할 것으로 생각할 수 있다.
상호 접속 라인이 서브 1/4 마이크론 치수일 경우, 시드층을 위해 PVD 기법을 사용하는데 있어서 다른 커다란 문제가 발생된다. 여기서, PVD 기법에 의해얇은 시드층이 증착되었다고 해도, 전술한 바와 같이 개구가 상당이 좁아진다. 그 결과, 속이 빈 외피 라인(hollow shelled line)이 된다.
CVD 구리 증착 기법은 예를 들면, 구리 프리커서의 증기로 인해 제조 라인이 오염되는 문제점을 갖고 있으므로, 반도체 제조에 손상을 입힌다. CVD 증착된 구리의 두께가 두꺼울수록 라인 오염의 경향이 커진다.
토마스(THOMAS)에 의한 미국 특허 제 5,414,301 호, 샤피로(Shapiro) 등에 의한 미국 특허 제 4,007,039 호, 아쿠츠(Akutsu) 등에 의한 미국 특허 제 4,872,048 호 및 우드포드(Woodford)와 브릭넬(Bricknell)에 의한 미국 특허 제 4,406,858 호에서는 고온의 응용 또는 기계적인 강도를 향상시키기 위해 여러 가지 소자와 구리를 함께 증착하는 것에 대해 개시하고 있다. 그러나, 구리와 다른 소자가 함께 증착될 때, 일반적으로 전기 저항성이 증가하므로, 고성능 시스템에서 구리를 사용하는 목적을 달성할 수 없다.
구리 야금에서 신뢰성과 관련된 또 다른 문제는 부식에 대한 것이다. 이에 대해서는 도 1a 및 도 1b에서의 예시와 함께 이하에서 기술된다. 도 1a는 전술한 종래 기술의 상호 접속 방안의 구조의 일부를 도시한 것으로서, 각각 이중 대머신 기법으로 규정되는, 2 레벨의 금속 상호 접속을 도시하고 있다. 도 1b는 상호 접속 단면의 확대도로서, 하나의 레벨 상의 구리 상호 접속 라인(9)이 비아 스터드(11)를 통해 하부 레벨 금속 상호 접속 라인(102)에 콘택트를 형성하고 있는 것으로 도시되어 있다. 이중 대머신 기법에서 비아 스터드(11) 및 도체 라인(102)은 서로 간에 절대 필요한 부분이라는 것을 알아야 한다. 구리 상호 접속은 점착층(adhesive layer)(5), 선택적인 장벽층(barrier layer)(6), PVD 구리 시드층(copper seed layer)(8), 벌크 구리층(bulk copper layer)(9 및 11) 및 폴리이미드 절연체(3) 상의 무기 절연체(4)를 포함한다.
레벨간 절연을 위한 폴리이미드의 사용과 관련하여 일반적으로 구리 라인의 부식이 발생되는 것으로 알려져 있다. 이것은 레벨간 절연을 위해 폴리이미드가 사용될 때마다, 일반적으로 그 응용은 얇은 무기 절연체층(4)의 추가를 수반하기 때문이다. 이러한 얇은 무기 절연체층은 쵸우 등(Chow et al)에 의한 미국 특허 제 4,789,648 호에 개시된 바와 같이 에칭 정지제(etch stop)로서 작용하기 위해서, 또는 조쉬 등에 의한 미국 특허 제 5,403,779 호에 개시된 바와 같이 화학 기계적 연마 동안 폴리이미드 파편(debris)의 형성을 감소시키기 위해 추가된다. 이러한 무기 절연체층(4)의 해로운 영향은 이것이 폴리이미드막에서 잔여 수분이 달아나는 것을 막는다는 점이다. 따라서, 폴리이미드막에 증기압(vapor pressure)이 발생하고, 이것이 구리쪽으로 방향을 찾는다. 그 결과, 구리 산화물(copper oxides) 및 수산화물(hydroxides)이 형성된다. 시간 및 온도에 따라, 이들 산화물 및 수산화물은 궁극적으로 구리 도체에서 보이드(voids)(13)(도 1을 참조)를 형성한다. 이들 부식에 의해 야기된 보이드(13)는 두 가지 이유 때문에 구리 도체의 상부 표면으로부터 유래하는 것으로 여겨진다. 첫째, 라이너층(liner layers)(5 및 6)은 도체 라인의 하부 및 측면을 커버하지만, 상부 표면을 커버하지 않는다. 둘째, 도체 라인 측벽 상의 무기 절연체층(4) 및 라이너(5 및 6)간의 접합은 공정의 온도가 분산되는 동안 격리되므로, 구리와의 콘택트를 위한 수분의 경로를 제공한다. 조쉬 등의 미국 특허 제 5,426,330 호에는 구리 도체 상에 텅스텐 캡을 제공하여 구리 부식을 방지하는 방법이 개시되어 있다. 전술한 바와 같이, 이러한 캡핑 방법은 금속 라인 마모를 발생시키면서, 연마 동안에 바람직하지 않은 금속 파편을 형성한다.
따라서, 반복되는 노력 및 종래 기술의 여러 가지 방안에도 불구하고, 결함에 민감한 일렉트로마이그레이션 장애 및 부식으로 인해 제조 상의 문제점들이 남아 있다. 신뢰도가 향상되고, 결함 민감도가 감소된 구리 집적 회로 패턴을 형성하기 위해 보다 좋은 방법을 개발할 필요가 있다.
종래 기술의 문제점들 및 결함들을 고려하면, 본 발명의 목적은 공정의 양품율 및 신뢰도가 향상된 서브 1/2 마이크론 치수의 고성능 상호 접속 회로를 제조하는 방법을 제공하는 것이다.
본 발명의 다른 목적은 낮은 유전 상수 폴리이미드 패시베이션을 갖는 고전도성 구리 기반 야금을 제공하는 것이다.
본 발명의 다른 목적은 일렉트로마이그레이션 저항을 향상시킴으로써 구리 상호 접속 야금의 결함 민감도를 감소시키는 것이다.
본 발명의 다른 목적은 상호 접속 라인의 일렉트로마이그레이션 저항을 향상시키기 위해 PVD 구리 시드층의 두께가 감소된, 전기 도금된 구리 상호 접속 라인을 제공하는 것이다.
본 발명의 다른 목적은 금속 라인의 무결성(integrity)에 영향을 미치지 않는 금속 캡핑 구리 라인의 방법을 제공하는 것이다.
전술한 목적 및 다른 목적은 기판에 일렉트로마이그레이션 및 내부식성(耐腐蝕性)이 향상된 서브 1/2 마이크론 구리 상호 접속을 제공하는 방법과 관련된 본 발명에 의해 달성됨을 당업자라면 명백히 알 수 있을 것이다. 본 발명의 방법은 전기 도금된 구리를 사용하는 이중 대머신 기법을 포함할 수 있으며, 여기서는 두께가 감소된 PVD 층이 채용되거나, PVD 증착된 구리보다 대략 3 배 높은 일렉트로마이그레이션 저항을 갖는 CVD 구리 시드층의 부합적 코팅에 의해 PVD 층이 대체된다. 또한, 본 발명에서 시드층은 인터메탈릭층으로 변환될 수 있다. 일렉트로마이그레이션 저항을 향상시키고, 결함 민감도를 감소시키기 위해 하프늄(hafnium), 란탄(lanthanum), 지르코늄(zirconium), 주석(tin) 및 티타늄(titanium)과 같은 구리 인터메탈릭층이 제공된다. 또한, 기판에 형성된 구리 라인의 상부 표면을 완전히 커버하는 캡을 형성하여 내부식성을 향상시키는 방법이 제공된다. 또한, 구리의 격자간 위치(copper interstitial positions)에 탄소 원자를 혼입(incorporate)시킴으로써 일렉트로마이그레이션 및 내부식성을 향상시키는 구조 및 방법이 기술된다.
하나의 양상에서, 본 발명은 유전체 절연부에 의해 서로 격리되는 구리 라인의 복수 레벨 상호 접속을 형성하여 기판 내 전기적 피쳐(feature)에 콘택트를 형성하는 방법을 포함한다. 본 발명의 방법은 우선, 규정된 패턴 내에 구리 라인을 수용할 유전체 절연층을 갖는 기판을 마련하는 단계 및 패턴 내에 금속 라이너를 선택적으로 증착하는 단계를 포함한다. 그 후, 패턴에 두께가 감소된 PVD 구리층, CVD 구리층 또는 구리와 인터메탈릭 화합물을 형성할 수 있는 소자층이 증착되고, 이어서, 하나 이상의 구리층이 형성된다. 인터메탈릭 형성 소자가 증착되는 경우, 기판을 가열하여 인터메탈릭 형성 소자와 구리층을 반응시켜 구리층에 인터메탈릭 화합물층을 형성한다. 바람직하게, 인터메탈릭 형성 소자는 하프늄, 란탄, 티타늄, 주석 및 지르코늄으로 구성되는 그룹으로부터 선택된다. 구리층 이전에 인터메탈릭 형성 소자층이 증착되거나, 인터메탈릭 형성 소자층 이전에 구리층이 증착될 수 있다. 또한, 구리층 이전에 인터메탈릭 형성 소자층이 증착될 수 있으며, 구리층 이후에 인터메탈릭 형성 소자층이 증착될 수도 있다.
금속 라이너, 인터메탈릭 형성 소자층 및 구리층은 스퍼터링, 증발 및 CVD로 구성되는 그룹으로부터 선택된 공통적인 또는 별개의 증착 기법에 의해 증착될 수 있다. 바람직하게, 금속 라이너, 인터메탈릭 형성 소자층 및 구리층은 한 번의 강압(pump down)으로 스퍼터링에 의해 인시튜(in-situ) 증착되며, 스퍼터링은 반응성 스퍼터링(reactive sputtering), 시준 스퍼터링(collimated sputtering), 마그네트론 스퍼터링(magnetron sputtering), 저압 스퍼터링(low pressure sputtering), ECR 스퍼터링, 이온화 빔 스퍼터링(ionized beam sputtering) 및 그들의 임의의 조합일 수 있다.
보다 바람직한 방법에서, 본 발명은 서브 마이크론 피치(pitch)에서, 낮은 유전체 절연부에 의해 서로 격리된, 신뢰성있는 구리 라인의 복수 레벨 상호 접속을 형성하여 기판 내 전기적 피쳐에 대한 콘택트를 형성하는 방법에 관한 것이다. 본 발명의 방법은 처음에 전기적 피쳐를 갖는 기판 상에 한 쌍의 절연층을 증착하는 단계와, 절연층들 중 적어도 하나 위에 비아 스터드 패턴을 포토리소그래픽하게 규정하는 단계와, 절연층들의 쌍을 부분적으로 에칭하는 단계와, 절연층들 중 적어도 하나 위에 상호 접속 라인 패턴을 포토리소그래픽하게 규정하는 단계 및 전기적 피쳐가 노출될 때까지 절연층을 에칭하여 절연체들의 쌍에 트렌치 및 홀을 형성하는 단계를 포함한다. 그 다음, 트렌치 및 홀에 라이너 야금이 증착된다. 홀 및 트렌치를 충진하기 위해 하나 이상의 구리층 뿐만 아니라 구리와 인터메탈릭 화합물을 형성할 수 있는 소자층이 증착된다. 구리를 연마하여 트렌치 외부의 잉여 금속을 제거하고, 기판을 가열하여 인터메탈릭 형성 소자와 구리를 반응시킴으로써 구리와 인터메탈릭 화합물층을 형성한다.
구리층들 중 하나는 구리와 탄소 기체(carbonaceous gas)를 반응성 스퍼터링으로 증착하여, 증착된 구리의 격자 내에 탄소 원자를 혼입시킨다. 바람직하게, 인터메탈릭 형성 소자의 두께는 대략 100 옹스트롬 내지 600 옴스트롬이다. 인터메탈릭층은 홀 및 트렌치의 구리 아래에, 홀 및 트렌치의 구리 내에, 또는, 홀 및 트렌치의 구리 위에 형성될 수 있다.
관련된 양상에서, 본 발명은 전기적 피쳐를 갖는 기판 상에 증착된 한 쌍의 절연층을 포함하는 구리 라인의 상호 접속을 갖는 기판을 포함하고, 절연층은 에칭된 비아 스터드 패턴을 가지며, 에칭된 상호 접속 라인 패턴은 절연체들의 쌍에 홀 및 트렌치를 형성한다. 금속층은 트렌치 및 홀에 라인을 형성하고, 구리는 홀 및 트렌치를 충진하고, 구리의 일부는 구리 인터메탈릭 화합물의 영역을 포함한다.
다른 양상에서, 본 발명은 진공 툴(vacuum tool)에서 기판을 가열하는 단계와, 탄소 물질을 기체 형태로 진공으로 주입하는 단계 및 트렌치에 구리를 형성하기 위해 격자간 원자를 구리 격자안으로 혼입시키는 동시에 기판 트렌치에 구리 금속을 증착하는 단계를 포함하는, 트렌치를 갖는 기판 상에 일렉트로마이그레이션 저항 및 내부식성이 향상된 구리 상호 접속을 제공하는 방법에 관한 것이다. 바람직하게, 기판은 증착하는 동안 100oC 내지 400oC의 온도로 유지되고, 탄소 물질은 식 CxHy또는 CxHx를 가지며, 산소(oxygen), 질소(nitrogen) 또는 황(sulphur)을 포함하지 않는 탄화 수소(hydrocarbon)이다.
관련된 양상에서, 본 발명은 전기적 피쳐를 갖는 기판 상에 증착된 한 쌍의 절연층을 포함하는 구리 라인의 상호 접속을 갖는 기판을 제공하며, 절연층은 에칭된 비아 스터드 패턴을 갖고, 에칭된 상호 접속 라인 패턴은 절연체들의 쌍에 홀 및 트렌치를 형성하며, 금속층은 트렌치 및 홀에 라인을 형성하고, 구리는 홀 및 트렌치를 충진하고, 구리는 대략 0.1 내지 15 ppm의 탄소를 포함한다.
다른 양상에서, 본 발명은 주변의 절연부에 비해 평탄한 표면을 갖는 기판 상호 접속 상에 보호 캡(protective cap)을 제공하는 방법에 관한 것이다. 본 발명의 방법은 그 위에 절연층을 갖는 기판을 제공하는 단계와, 절연층 내에 홀 및 트렌치를 형성하는 에칭된 비아 스터드 패턴 및 에칭된 상호 접속 라인 패턴을 제공하는 단계와, 기판 상호 접속을 형성하기 위해 절연층의 상부 표면까지 홀 및 트렌치를 충진하는 구리 야금을 제공하는 단계를 포함한다. 그 다음, 구리는 주변의 절연층 표면 아래의 표면을 리세스(recess)하기 위해 연마된다. 그 다음, 리세스된 구리 상에서 주변의 절연층 표면 위의 레벨까지 캡(cap)을 위한 물질의 층이 증착된다. 그 다음, 기판을 연마하여 기판 상호 접속의 외부 영역으로부터 캡 물질을 제거함으로써 주변의 절연층 표면과 평탄한 캡 표면을 형성한다. 바람직하게, 리세스의 두께는 대략 100 옹스트롬 내지 400 옴스트롬이며, 캡을 위한 물질은 텅스텐, 텅스텐-실리콘, 텅스텐 질소, 하프늄, 지르코늄, 탄탈(tantalum), 주석, 란탄, 게르마늄(germanium), 탄소, 크롬(chromium), 크롬-크롬-산화물, 백금(platinum) 및 그들의 조합으로 구성되는 그룹으로부터 선택적으로 증착 및 선택된다.
다른 양상에서, 본 발명은 유전체 절연부에 의해 서로 격리되는 구리 라인의 복수 레벨 상호 접속을 형성하여 기판 내 전기적 피쳐에 대한 콘택트를 형성하는 방법을 제공하며, 본 발명의 방법은,
(a) 규정된 패턴 내에 구리 라인을 수용할 유전체 절연층을 갖는 기판을 마련하는 단계와,
(b) 패턴에 금속 라이너를 선택적으로 증착하는 단계와,
(c) 패턴에 대략 800 옹스트롬 미만의 두께를 갖는 구리의 화학적 기상 증착층 또는 구리의 물리적 기상 증착층을 차후에 증착하는 단계와,
(d) 화학적 또는 물리적 기상 증착 구리층 상에, 상이한 공정에 의해 구리층을 증착하여 패턴을 실질적으로 충진하는 단계를 포함한다.
바람직하게, 화학적 기상 증착 구리층은 대략 50 내지 2000 옹스트롬의 두께를 가질 수 있으며, 더욱 바람직하게는 대략 100 내지 700 옹스트롬의 두께를 가질수 있다. 물리적 기상 증착은 구리 스퍼터링 또는 구리 증발에 의한 것일 수 있으며, 바람직하게, 물리적 기상 증착 구리층은 대략 600 옹스트롬 미만의 두께를 갖는다.
본 발명의 방법은 화학적 또는 물리적 기상 증착 구리층 이전에, 구리와 인터메탈릭 화합물을 형성할 수 있는 소자층을 패턴에 증착하는 단계를 포함할 수 있다. 본 발명은 실질적으로 패턴을 충진하는 구리층 증착 이후에 기판을 가열하여 인터메탈릭 형성 소자와, 실질적으로 패턴을 충진하는 구리층을 반응시킴으로써 인터메탈릭 화합물층을 형성한다.
도 1a는 전기 도금된 구리를 사용한 이중 대머신 기법으로 형성된, 종래 기술의 복수 레벨 구리 상호 접속의 일부에 대한 정면도로서, 통상의 공정에서 발생되는 금속 부식 및 라인 결함을 도시한 도면,
도 1b는 종래 기술에서 사용된 여러 가지 금속층들을 도시하는, 도 1의 상호 접속의 일부에 대한 확대도,
도 2는 유기 유전체 절연층 및 다른 얇은 유전체 절연층이 증착되고, 종래 기술의 이중 대머신 기법에 따라 비아 스터드 및 상호 접속 라인의 조합 패턴이 에칭되어 아래의 금속 피쳐를 노출시키는, 본 발명의 방법을 개시하기 이전의 기판의 정면도,
도 3은 본 발명의 구리 인터메탈릭 형성 구성을 구현하는, 사전 형성된 상호 접속 구조 내 여러 가지 층들의 정면도,
도 4는 본 발명에 따른 상호 접속을 위해 구리 시드층이 인터메탈릭 하부층으로 변환된 후의 도 3의 상호 접속 구조의 정면도,
도 5a 및 도 5b는 인터메탈릭층이 구리 상호 접속의 중간에 형성된 본 발명의 또 다른 실시예의 정면도로서, 도 5a는 소형 치수의 비아 스터드를, 도 5b는 대형 치수의 비아 스터드를 도시한 도면,
도 6a 내지 도 6d는 본 발명에 따라 캡층을 형성하는 공정 단계의 순서를 도시하는 도면으로서, 상부 표면으로부터 제거된 얇은 구리층을 갖는, 도 3에 도시된 바와 같이 형성된 구조의 정면도,
도 7은 본 발명에 따라 PVD 또는 CVD 구리 시드층을 사용하여 형성된, 사전 형성 상호 접속 구조 내 여러 가지 층들의 정면도,
도 8은 구리의 전기 도금된 구리층을 시드층 상에 증착하고, 웨이퍼를 연마하여 잉여 금속을 제거한 후의 도 7의 구조의 정면도.
도면의 주요 부분에 대한 부호의 설명
3 : 폴리이미드 절연체 4 : 무기 절연체
5 : 점착층 6 : 장벽층
8 : PVD 구리 시드층 9 : 구리 상호 접속 라인
11 : 비아 스터드 13 : 보이드
101 : 콘택트 스터드 102 : 하부 레벨 금속 상호 접속 라인
본 발명에 있어서 신규한 것이라 생각되는 특징 및 본 발명의 구성 요소의 특징들은 특히 첨부된 특허 청구 범위와 함께 기술된다. 도면들은 단지 예시를 위한 것이며, 실제 축적으로 도시되지는 않았다. 그러나, 본 발명의 구성 및 동작 방법은 첨부된 도면과 함께 이하 상세하게 기술된 내용을 참조하면 가장 잘 이해할 수 있을 것이다.
본 발명의 바람직한 실시예를 기술함에 있어서, 도 2 내지 도 8을 참조할 것이며, 동일한 참조 번호는 본 발명의 동일한 특징부를 나타낸다. 본 발명의 특징부들은 반드시 동일 축적으로 도시된 것은 아니다.
도 2를 참조하면, 실리콘 기판(105)을 포함하는 통상적인 실리콘 반도체 구조의 단면도가 도시되어 있다. 실리콘 기판(105)은 그 위에, 전형적으로 텅스텐인다양한 디바이스 콘택트 스터드들(비아들)(101) 및 국부 상호 접속(라인)(102)을 가지며, 이들은 절연체층(106, 103) 내에 각각 형성되고 티타늄 및 티타늄-질화물으로 된 하부층(도시되지 않음)을 갖는다. 예를 들면, 오정렬(misalignment)로 인해 비아 및 라인 사이에 금속 스파이크(metal spikes)가 일어나는 것을 방지하기 위해 얇은 절연체층을 사용하는 것에 대해서는, 미국 특허 출원 제 08/947,244 호(대리인 문서 번호 FI9-96-137)인 "SELF-ALIGNED COMPOSITE INSULATOR WITH SUB-HALF MICRON MULTILEVEL HIGH DENSITY ELECTRICAL INTERCONNECTIONS AND PROCESS THEREOF"에 개시되어 있다.
본 발명의 방법은 서브 마이크론 피치에서, 낮은 유전체 절연부에 의해 서로 격리되는, 신뢰성있는 구리 라인의 복수 레벨 상호 접속을 형성하여 기판 내 전기적 피쳐에 대한 콘택트를 형성한다. 기판 구조는 복수의 전자 디바이스, 유기 회로 캐리어(organic circuit carrier) 또는 세라믹 회로 캐리어(ceramic circuit carrier)를 갖는 반도체일 수 있다. 바람직하게, 국부 상호 접속(102)은 종래 기술의 대머신 기법에 의해 형성되며, 국부 상호 접속의 상부 표면은 전형적으로 증착된 붕소 규산염(boro-silicate) 또는 인 규산염 유리(phospho-silicate glass) 또는 SiO2인 주변의 절연체(103)의 표면과 실질적으로 평탄하다. 그 다음, 유전체 절연층(2, 3 및 4)이 증착되어 고전도성 상호 접속 형성 공정이 시작된다. 절연체들의 쌍은 ECR, 스퍼터링, 플라즈마 여기(Plasma Enhanced) CVD, CVD, 스핀 코팅(spin-coating) 또는 이들 기법의 임의의 조합에 의해 증착될 수 있다. 예를들면, 이들 절연체는 폴리이미드, 실리콘 질화물(silicon-nitride), 알루미나(alumina), 실리콘 이산화물(silicon-dioxide), 인 규산염 유리, 이트륨 산화물(yttrium oxide), 마그네슘 산화물(magnesium oxide), 에어로겔(aero-gel) 또는 이들 물질의 임의의 조합으로 형성될 수 있다.
미국 특허 출원 제 08/841,221 호에 개시된 절연체들의 선택 및 그들을 집적 회로의 제조에 통합하는 방법이 본 발명에 채용될 수 있으며, 이 특허 출원의 개시 내용은 본 명세서에서 참조로 인용된다.
그 다음, 절연체(4) 상에, 예를 들면, 포토리소그래피 공정에 의해 비아 스터드 패턴이 규정되고, 이어서, 적절한 에칭제(etchants)로 절연체(4)에 대한 에칭 및 절연체(3)의 일부에 대한 에칭 단계가 수행된다. 그 다음, 다시 예를 들면, 포토리소그래피 공정에 의해 고전도성 금속 상호 접속 라인을 위한 패턴이 규정되고, 이어서, 절연체(3) 및 절연체(2)의 나머지를 에칭하여 트렌치(12) 및 홀(13)을 형성함으로써 금속 라인(102)을 노출시킨다. 이들 단계는 본 발명의 기술 분야에서 이중 대머신 기법으로 알려진 방법의 초기 공정 단계들로서, 다랄 등의 미국 특허 제 5,434,451 호와 같은 문헌에 개시되어 있으며, 그 개시 내용은 본 명세서에서 참조로 인용된다.
그 다음, 선택된 라이너 물질 및 고전도성 금속이 본 발명에 따라 증착되며, 화학 기계적 방법에 의해 연마를 행하여 잉여 금속을 제거함으로써 비아 스터드 및 상호 접속 라인 패턴을 동시에 형성한다. 본 발명은 조합된 비아 스터드 및 상호 접속 라인 패턴이 절연체층(2, 3 및 4)에서 에칭되어 국부 상호 접속(102) 부분을노출시키는 시점에 공정에서 구현된다.
본 발명의 상호 접속은 도 3에서부터 예시되며, 명료성을 위해 단지 도 2의 일부만이 도시된다. 도 2의 구조를 갖는 웨이퍼를 세정하는 인시튜 스퍼터 후에, 전형적으로 100 내지 300 옹스트롬 두께를 갖는, 점착물 및 콘택트 금속, 바람직하게는 티타늄, 탄탈, 탄탈-질화물, 크롬, 텅스텐 또는 이들 층의 임의의 조합의 얇은 층(5)이 증착된다. 그 후, 전형적으로 200 내지 400 옹스트롬 두께를 갖는, 크롬-크롬-산화물, 텅스텐-실리콘, 텅스텐-질화물, 텅스텐-질화물-실리콘, 티타늄-질화물, 탄탈 또는 탄탈-질화물과 같은 물질의 선택적인 열 확산 장벽층(thermal diffusion barrier layer)(6)이 증착된다. 층(5 및 6)은 본 발명에 채용된 라이너 야금으로서 지칭된다. 콘택트 물질은, 예를 들면, 티타늄, 탄탈 또는 탄탈-질화물일 수 있다. 장벽층은 티타늄-질화물, 티타늄-산소-질화물(titanium-oxy-nitride), 탄탈, 탄탈-질화물, 크롬, 크롬/크롬-산화물, 텅스텐, 텅스텐-질화물, 텅스텐-실리콘 또는 그들간의 임의의 조합일 수 있다.
라이너층은 스퍼터링, 증발과 같은 공통적인 또는 개별적인 증착 기법에 의해 증착될 수 있다. 바람직하게, 반응성 스퍼터링, 시준 스퍼터링, 마그네트론 스퍼터링, 저압 스퍼터링, ECR 스퍼터링, 이온화 빔 스퍼터링 및 그들의 임의의 조합과 같은 스퍼터링 기법이 채용된다. 보다 바람직하게, 전술한 이들 층(5 및 6)의 증착은 한 번의 강압으로 시준 스퍼터링을 사용하며, 다랄 및 로우니(Lowney)에 의한 미국 특허 제 4,379,832 호에 개시된 반응성 금속 증착 기법을 사용하여 수행된다. 미국 특허 제 4,379,832 호는 본 명세서에서 참조로서 인용된다. 증착 온도는 대략 120oC 내지 400oC인 것이 바람직하다.
본 발명의 제 1 실시예에서, 이 선택적인 층(6) 다음에는 바람직하게 대략 100 내지 600 옹스트롬 두께를 갖는, 구리와 인터메탈릭 화합물을 형성할 수 있는 얇은 소자층(7)이 증착된다. 이러한 소자는 하프늄, 란탄, 지르코늄, 주석 및 티타늄으로 구성되는 그룹으로부터 선택될 수 있다. 그 후, 전형적으로 600 내지 2000 옹스트롬 두께를 갖는 얇은 구리 시드층(8)이 증착된다.
층들(5 내지 8)은 스퍼터링, 증발 또는 CVD와 같은 공통적인 또는 개별적인 증착 기법에 의해 증착된다. 바람직하게, 반응성 스퍼터링, 시준 스퍼터링, 마그네트론 스퍼터링, 저압 스퍼터링, ECR 스퍼터링, 이온화 빔 스퍼터링 및 그들의 임의의 조합과 같은 스퍼터링 기법이 채용된다. 보다 바람직하게, 전술한 이들 층(5, 6, 7 및 8)의 증착은 한 번의 강압으로 시준 스퍼터링을 사용하고, 다랄 및 로우니(Lowney)에 의한 미국 특허 제 4,379,832 호에 개시된 반응성 금속 증착 기법을 사용하여 수행된다. 미국 특허 제 4,379,832 호는 본 명세서에서 참조로서 인용된다. 증착 온도는 대략 120oC 내지 400oC인 것이 바람직하다. 또한, 일렉트로마이그레이션 저항을 향상시키기 위해 구리 시드층은 격자 간 위치에 탄소를 의도적으로 포함할 수 있으며, 여기에 대해서는 이하에 더 기술될 것이다.
구리 시드층(8)에 이어, 나머지 구리층(9)이 전기 도금되어 트렌치를 충진한다. 이와는 달리, 층(8 또는 8 및 9)이 CVD 기법에 의해 증착될 수 있다. 그 다음, 화학 기계적 기법으로 기판 웨이퍼를 연마하여 패터닝되지 않은 영역으로부터 모든 잉여 금속을 제거함으로써 도 3에 도시된 바와 같은 평탄화된 구조가 형성된다.
인터메탈릭 형성 금속층(7)이 사용되면, 기판 웨이퍼가 다음으로 질소와 같은 비반응성 분위기에서 30 분 내지 2 시간 동안 대략 250oC 내지 450oC의 온도로 가열된다. 이로 인해 인터메탈릭 형성층(7)이 구리층과 반응하여 도 4의 구리 인터메탈릭 화합물층(10)이 형성된다. 구리 인터메탈릭층은 구리층(8 및 9)의 일렉트로마이그레이션 저항을 향상시킨다. 바람직하게, 인터메탈릭 형성 금속의 두께는 인터메탈릭 화합물을 형성하는 동안 모든 구리 시드층(8)(도 3을 참조)을 소모하도록 선택된다. 본 발명에 의해 구리층에 형성된 인터메탈릭 화합물은 하프늄 구리(hafnium cupride)(Hf2Cu), 란탄 구리(lanthanum cupride)(LaCu2), 에타 청동(eta-bronze)(Cu6Sn5), 티타늄 구리(titanium cupride)(TiCu) 및 지르코늄 구리(zirconium cupride)(Zr2Cu)일 수 있다.
인터메탈릭층은 완전한 인터메탈릭 또는 인터메탈릭 및 구성 금속층(constituent metal layers)의 조합일 수 있다. 인터메탈릭 형성 소자는 두 가지의 기준으로 선택할 수 있다. 첫째, 선택된 소자는 구리에서 2 원자 퍼센트(atomic percent), 용해도(solubility)를 갖거나, 전혀 갖지 않는 것이 바람직하다. 용해도가 낮아야 한다는 것이 중요한데, 그렇지 않으면, 소자가 구리로 확산하여 그 전도성에 영향을 미칠 것이다. 둘째, 소자는 구리와 안정적인 인터메탈릭을 형성하는 것이 바람직하다. 전술한 소자 이외에도, 이들 기준을 만족하는소정의 다른 소자들을 구리 인터메탈릭 형성 소자로서 채용할 수 있다.
전술한 공정 단계들은 보다 높은 레벨에서 상호 접속의 규정 및 형성을 위해 반복된다. 상호 접속의 최종 레벨이 규정된 후, 단지 한 번의 열 처리를 수행하는 것을 선택하여 인터메탈릭을 형성할 수 있으며, 또는, 상호 접속의 각각의 레벨 이후에 열 처리를 반복하는 것을 선택할 수도 있다는 것을 알아야 한다.
본 발명의 다른 실시예에서, 소형 치수의 비아 스터드에 대해 도 5a에 도시되고, 보다 넓은 비아 스터드에 대해 도 5b에 도시된 바와 같이, 상호 접속 두께의 중간에 인터메탈릭층이 형성된다. 본 실시예에서, 구리의 에지로부터 이격되고, 내부 영역을 향하는 인터메탈릭 화합물 영역을 형성하기 위해 초기 구리층 이후에 인터메탈릭층(7)이 증착된다. 도 5a는 구리층(9) 내부에서 Y 모양으로, 열 처리 이후의 인터메탈릭 영역(10)을 도시하고 있다(여기서, 인터메탈릭 소자층(7)이 처음에 증착됨). 또한, 인터메탈릭 화합물 영역(10a 및 10b)이 도시된 위치에, 열 처리 이후 인터메탈릭 소자(7)의 두 영역이 증착된 도 5b에 도시된 바와 같이, 하나 이상의 인터메탈릭 영역이 채용될 수 있다.
본 발명의 다른 실시예에서, 인터메탈릭 형성 소자가 캡의 형태로 구리 라인의 상부에 증착된다. 도 6a에 도시된 바와 같이, 도 3의 평탄화된 구조를 형성한 후, 대략 100 내지 400 옹스트롬 두께를 갖는 얇은 구리층을 제거하여 주변의 절연 표면으로부터 그 표면을 리세스한다. 이러한 제거는 구리 상호 접속 라인 또는 비아 스터드의 가벼운 화학 기계적 연마, 기계적 연마, 또는 둘 다에 의해 수행되어, 평탄화된 캡 표면을 제공한다.
다음으로 도 6b에 도시된 바와 같이, 바람직하게 웨이퍼에 대한 인시튜 스퍼터 세정(in-situ sputter cleaning) 후에 전술한 기법(PVD, 전기 도금, 무전해 도금(electroless plating), CVD 또는 그들의 임의의 조합)에 의해 얇은 인터메탈릭 형성 소자층이 선택적으로 증착된다. 그 다음, 도 6c에 도시된 바와 같이 층(4)의 표면과 동일한 레벨에 구리 라인 상의 인터메탈릭 형성 소자의 캡은 남겨두면서, 화학 기계적 연마 또는 단순한 기계적 연마에 의해 상호 접속 트렌치 외부로부터 잉여 금속이 제거된다. 다음 단계는 전술한 바와 같이, 웨이퍼를 열 처리하여 구리층(9)의 상부에 인터메탈릭층(7)을 형성하는 것이다. 도 6d는 구리 상호 접속층의 하부 및 상부 모두에 인터메탈릭층 또는 영역이 형성된 실시예를 도시하고 있다.
이러한 인터메탈릭 캡층 형성 방법은 노출된 구리의 좁은 슬릿을 남기는 것과는 반대로, 캡 금속이 라이너 및 구리와 더불어 증착되는 방법에서와 같이, 라인 에지를 따라 구리 라인을 완전히 커버한다는 이점이 있다. 이러한 캡 형성 방법은 인터메탈릭 화합물의 형성을 목적으로 기술되었지만, 이 방법은 이러한 금속들에만 한정되는 것은 아니며, 텅스텐, 텅스텐-실리콘, 텅스텐 질소, 하프늄, 지르코늄, 탄탈, 탄탈-질화물, 티타늄, 주석, 란탄, 게르마늄, 탄소, 크롬, 크롬-크롬-산화물, 백금 또는 그들간의 임의의 조합과 같은 임의의 소망하는 금속, 합금 또는 인터메탈릭 화합물을 사용할 수 있다.
이와 같이, 선택적인 증착에 의해 구리 라인 단면의 하부, 중간, 상부 또는 이들 영역들의 임의의 조합된 위치에 구리 인터메탈릭층이 형성된다. 본 발명은이들 영역 중 임의의 영역 또는 모든 영역에 인시튜 구리 인터메탈릭층을 형성하여 구리 상호 접속 라인의 일렉트로마이그레이션 저항을 향상시키는 방법을 제공한다.
전술한 바와 같이, 증착된 구리 격자 내에 탄소 원자를 혼입시키기 위해 탄소 물질의 의도적인 유출(bleed)과 함께 진공 툴에 구리 시드층을 기체 형태로 증착하는 것이 바람직하다. 바람직하게, 기판은 대략 100oC 내지 400oC의 온도로 유지된다. 탄소 물질은 CxHy또는 CxHx탄화 수소 그룹에 속하는 탄소 물질과 같이 산소, 질소 또는 황을 포함하지 않는 탄화 수소이다. 탄소 물질은 불활성 캐리어 기체(inert carrier gas)를 사용하여 농축 형태 또는 희석 형태로 진공 툴로 주입될 수 있다. 바람직하게, 진공 툴은 스퍼터링 또는 증발 툴이며, 탄소 물질의 부분압은 대략 10-4내지 10-7Torr이다.
이러한 증착 공정의 더욱 바람직한 파라미터는 처음에 기판을 10-8Torr의 압력으로 강압하고, 자동 압력 제어를 사용하여 10-5Torr의 압력 하에서 아세틸렌 기체(acetylene gas)에서 유출시킨 후, 4 milli.Torr의 압력으로 아르곤 기체(argon gas)를 주입하고, 구리를 기판의 트렌치 내에 스퍼터 증착한다. 본 발명의 이러한 양상으로 인해, 구리 격자에 0.1 ppm 내지 15 ppm의 용해된 탄소를 갖는 구리의 상호 접속이 얻어진다.
이와 같이 탄소를 구리에 혼입시키면, 전기 도금된 구리의 일렉트로마이그레이션 저항이 향상되며, CVD 구리에 혼입시키면 그 보다는 작은 정도로 향상된다는것이 알려져 있다. 이론에 얽매이는 것을 원하는 바는 아니지만, 격자간 위치에 탄소 원자를 혼입시킴으로 인해 일렉트로마이그레이션 저항이 향상되는 것으로 여겨진다. 이러한 격자간 탄소는 구리의 전기적 특성에 영향을 거의 미치지 않지만, 그 화학 및 기계적 특성에는 커다란 영향을 미친다.
당업자라면 본 명세서에서 개시한 바에 의해 본 발명의 공정 및 구조의 또 다른 실시예를 쉽게 안출해 낼 수 있을 것이다. 예를 들면, 다음 공정 단계에서 구리를 전기 도금하기 위해 구리 시드층(8)이 증착된다는 것을 알아야 한다. 층(9)에 대해 CVD 구리를 사용하기로 선택한 경우, 시드층(8)은 불필요하다. 또한, 절연체들의 쌍은 유기/무기, 유기/유기 또는 무기/무기일 수 있다.
또한, 대머신 기법에 의한 구리 상호 접속 형성 시, 구리 시드층을 증착하기 위해 화학적 기상 증착을 사용하면, 종래 기술에서 채용된 전형적으로 1100 내지 2000 옹스트롬 두께에서의 물리적 기상 증착(예를 들면, 스퍼터링 또는 증발)을 사용하는 경우에 비해, 이전에는 알려지지 않았던 이점들이 제공된다. 증착된 CVD 구리 시드층은 대략 50 내지 2000 옹스트롬 범위의 두께를 가질 수 있으며, 바람직하게는 100 내지 700 옹스트롬 범위의 두께를 갖는다. 이와는 달리, PVD 구리 시드층은 대략 800 옹스트롬 미만의 두께, 바람직하게 대략 600 옹스트롬 미만의 두께로 증착될 때는 종래 기술의 보다 두꺼운 PVD 구리 시드층에 비해 이점을 제공한다. 이들 이점에는 보다 높은 일렉트로마이그레이션 저항이 포함된다. CVD 구리 시드층을 사용하거나 800 옹스트롬 두께 미만의 PVD 구리 시드층을 사용할 때는, 트렌치를 충진하는 구리층은 본 발명에 따라 구리 인터메탈릭층없이 구리 시드층 상에 직접 증착될 수 있다. 구리층은 시드층을 증착하는데 사용된 공정과는 상이한 공정에 의해 증착되어야 한다.
도 7 및 도 8에는 본 발명의 이러한 양상의 상호 접속이 도시되어 있다. (도 2의 일부를 도시하는) 도 7에 도시된 바와 같이, 도 2의 구조로 웨이퍼를 인시튜 스퍼터 세정한 후, 전술한 바와 동일한 방법으로 라이너층(5 및 6)이 증착되는데, 열 확산층(6)은 여전히 선택적이다. 그러나, 구리 인터메탈릭층(7)을 증착하는 대신에 라이너층(6) 상에 시드층(8)이 직접 증착될 수 있으며, 층(6)이 존재하지 않는 경우에는, 라이너층(5) 상에 직접 증착될 수 있다. CVD 기법이 채용되면, 시드층(8)의 두께는 보다 바람직하게 대략 300 내지 600 옹스트롬의 범위를 갖는다. PVD 기법이 채용되면, 구리 시드층은 바람직하게 대략 600 옹스트롬 미만의 두께를 가지며, 더욱 바람직하게는 대략 200 내지 500 옹스트롬의 두께를 갖는다. 구리 시드층(8) 이후, 나머지 구리층(9)이 전기 도금되어 트렌치를 완전히 충진한다. 그 다음, 화학 기계적 방법으로 기판 웨이퍼를 연마하여 패터닝되지 않은 영역들로부터 모든 잉여 금속을 제거함으로써, 결국 도 8에 도시된 구조가 형성된다. 그러면, 이러한 구조는 일렉트로마이그레이션 저항이 향상되고, 결함 민감도가 감소된 서브 1/4 마이크론 구리 상호 접속을 제공할 수 있다.
본 발명은 특정의 바람직한 실시예와 함께 특정하게 기술되었지만, 전술한 내용의 견지에서, 당업자라면 여러 가지 대안, 변경 및 변화에 대해 명백하게 알 수 있을 것이다. 따라서, 첨부된 특허 청구 범위는 본 발명의 진정한 영역 및 정신을 벗어나지 않는 것으로서, 이러한 소정의 대안, 변경 및 변화를 포함하는 것으로 생각하여야 할 것이다.
본 발명에 따른 복수 레벨 상호 접속 형성 방법에 의하면 일렉트로마이그레이션 및 내부식성이 향상된 서브 1/2 마이크론 구리 상호 접속이 제공된다.

Claims (6)

  1. 유전체 절연부(dielectric insulation)에 의해 서로 격리되는 구리 라인의 복수 레벨 상호 접속을 형성하여 기판 내 전기적 피쳐(electrical feature)에 대한 콘택트를 형성하는 방법에 있어서,
    (a) 규정된 패턴 내에 구리 라인을 수용할 유전체 절연층을 갖는 기판을 마련하는 단계와,
    (b) 상기 패턴에 금속 라이너(metallic liner)를 선택적으로 증착하는 단계와,
    (c) 구리와 인터메탈릭 화합물(intermetallic compound)을 형성할 수 있는 소자층(a layer of an element) - 상기 소자는 란탄(lanthanum)과 주석(tin)으로 이루어진 그룹으로부터 선택됨 - 을 상기 패턴에 증착하는 단계와,
    (d) 상기 패턴에 화학적 기상 증착 구리층(chemical vapor deposition layer of copper)을 차후에 증착하는 단계와,
    (e) 상기 화학적 기상 증착 구리층 상에 이와는 상이한 공정에 의해 구리층을 증착하여 상기 패턴을 실질적으로 충진하는 단계와,
    (f) 상기 기판을 가열하여, 인터메탈릭 형성 소자(intermetallic forming element)와 상기 패턴을 실질적으로 충진하는 상기 구리층을 반응시켜 인터메탈릭 화합물층(a layer of intermetallic compound)을 형성하는 단계
    를 포함하는 복수 레벨 상호 접속 형성 방법.
  2. 유전체 절연부에 의해 서로 격리되는 구리 라인의 복수 레벨 상호 접속을 형성하여 기판 내 전기적 피쳐에 대한 콘택트를 형성하는 방법에 있어서,
    (a) 규정된 패턴 내에 구리 라인을 수용할 유전체 절연층을 갖는 기판을 마련하는 단계와,
    (b) 상기 패턴에 금속 라이너를 선택적으로 증착하는 단계와,
    (c) 구리와 인터메탈릭 화합물을 형성할 수 있는 소자층 - 상기 소자는 란탄과 주석으로 이루어진 그룹으로부터 선택됨 - 을 상기 패턴에 증착하는 단계와,
    (d) 대략 800 옹스트롬 미만의 두께를 갖는 물리적 기상 증착 구리층(physical vapor deposition layer of copper)을 차후에 상기 패턴에 증착하는 단계와,
    (e) 상기 물리적 기상 증착 구리층 상에 이와는 상이한 공정에 의해 구리층을 증착하여 상기 패턴을 실질적으로 충진하는 단계와,
    (f) 상기 기판을 가열하여, 인터메탈릭 형성 소자와 상기 패턴을 실질적으로 충진하는 상기 구리층을 반응시켜 인터메탈릭 화합물층을 형성하는 단계
    를 포함하는 복수 레벨 상호 접속 형성 방법.
  3. 제 2 항에 있어서,
    상기 물리적 기상 증착은 구리 스퍼터링(sputtering)에 의한 것인 복수 레벨상호 접속 형성 방법.
  4. 유전체 절연부에 의해 서로 격리되는 구리 라인의 복수 레벨 상호 접속을 형성하여 기판 내 전기적 피쳐에 대한 콘택트를 형성하는 방법에 있어서,
    (a) 규정된 패턴 내에 구리 라인을 수용할 유전체 절연층을 갖는 기판을 마련하는 단계와,
    (b) 상기 패턴 내에 금속 라이너를 선택적으로 증착하는 단계와,
    (c) 구리와 인터메탈릭 화합물을 형성할 수 있는 소자층 - 상기 소자는 란탄과 주석으로 이루어진 그룹으로부터 선택됨 - 을 상기 패턴에 증착하는 단계와,
    (d) 상기 패턴에 화학적 기상 증착 및 물리적 기상 증착으로 구성되는 그룹으로부터 선택된 공정에 의해 대략 800 옹스트롬 미만의 두께를 갖는 구리 시드층(seed layer)을 차후에 증착하는 단계와,
    (e) 상기 구리 시드층 상에 구리층을 증착하여 이와는 상이한 공정에 의해 상기 패턴을 실질적으로 충진하는 단계와,
    (f) 상기 기판을 가열하여, 인터메탈릭 형성 소자와 상기 패턴을 실질적으로 충진하는 상기 구리층을 반응시켜 인터메탈릭 화합물층을 형성하는 단계
    를 포함하는 복수 레벨 상호 접속 형성 방법.
  5. 유전체 절연부에 의해 서로 격리되는 구리 라인의 복수 레벨 상호 접속을 형성하여 기판 내 전기적 피쳐에 대한 콘택트를 형성하는 방법에 있어서,
    (a) 규정된 패턴 내에 구리 라인을 수용할 유전체 절연층을 갖는 기판을 마련하는 단계와,
    (b) 상기 패턴 내에 금속 라이너를 선택적으로 증착하는 단계와,
    (c) 구리와 인터메탈릭 화합물을 형성할 수 있는 소자층 - 상기 소자는 란탄과 주석으로 이루어진 그룹으로부터 선택됨 - 을 상기 패턴에 증착하는 단계와,
    (d) 상기 패턴에 화학적 기상 증착에 의해 대략 800 옹스트롬 미만의 두께를 갖는 구리 시드층을 차후에 증착하는 단계와,
    (e) 상기 구리 시드층 상에 이와는 상이한 공정에 의해 구리층을 증착하여 상기 패턴을 실질적으로 충진하는 단계와,
    (f) 상기 기판을 가열하여, 인터메탈릭 형성 소자와 상기 패턴을 실질적으로 충진하는 상기 구리층을 반응시켜 인터메탈릭 화합물층을 형성하는 단계
    를 포함하는 복수 레벨 상호 접속 형성 방법.
  6. 제 5 항에 있어서,
    상기 구리 시드층은 대략 600 옹스트롱 미만의 두께를 갖는 복수 레벨 상호 접속 형성 방법.
KR1019980020129A 1997-05-30 1998-05-30 복수레벨상호접속형성방법 KR100304395B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US08/866,777 1997-05-30
US08/866,777 US6130161A (en) 1997-05-30 1997-05-30 Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US8/866,777 1997-05-30
US8/947,277 1997-10-08
US08/947,277 US6069068A (en) 1997-05-30 1997-10-08 Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US08/947,277 1997-10-08

Publications (2)

Publication Number Publication Date
KR19980087540A KR19980087540A (ko) 1998-12-05
KR100304395B1 true KR100304395B1 (ko) 2001-11-02

Family

ID=27127969

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980020129A KR100304395B1 (ko) 1997-05-30 1998-05-30 복수레벨상호접속형성방법

Country Status (6)

Country Link
US (2) US6069068A (ko)
EP (1) EP0881673B1 (ko)
JP (1) JP3057054B2 (ko)
KR (1) KR100304395B1 (ko)
DE (1) DE69836114T2 (ko)
TW (1) TW437044B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100546173B1 (ko) * 1998-09-21 2006-04-14 주식회사 하이닉스반도체 반도체소자의 금속배선 형성방법

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US7510961B2 (en) * 1997-02-14 2009-03-31 Micron Technology, Inc. Utilization of energy absorbing layer to improve metal flow and fill in a novel interconnect structure
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
JP3545177B2 (ja) 1997-09-18 2004-07-21 株式会社荏原製作所 多層埋め込みCu配線形成方法
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
EP1019954B1 (en) 1998-02-04 2013-05-15 Applied Materials, Inc. Method and apparatus for low-temperature annealing of electroplated copper micro-structures in the production of a microelectronic device
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
TW593731B (en) 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
JP3149846B2 (ja) * 1998-04-17 2001-03-26 日本電気株式会社 半導体装置及びその製造方法
US6268289B1 (en) * 1998-05-18 2001-07-31 Motorola Inc. Method for protecting the edge exclusion of a semiconductor wafer from copper plating through use of an edge exclusion masking layer
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6720654B2 (en) 1998-08-20 2004-04-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with cesium barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6734558B2 (en) 1998-08-20 2004-05-11 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with barium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
WO2000011721A1 (en) * 1998-08-20 2000-03-02 The Government Of The United States Of America, Represented By The Secretary Of The Navy Electronic devices with barrier film and process for making same
US6351036B1 (en) * 1998-08-20 2002-02-26 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with a barrier film and process for making same
US6297154B1 (en) * 1998-08-28 2001-10-02 Agere System Guardian Corp. Process for semiconductor device fabrication having copper interconnects
US6150257A (en) * 1998-08-28 2000-11-21 Micron Technology, Inc. Plasma treatment of an interconnect surface during formation of an interlayer dielectric
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6815336B1 (en) * 1998-09-25 2004-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization of copper damascene using reverse current electroplating and chemical mechanical polishing
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6362526B1 (en) * 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
US6200906B1 (en) * 1998-12-17 2001-03-13 Micron Technology, Inc. Stepped photoresist profile and opening formed using the profile
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100559030B1 (ko) * 1998-12-30 2006-06-16 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
TW426980B (en) * 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6362099B1 (en) * 1999-03-09 2002-03-26 Applied Materials, Inc. Method for enhancing the adhesion of copper deposited by chemical vapor deposition
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6331484B1 (en) * 1999-03-29 2001-12-18 Lucent Technologies, Inc. Titanium-tantalum barrier layer film and method for forming the same
US6245655B1 (en) 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6235633B1 (en) * 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
KR100460746B1 (ko) * 1999-04-13 2004-12-09 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6146517A (en) * 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
JP2000349085A (ja) 1999-06-01 2000-12-15 Nec Corp 半導体装置及び半導体装置の製造方法
US6395607B1 (en) 1999-06-09 2002-05-28 Alliedsignal Inc. Integrated circuit fabrication method for self-aligned copper diffusion barrier
KR100333712B1 (ko) * 1999-06-24 2002-04-24 박종섭 반도체 소자의 상감형 금속배선 형성방법
US6627542B1 (en) * 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
US6328871B1 (en) 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes
US6413858B1 (en) * 1999-08-27 2002-07-02 Micron Technology, Inc. Barrier and electroplating seed layer
US6399479B1 (en) * 1999-08-30 2002-06-04 Applied Materials, Inc. Processes to improve electroplating fill
US6251786B1 (en) * 1999-09-07 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to create a copper dual damascene structure with less dishing and erosion
US6610596B1 (en) * 1999-09-15 2003-08-26 Samsung Electronics Co., Ltd. Method of forming metal interconnection using plating and semiconductor device manufactured by the method
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR20020068528A (ko) * 1999-11-02 2002-08-27 유니버시티 오브 매사츄세츠 패턴 기판 및 무패턴 기판 상에 금속 및 금속 합금 필름형성용 화학적 유체 석출
US6689700B1 (en) 1999-11-02 2004-02-10 University Of Massachusetts Chemical fluid deposition method for the formation of metal and metal alloy films on patterned and unpatterned substrates
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6455425B1 (en) * 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
JP4064595B2 (ja) * 2000-03-28 2008-03-19 株式会社東芝 半導体装置の製造方法
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
JP3449960B2 (ja) * 2000-02-25 2003-09-22 沖電気工業株式会社 半導体装置の製造方法
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
JP3651765B2 (ja) * 2000-03-27 2005-05-25 株式会社東芝 半導体装置
US6465887B1 (en) 2000-05-03 2002-10-15 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with diffusion barrier and process for making same
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
TW544789B (en) * 2000-11-02 2003-08-01 Fujitsu Ltd Semiconductor device and method of manufacturing the same
US6433402B1 (en) * 2000-11-16 2002-08-13 Advanced Micro Devices, Inc. Selective copper alloy deposition
US6627052B2 (en) 2000-12-12 2003-09-30 International Business Machines Corporation Electroplating apparatus with vertical electrical contact
US6503641B2 (en) * 2000-12-18 2003-01-07 International Business Machines Corporation Interconnects with Ti-containing liners
US20020081845A1 (en) * 2000-12-27 2002-06-27 Novellus Systems, Inc. Method for the formation of diffusion barrier
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6465867B1 (en) * 2001-02-21 2002-10-15 Advanced Micro Devices, Inc. Amorphous and gradated barrier layer for integrated circuit interconnects
US6429524B1 (en) * 2001-05-11 2002-08-06 International Business Machines Corporation Ultra-thin tantalum nitride copper interconnect barrier
JP3530149B2 (ja) * 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
DE10127934A1 (de) * 2001-06-08 2002-12-19 Infineon Technologies Ag Leiterbahnanordnung und Verfahren zum Herstellen einer gekapselten Leiterbahnkopplung
KR100499557B1 (ko) * 2001-06-11 2005-07-07 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US6656834B1 (en) * 2001-06-20 2003-12-02 Advanced Micro Devices, Inc. Method of selectively alloying interconnect regions by deposition process
US6900119B2 (en) * 2001-06-28 2005-05-31 Micron Technology, Inc. Agglomeration control using early transition metal alloys
US20030008243A1 (en) * 2001-07-09 2003-01-09 Micron Technology, Inc. Copper electroless deposition technology for ULSI metalization
WO2003008660A1 (en) 2001-07-19 2003-01-30 Trikon Holdings Limited Depositing a tantalum film
US7419772B2 (en) 2001-11-21 2008-09-02 University Of Massachusetts Mesoporous materials and methods
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US6703308B1 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of inserting alloy elements to reduce copper diffusion and bulk diffusion
US6835655B1 (en) * 2001-11-26 2004-12-28 Advanced Micro Devices, Inc. Method of implanting copper barrier material to improve electrical performance
US7696092B2 (en) 2001-11-26 2010-04-13 Globalfoundries Inc. Method of using ternary copper alloy to obtain a low resistance and large grain size interconnect
US20030217462A1 (en) * 2001-12-13 2003-11-27 Fei Wang Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
KR100435784B1 (ko) * 2001-12-21 2004-06-12 동부전자 주식회사 반도체 소자의 금속배선 형성 방법
TW571389B (en) 2001-12-25 2004-01-11 Nec Electronics Corp A copper interconnection and the method for fabricating the same
US6518184B1 (en) 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect
US6653236B2 (en) 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
US7341947B2 (en) 2002-03-29 2008-03-11 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates
US6861349B1 (en) 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US6849173B1 (en) 2002-06-12 2005-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Technique to enhance the yield of copper interconnections
US6717266B1 (en) * 2002-06-18 2004-04-06 Advanced Micro Devices, Inc. Use of an alloying element to form a stable oxide layer on the surface of metal features
KR100443796B1 (ko) * 2002-06-29 2004-08-11 주식회사 하이닉스반도체 구리 금속 배선 형성방법
KR100456259B1 (ko) * 2002-07-15 2004-11-09 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성방법
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US7001841B2 (en) * 2002-08-26 2006-02-21 Matsushita Electric Industrial Co., Ltd. Production method of semiconductor device
US20040058526A1 (en) * 2002-09-24 2004-03-25 Infineon Technologies North America Corp. Via liner integration to avoid resistance shift and resist mechanical stress
US7101790B2 (en) * 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
WO2004088745A1 (ja) 2003-03-28 2004-10-14 Fujitsu Limited 半導体装置
US7393439B2 (en) 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US20050035046A1 (en) 2003-06-06 2005-02-17 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US6825561B1 (en) 2003-06-19 2004-11-30 International Business Machines Corporation Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US6958540B2 (en) * 2003-06-23 2005-10-25 International Business Machines Corporation Dual damascene interconnect structures having different materials for line and via conductors
US7008871B2 (en) * 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
KR100564801B1 (ko) * 2003-12-30 2006-03-28 동부아남반도체 주식회사 반도체 제조 방법
KR100573897B1 (ko) * 2003-12-30 2006-04-26 동부일렉트로닉스 주식회사 반도체 제조 방법
KR100538444B1 (ko) * 2003-12-31 2005-12-22 동부아남반도체 주식회사 비아 홀 및 트렌치 형성 방법
JP2005203672A (ja) 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
US7128821B2 (en) * 2004-01-20 2006-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Electropolishing method for removing particles from wafer surface
JP2005244178A (ja) 2004-01-26 2005-09-08 Toshiba Corp 半導体装置の製造方法
JP4224434B2 (ja) * 2004-06-30 2009-02-12 パナソニック株式会社 半導体装置及びその製造方法
JP2006216746A (ja) 2005-02-03 2006-08-17 Sony Corp 半導体装置
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
JP2006253645A (ja) 2005-02-14 2006-09-21 Sony Corp 半導体装置の製造方法および半導体装置
JP2007081113A (ja) * 2005-09-14 2007-03-29 Sony Corp 半導体装置の製造方法
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
CN1983550A (zh) * 2005-12-14 2007-06-20 中芯国际集成电路制造(上海)有限公司 提高可靠性和成品率的消除铜位错的方法
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
JP4676350B2 (ja) 2006-02-14 2011-04-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7694413B2 (en) * 2006-06-30 2010-04-13 Intel Corporation Method of making a bottomless via
US8212331B1 (en) * 2006-10-02 2012-07-03 Newport Fab, Llc Method for fabricating a backside through-wafer via in a processed wafer and related structure
US8791018B2 (en) * 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US20080265416A1 (en) * 2007-04-27 2008-10-30 Shen-Nan Lee Metal line formation using advaced CMP slurry
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
KR100924556B1 (ko) 2008-01-04 2009-11-02 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
JP2008252103A (ja) * 2008-04-21 2008-10-16 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
US8166651B2 (en) * 2008-07-29 2012-05-01 International Business Machines Corporation Through wafer vias with dishing correction methods
US7859114B2 (en) * 2008-07-29 2010-12-28 International Business Machines Corporation IC chip and design structure with through wafer vias dishing correction
US7807568B2 (en) * 2008-10-23 2010-10-05 Applied Materials, Inc. Methods for reducing damage to substrate layers in deposition processes
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
FR2963160A1 (fr) * 2010-07-22 2012-01-27 St Microelectronics Crolles 2 Procede de realisation d'un niveau de metallisation et d'un niveau de via et circuit integre correspondant
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
JP2013105753A (ja) * 2011-11-10 2013-05-30 Toshiba Corp 半導体装置の製造方法
US9059255B2 (en) * 2013-03-01 2015-06-16 Globalfoundries Inc. Methods of forming non-continuous conductive layers for conductive structures on an integrated circuit product
JP5817856B2 (ja) * 2014-01-27 2015-11-18 富士通セミコンダクター株式会社 半導体装置
CN103839604A (zh) * 2014-02-26 2014-06-04 京东方科技集团股份有限公司 导电膜及其制备方法、阵列基板
US9496174B2 (en) 2014-07-24 2016-11-15 Qualcomm Incorporated Mitigating electromigration, in-rush current effects, IR-voltage drop, and jitter through metal line and via matrix insertion
US10461026B2 (en) 2016-06-30 2019-10-29 International Business Machines Corporation Techniques to improve reliability in Cu interconnects using Cu intermetallics
JP6083846B1 (ja) * 2016-09-30 2017-02-22 久美代 森田 糠床の水取り容器
CN112247825B (zh) * 2020-09-04 2021-09-28 北京烁科精微电子装备有限公司 一种芯片研磨方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0758201A (ja) * 1993-08-16 1995-03-03 Toshiba Corp 多層配線基板の製造方法
JPH08102463A (ja) * 1994-09-30 1996-04-16 Mitsubishi Electric Corp 集積回路、その製造方法およびその薄膜形成装置

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4007039A (en) * 1975-03-17 1977-02-08 Olin Corporation Copper base alloys with high strength and high electrical conductivity
US4017890A (en) * 1975-10-24 1977-04-12 International Business Machines Corporation Intermetallic compound layer in thin films for improved electromigration resistance
US4153518A (en) * 1977-11-18 1979-05-08 Tektronix, Inc. Method of making a metalized substrate having a thin film barrier layer
US4379832A (en) * 1981-08-31 1983-04-12 International Business Machines Corporation Method for making low barrier Schottky devices of the electron beam evaporation of reactive metals
US4406858A (en) * 1981-12-30 1983-09-27 General Electric Company Copper-base alloys containing strengthening and ductilizing amounts of hafnium and zirconium and method
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4749548A (en) * 1985-09-13 1988-06-07 Mitsubishi Kinzoku Kabushiki Kaisha Copper alloy lead material for use in semiconductor device
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
JPS6373660A (ja) * 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5250329A (en) * 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
JPH03244126A (ja) * 1990-02-22 1991-10-30 Toshiba Corp 半導体装置の製造方法
WO1991017284A1 (en) * 1990-04-30 1991-11-14 International Business Machines Corporation Apparatus for low temperature cvd of metals
US5273775A (en) * 1990-09-12 1993-12-28 Air Products And Chemicals, Inc. Process for selectively depositing copper aluminum alloy onto a substrate
US5266446A (en) * 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
US5187300A (en) * 1991-02-04 1993-02-16 Air Products And Chemicals, Inc. Volatile precursors for copper CVD
US5231751A (en) * 1991-10-29 1993-08-03 International Business Machines Corporation Process for thin film interconnect
US5252516A (en) * 1992-02-20 1993-10-12 International Business Machines Corporation Method for producing interlevel stud vias
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5434451A (en) * 1993-01-19 1995-07-18 International Business Machines Corporation Tungsten liner process for simultaneous formation of integral contact studs and interconnect lines
US5322712A (en) * 1993-05-18 1994-06-21 Air Products And Chemicals, Inc. Process for improved quality of CVD copper films
US5380546A (en) * 1993-06-09 1995-01-10 Microelectronics And Computer Technology Corporation Multilevel metallization process for electronic components
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5565707A (en) * 1994-10-31 1996-10-15 International Business Machines Corporation Interconnect structure using a Al2 Cu for an integrated circuit chip
JP3517802B2 (ja) * 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JP3323055B2 (ja) 1996-04-03 2002-09-09 株式会社東芝 半導体装置およびその製造方法
US5814557A (en) * 1996-05-20 1998-09-29 Motorola, Inc. Method of forming an interconnect structure
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6130161A (en) 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6074499A (en) * 1998-01-09 2000-06-13 South Dakoga School Of Mines And Technology Boron-copper-magnesium-tin alloy and method for making same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0758201A (ja) * 1993-08-16 1995-03-03 Toshiba Corp 多層配線基板の製造方法
JPH08102463A (ja) * 1994-09-30 1996-04-16 Mitsubishi Electric Corp 集積回路、その製造方法およびその薄膜形成装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100546173B1 (ko) * 1998-09-21 2006-04-14 주식회사 하이닉스반도체 반도체소자의 금속배선 형성방법

Also Published As

Publication number Publication date
JPH1145887A (ja) 1999-02-16
TW437044B (en) 2001-05-28
KR19980087540A (ko) 1998-12-05
EP0881673A2 (en) 1998-12-02
EP0881673B1 (en) 2006-10-11
DE69836114D1 (de) 2006-11-23
DE69836114T2 (de) 2007-04-19
JP3057054B2 (ja) 2000-06-26
US6069068A (en) 2000-05-30
US6258710B1 (en) 2001-07-10
EP0881673A3 (en) 1998-12-09

Similar Documents

Publication Publication Date Title
KR100304395B1 (ko) 복수레벨상호접속형성방법
US6287954B1 (en) Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US7365001B2 (en) Interconnect structures and methods of making thereof
US6949461B2 (en) Method for depositing a metal layer on a semiconductor interconnect structure
US7528066B2 (en) Structure and method for metal integration
KR100623556B1 (ko) 상호 접속 구조 및 그 제조 방법
US7670943B2 (en) Enhanced mechanical strength via contacts
US6887781B2 (en) Method for the formation of diffusion barrier
US6472231B1 (en) Dielectric layer with treated top surface forming an etch stop layer and method of making the same
US7241696B2 (en) Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
KR100440418B1 (ko) 저압,저온의반도체갭충전처리방법
US20030216029A1 (en) Method of selectively alloying interconnect regions by deposition process
US20040061237A1 (en) Method of reducing voiding in copper interconnects with copper alloys in the seed layer
US7067917B2 (en) Gradient barrier layer for copper back-end-of-line technology
US6661097B1 (en) Ti liner for copper interconnect with low-k dielectric
KR100247644B1 (ko) 반도체 소자의 금속 배선 방법
KR20030001068A (ko) 구리를 사용한 대머신 금속배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100428

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee