KR100272890B1 - 이중 카세트 로드 로크 - Google Patents

이중 카세트 로드 로크 Download PDF

Info

Publication number
KR100272890B1
KR100272890B1 KR1019910006261A KR910006261A KR100272890B1 KR 100272890 B1 KR100272890 B1 KR 100272890B1 KR 1019910006261 A KR1019910006261 A KR 1019910006261A KR 910006261 A KR910006261 A KR 910006261A KR 100272890 B1 KR100272890 B1 KR 100272890B1
Authority
KR
South Korea
Prior art keywords
workpiece
chamber
opening
door
workpiece carrier
Prior art date
Application number
KR1019910006261A
Other languages
English (en)
Other versions
KR910019145A (ko
Inventor
엠. 토시마 마사토
엠. 살츠만 필
씨. 머도크 스티븐
왕 쳉
에이. 스텐홀른 마크
호워드 제임스
홀 레오나드
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24035085&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100272890(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR910019145A publication Critical patent/KR910019145A/ko
Application granted granted Critical
Publication of KR100272890B1 publication Critical patent/KR100272890B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

가공물 로딩 인터페이스는 진공으로 기공들, 전형적으로 웨이퍼를 처리하는 가공물 처리 시스템내에 포함된다. 가공물 로딩 인터페이스는 두개의 분리된 챔버료 포함한다. 각 챔버는 따로따로 펌핑되어 내려와진다. 이리하여, 제1챔버로 부터 웨이퍼의 제1카세트가 액세스되는 동안, 웨이퍼의 제2카세트는 제2챔버에 로드되고 제2챔버가 펌핑되어 내려와 질수 있다. 각 챔버는 클린 룸(clean room)으로의 침입을 최소화 하도록 설계되어 있다. 이리하여, 각 챔버의 문은, 열릴때, 먼저 문을 챔버에 있는 개구로 부터 약간 이동시키고 나서 문이 챔버에 평행하게 아래로 이동되는 기계조적 구조를 갖는다. 문이 열린 후, 웨이퍼의 카세트는 가동교와 같은 움직임으로 개구를 통하여 낮아진다. 웨이퍼가 카세트로 부터 액세스되는 위치가 카세트가 챔버로 부터 낮아지는 위치와 다를때 카세트는 챔버내에서 피봇 회전될 수 있다.

Description

이중 카세트 로드 로크
제1도는 본 발명의 바람직한 실시예에 따라 두개의 카세트 로드 로크를 포함하는 반도체 처리장치의 블록 타이어그램의 평면도.
제2도는 본 발명의 바람직한 실시예에 따라 제1도에 도시된 반도체 처리장치의 일부분인 로드 로크의 블록 다이어그램.
제3도는 본 발명의 바람직한 실시예에 따른 제2도에 도시된 로드 로크의 제 2블록 타이어그램.
제4도는 본 발명의 바람직한 실시예에 따른 제2도에 도시된 또 다른 블록 타이어그램.
제5도는 본 발명의 바람직한 실시예에 따른 제2도에 도시된 로드 로크로부터 연장된 위치에 있는 카세트 웨이퍼 홀더의 블록 타이어그램.
제6도는 본 발명의 바람직한 실시예에 따라 제2도에 도시된 로드 로크내의 직립한 위치에 있는 제5도에 도시된 카세트 웨이퍼의 블록 다이아그램.
* 도면의 주요부분에 대한 부호의 설명
1 : 반도체 처리장치 2 : 중앙챔버
3,4,5,6 : 처리챔버 7,8,9 : 챔버
10,11 : 웨이퍼 14,15 : 피봇점
16, 17 : 웨이퍼 카세트 19, 20 : 진공챔버
21 : 문 35,36 : 링크
본 발명은 반도체 처리장치에서 가공물의 로딩에 사용되는 전면부 로딩 인터페이스에 관한 것이다.
반도체 처리장치는 종종 처리가 수행되는 다수의 챔버를 갖는다. 아암 어셈블리 또는 다른 로봇 장치는 가공물, 전형적으로 웨이퍼를 웨이퍼 대기 스테이션으로부터 여러 처리 챔버로 이송시키는데 사용된다. 처리가 종료될때 웨이퍼는 대기 스테이션으로 되돌아 온다. 종래의 반도체 처리장치의 일례가, 쿼드 프로세서(Quad Processor)라는 명칭으로 Maher 등에게 허여된 미합중국 특허 제 4,715,921호에 개시되어 있다.
반도체 처리는 전형적으로 진공 상태하에서 행해진다. 따라서, 처리될 웨이퍼의 카세트가 놓여지는 웨이퍼 대기 스테이션은 웨이퍼가 액세스되기 전에 펌핑되어야 한다. 이것은 미처리된 웨이퍼의 카세트와 교환될 처리된 웨이퍼의 카세트를 기다리고 웨이퍼 대기 스테이션을 펌핑하는동안 반도체 처리장치가 쉬게되는 시간을 상당히 증가시킨다.
본 발명의 바람직한 실시예에 따르면, 가공물 로딩 인터페이스는 가공물 처리 시스템내에 포함된다. 가공물 로딩 인터페이스는 두개의 개별 챔버를 포함한다. 각 챔버는 개별적으로 펑핑될 수 있다. 따라서, 제1챔버로부터 가공물, 전형적으로 웨이퍼의 제1카세트가 액세스되는 동안, 웨이퍼의 제2카세트가 제2챔버에 로드될 수 있고 그 다음에 제2챔버가 펌핑될 수 있다. 이것은 가공물 처리 시스템을 통하여 가공물, 즉 웨이퍼의 스루풋을 상당히 증가시킬 수 있다.
바람직한 실시예에서, 각 챔버는 청정실로의 침입을 최소화하도록 설계된다. 따라서, 각 챔버의 문은, 열릴때, 챔버의 개구부로부터 약간 떨어지도록 이동된 다음 챔버에 평행하게 아래로 이동되는 메커니즘을 갖는다. 문이 열린후, 웨이퍼의 카세트는 가동교(可動橋)와 같은 움직임으로 개구부를 통하여 하강된다. 웨이퍼의 카세트는 측판이 없는 지지물상에 놓여지므로, 처리된 웨이퍼의 카세트는 자동화된 장치에 의하여 미처리된 웨이퍼의 카세트와 용이하게 교체된다. 카세트는 웨이퍼가 카세트로부터 액세스 되는 위치와 카세트가 챔버로부터 하강된 위치가 다를때 챔버내에서 피봇 회전될 수 있다.
제1도에는 반도체 처리장치(1)의 평면도가 도시되어 있다. 반도체 처리장치(1)는, 예를 들어 웨이퍼를 에칭시키는데 사용될 수 있다.
반도체 처리장치(1)는, 예를 들어 처리챔버(3), 처리챔버(4), 처리챔버(5) 및 처리챔버(6)를 포함한다. 중앙챔버(2)는 웨이퍼가 여러 처리챔버로 이송되거나 여러 처리챔버로부터 이송될때 로봇 장치(7)상의 웨이퍼를 일시적으로 보관하기 위하여 사용될 수 있다.
반도체 처리장치(1)는 또한 이중 카세트 로드 로크를 포함한다. 챔버(8)내에서, 웨이퍼 카세트(또는 웨이퍼 캐리어)(16)는 웨이퍼(10)를 지지한다. 챔버(9)내에서, 웨이퍼 카세트(17)는 웨이퍼(11)를 지지한다. 웨이퍼 카세트(17)는 피봇점(15) 주위를 피봇 회전한다. 카세트(17)로부터의 웨이퍼(11)가 반도체 처리장치(1)에 의해 액세스될 때, 웨이퍼 카세트(17)는 도시된 바와같이 게이트(13)에 대해서 평행하게되어 중앙챔버(2)내로의 이송을 위하여 로봇 장치(7)에 의하여 쉽게 액세스 된다. 웨이퍼 카세트(17)가 챔버(9)로부터 제거될 때, 웨이퍼 카세트(17)는 챔버(9)의 열림과 챔버(9)로부터의 제거에 대비하여 게이트(13)로부터 다시 피봇 회전한다.
유사하게, 웨이퍼 카세트(16)는 피봇점(14) 주위를 피봇 회전한다. 웨이퍼 카세트(16)로부터의 웨이퍼(10)가 처리를 위한 반도체 처리장치(1)에 의하여 액세스될 때, 웨이퍼 카세트(16)는 게이트(12)에 대해서 평행하게 되어 중앙 챔버(2)로의 이송을 위해 로봇 장치(7)에 의하여 쉽게 액세스된다. 웨이퍼 카세트(16)가 챔버(8)로부터 제거될 때, 웨이퍼 카세트(10)는, 도시된 바와같이, 챔버(8)의 열림과 챔버(9)로부터의 제거에 대비하여 게이트(12)로부터 다시 각도(18)로 피봇 회전될 수 있다. 바람직한 실시예에서, 각도(18)는 약 21°이다.
챔버(8)와 챔버(9)는 분리되어 개별적으로 펌핑될 수 있다. 진공펌프(19)는 챔버(8)를 진공상태로 만들 수 있다. 진공펌프(20)는 챔버(9)를 진공 상태로 만들 수 있다. 제1도에는 진공펌프(19,20)가 개략적으로 도시되어 있다. 전형적으로 진공 펌프(19,20)는 반도체 처리장치(1)내에 있다. 또한, 제1도가 두개의 개별 펌프를 도시하고 있을지라도, 단일 펌프가 챔버(8)와 챔버(9)를 분리하여 개별적으로 펌핑하기 위하여 사용될 수 있다.
제2도는 웨이퍼 챔버(8)의 간략화된 블록 정면도이다. 바람직한 실시예에서, 챔버(8)의 용적은 46리터이다. 문(21)은 닫힌 위치로 도시되어 있다. 문(21)은 관찰창(22)을 포함한다. 문(21)은 로드(rod)(24) 내의 유압 작동기에 의해 열리고 닫힌다. 유압 작동기 인터페이스내의 자석은 외부 링(26)을 끌어당긴다. 외부링(26)은 어셈블리(23)를 통하여 문(21)에 연결된다.
제3도는 개방 위치로 하강된 문(21)을 도시한다. 개구부(25)는 예를들어 높이가 15인치이며 폭이 10½인치일 수 있다. 아래로 개방시킴으로써, 청정실내로의 문의 침입이 최소화될 수 있다. 바람직한 실시예에서, 전체 침입은 약 1인치이다.
일단 문(21)이 하강하면, 지지 구조물(43)상의 웨이퍼 카세트(16)는 가동교가 성문 입구에서 하강하는 것과 같이 챔버(8)로부터 하강될 수 있다. 그 다음에, 웨이퍼 카세트(16)는 제거되고 새로운 웨이퍼 카세트가 지지 구조물(43)상에 놓여질 수 있다. 지지 구조물(43)은 문(21)이 열려서 웨이퍼 카세트(16)가 하강할때 층 공기흐름이 웨이퍼(10)를 세정할 수 있도록 공동(空洞)의 바닥으로 설계되어 있다.
제4도에는 문(21)의 열고 닫힘을 제어하는 메커니즘의 추가 상세도가 도시되어 있다. 문(21)의 측판(31)은 스프링(34) 및 링크(35,36)에 의하여 캐리지(30)에 연결된다. 로드(24)내의 유압 작동기에 의하여 제어되는 것처럼, 문(21)은 레일(50)에 평행하게 위아래로 이동한다. 닫힐 때, 문(21)이 돌출부(32)에 의하여 정지된다. 그러나, 캐리지(30)는 갭(33)이 완전히 닫혀질때까지 스프링(34)의 팽창에 의해 계속하여 위쪽으로 이동한다. 캐리지(30)가 계속하여 위로 이동하는 동안, 링크(36)에 연결된 피봇(39)과 링크(35)에 연결된 피봇(40)은 계속하여 위로 이동한다. 그러나, 링크(36)에 연결된 피봇(37)과 링크(35)에 연결된 피봇(38)은 문(21)이 캐리지(30) 쪽으로 이동하도록 한다. 따라서, 갭(33)이 닫힐때, 링크(35,36)는 캐리지(30)의 상향 이동을 문(21)의 수평 이동으로 바꾼다. 이리하여, 문(21)은 챔버와 꼭맞게 되어 챔버(8)를 밀봉시킨다.
문(21)이 열릴때, 스프링(34)은 간격(33)이 다시 나타나고 링크(35,36)가 곧게 되도록 압축된다. 따라서, 문(21)은 챔버(8)로부터 수평으로 이동한다.
제5도 및 제6도는 지지 구조물(43)의 하강 및 상승을 안내하는 어셈블리의 하나의 가능한 실행에 대한 블록 다이어그램을 보여준다. 제5도에서, 지지 구조물(43)과 카세트(16)는 챔버(8)로부터 하강하는 것으로 보여져 있다. 지지 구조물(43)에 연결된 로울러(44)는 캠을 포함하는 슬롯(46)의 연장부가 챔버(8)내에 놓이도록 도시되어 있다. 또한, 지지 구조물(43)에 연결된 로울러(45)는 슬롯트랙(46)의 제 1단부에 도시되어 있다.
제6도에서, 지지 구조물(43)과 카세트(16)는 챔버(8)내의 직립한 위치에 놓여있다. 이 위치에서, 웨이퍼(10)는 반도체 처리장치(1)에 의해서 액세스될 수 있도록 수평하게 적층되어있다. 지지 구조물(43)과 카세트(16)가 직립한 위치에 있을때, 로울러(45)는 슬롯 트랙(46)의 제2단부로 굴르며 로울러(7)는 정지부(49)에 놓인다. 정지부(49)는 슬롯(46)을 포함하는 캠의 연장부이다.

Claims (19)

  1. 중앙이송챔버와; 상기 중앙이송챔버에 연결된 다수의 진공처리챔버와; 가공물 캐리어를 반입하기 위한 개구부를 각각 가진 이중 외부챔버와; 상기 가공물 캐리어가 상기 개구부를 통해 액세스될 수 있는 제1위치와 상기 가공물 캐리어가 상기 중앙챔버내에 포함된 가공물 조절기를 통해 액세스될 수 있는 제2위치사이에서 상기 가공물 캐리어를 피봇 회전시키기 위하여 상기 각각의 이중 외부챔버내에 배치된 피봇 메커니즘을 포함하는 것을 특징으로 하는 가공물 처리시스템.
  2. 제1항에 있어서, 닫을 때 개구부를 덮어 밀봉하는 문과; 상기 문을 열 때, 상기 개구부로부터 약간 떨어지도록 상기 문을 이동시킨다음 상기 챔버에 대해 평행하게 문을 아래쪽으로 이동시키는 문 메커니즘을 더 포함하며, 이동하는 상기 문은 상기 챔버에 평행하게 이동하기 때문에 표면 마찰이 일어나지 않는 것을 특징으로 하는 가공물 처리시스템.
  3. 중앙이송챔버와; 상기 중앙이송챔버에 연결된 다수의 진공처리챔버와; 하나 이상의 가공물을 반입하기 위한 개구부를 각각 가진 이중 외부 챔버와; 가동교 이동방식으로 상기 가공물을 상기 각각의 외부챔버로부터 상기 개구부를 통해 하강시키는 가공물 캐리어 지지 메커니즘을 포함하는 것을 특징으로 가공물 처리시스템.
  4. 제3항에 있어서, 상기 가공물 캐리어 지지 메커니즘은 상기 외부챔버의 외부에서 수직방향으로 가공물 캐리어를 반입하며, 상기 가공물이 상기 개구부를 통해 이동할 때 상기 가공물 캐리어를 수평방향으로 회전시키는 것을 특징으로 하는 가공물 처리시스템.
  5. 제4항에 있어서, 상기 가공물 캐리어 지지물은 상기 가공물 캐리어가 상기 챔버의 외부에서 수직방향으로 있을 때 상기 가공물 캐리어 아래에 위치하는 개구부를 가지며, 층공기는 상기 개구부를 통해 용이하게 흐르는 것을 특징으로 하는 가공물 처리시스템.
  6. 제5항에 있어서, 상기 가공물 캐리어 지지물은 다수의 가공물을 포함하는 가공물 캐리어를 지지하는 것을 특징으로 하는 가공물 처리시스템.
  7. 제3항에 있어서, 닫을 때, 상기 개구부를 덮어서 밀봉하는 문과; 상기 문을 열 때 상기 개구부로부터 약간 떨어지도록 문을 이동시킨다음 상기 챔버에 평행하게 상기 문을 아래쪽으로 이동시키는 문 메커니즘을 포함하며, 이동하는 상기 문은 상기 챔버에 평행하게 이동하기 때문에 표면마찰이 일어나지 않는 것을 특징으로 하는 가공물 처리시스템.
  8. 제3항에 있어서, 상기 가공물 캐리어가 상기 개구부를 통해 액세스될 수 있는 제1위치와 상기 가공물 캐리어가 상기 중앙이송챔버내에 포함된 가공물 조절기를 통해 액세스될 수 있는 제 2위치사이에서 상기 가공물 캐리어를 피봇 회전시키기 위하여 상기 각각의 이중 외부챔버내에 배치된 피봇 메커니즘을 더 포함하는 것을 특징으로 하는 가공물 처리시스템.
  9. 제8항에 있어서, 닫을 때, 상기 개구부를 덮어서 밀봉하는 문과; 상기 문을 열 때 상기 개구부로부터 약간 떨어지도록 상기 문을 이동시킨다음 상기 챔버에 평행하게 상기 문을 아래쪽으로 이동시키는 문 메커니즘을 포함하며, 이동하는 상기 문은 상기 챔버에 평행하게 이동하기 때문에 표면마찰이 일어나지 않는 것을 특징으로 하는 가공물 처리시스템.
  10. 중앙이송챔버와; 상기 중앙이송챔버에 연결된 다수의 진공처리챔버와; 하나 이상의 가공물을 반입하기 위한 개구부를 각각 가지는 이중 외부챔버와; 닫을 때, 상기 개구부를 덮어서 밀봉하는 문과; 상기 문을 열 때 상기 개구부로부터 약간 떨어지도록 상기 문을 이동시킨다음 상기 챔버에 평행하게 상기 문을 아래쪽으로 이동시키는 문 메커니즘을 포함하며, 이동하는 상기 문은 상기 챔버에 평행하게 이동하기 때문에 표면마찰이 일어나지 않는 것을 특징으로 하는 가공물 처리시스템.
  11. 하나 이상의 가공물을 반입하기 위한 개구부를 가진 외부 챔버와; 닫을 때, 상기 개구부를 덮어서 밀봉하는 문과; 상기 문을 열 때 상기 개구부로부터 약간 떨어지도록 상기 문을 이동시킨다음 상기 챔버에 평행하게 상기 문을 아래쪽으로 이동시키는 문 메커니즘을 포함하며, 이동하는 상기 문은 상기 챔버에 평행하게 이동하기 때문에 표면마찰이 일어나지 않는 것을 특징으로 하는 가공물 처리시스템.
  12. 이송챔버에 연결된 적어도 하나의 진공처리챔버를 포함하는 가공물 처리시스템에서, 상기 가공물을 상기 이송챔버내로 로딩하는 방법에 있어서, 피봇 가공물 캐리어 지지물, 상기 처리시스템의 외부로부터 가공물 캐리어를 반입하기 위한 제1 개구부, 및 상기 처리시스템에 상기 가공물을 이송하기 위한 제2 개구부를 가지며, 상기 이송챔버에 연결된 가공물 로드/ 언로드 챔버를 제공하는 단계와; 상기 가공물 캐리어 지지물이 상기 제1 개구부에 인접하도록하여 상기 제1개구부를 통해 상기 가공물 캐리어 지지물상에 상기 가공물 캐리어를 반입하는 단계와; 상기 가공물 캐리어 지지물이 상기 제2개구부에 인접하도록 상기 가공물 캐리어 지지물을 피봇 회전시키는 단계와; 상기 가공물 캐리어로부터 적어도 하나의 가공물을 추출하는 단계와; 상기 적어도 하나의 가공물을 상기 적어도 하나의 진공처리챔버에 이송하는 단계를 포함하는 것을 특징으로 하는 방법.
  13. 제12항에 있어서, 상기 가공물 캐리어 지지물상에 가공물 캐리어를 반입하기 위한 상기 단계는, 가동교 이동방식으로 상기 제 1개구부를 통해 상기 가공물 캐리어 지지물을 하강시키는 단계와; 상기 가공물 캐리어가 하강위치에 있는동안 상기 가공물 캐리어를 받아들이는 단계와; 가동교 이동방식으로 상기 제1개구부를 통해 상기 가공물 캐리어 지지물을 상승시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  14. 제13항에 있어서, 상기 가공물 캐리어를 받아들이는 상기 단계는 수직 방향의 가공물 캐리어를 받아들이는 단계를 포함하며, 상기 제1개구부를 통해 상기 가공물 캐리어 지지물을 상승시키는 상기 단계는 상기 가공물 캐리어를 수평 위치로 회전시키는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제14항에 있어서, 수직방향의 가공물 캐리어를 받아들이는 상기 단계는 상기 수직방향의 가공물 캐리어를 통해 그리고 상기 가공물 캐리어 지지물의 개구부를 통해 층 공기를 흐르게 하는 단계를 포함하는 것을 특징으로 하는 방법.
  16. 이송챔버에 연결된 적어도 하나의 진공처리챔버를 포함하는 가공물 처리시스템에서, 가공물을 상기 이송챔버내로 로딩하는 방법에 있어서, 가공물 캐리어 지지물, 상기 처리시스템 외부로부터 상기 가공물 캐리어를 반입하기 위한 제1개구부 및 상기 처리 시스템에 가공물을 이송하기 위한 제2개구부를 가지며, 상기 이송챔버에 연결된 가공물 로드/언로드 챔버를 제공하는 단계와, 상기 가동교 이동방식으로 상기 제1개구부를 통해 상기 가공물 캐리어 지지물을 하강시키는 단계와; 상기 가공물 캐리어 지지물이 상기 하강위치에 있는 동안 상기 가공물 캐리어를 받아들이는 단계와; 가동교 이동방식으로 제1개구부를 통해 상기 가공물 캐리어를 상승시키는 단계를 포함하는 것을 특징으로 하는 방법.
  17. 제16항에 있어서, 상기 가공물 캐리어를 받아들이는 상기 단계는 수직방향의 가공물 캐리어를 받아들이는 단계를 포함하며, 제1개구부를 통해 가공물 캐리어 지지물을 상승시키는 상기 단계는 수평위치로 상기 가공물 캐리어를 회전시키는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제17항에 있어서, 수직방향의 가공물 캐리어를 받아들이는 상기 단계는 상기 수직방향의 가공물 캐리어를 통해 그리고 상기 가공물 캐리어 지지물의 개구부를 통해 층 공기를 흐르게 하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  19. 이송챔버에 연결된 적어도 하나의 진공처리챔버를 포함하는 가공물 처리시스템에서, 가공물을 상기 이송챔버내로 로딩하는 방법에 있어서, 상기 처리시스템 외부로부터 가공물 캐리어를 반입하기 위한 제1개구부 및 상기 개구부를 덮어서 밀봉하는 문을 가지며, 상기 이송챔버에 연결된 가공물 로드/언로드 챔버를 제공하는 단계와: 상기 개구부로부터 약간 떨어지도록 상기 문을 수평방향으로 이동시키는 단계와; 상기 챔버 표면에 평행하게 상기 문을 아래쪽으로 이동시키는 단계와; 상기 제1개구부를 통해 상기 가공물 캐리어를 반입하는 단계를 포함하며, 이동하는 상기 문은 상기 챔버에 평행하게 이동하기 때문에 표면마찰이 일어나지 않는 것을 특징으로 하는 방법.
KR1019910006261A 1990-04-19 1991-04-19 이중 카세트 로드 로크 KR100272890B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US07/511,481 US5186594A (en) 1990-04-19 1990-04-19 Dual cassette load lock
US7/511,481 1990-04-19
US07/511,481 1990-04-19

Publications (2)

Publication Number Publication Date
KR910019145A KR910019145A (ko) 1991-11-30
KR100272890B1 true KR100272890B1 (ko) 2000-12-01

Family

ID=24035085

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910006261A KR100272890B1 (ko) 1990-04-19 1991-04-19 이중 카세트 로드 로크

Country Status (5)

Country Link
US (7) US5186594A (ko)
EP (1) EP0452939B1 (ko)
JP (1) JP3088123B2 (ko)
KR (1) KR100272890B1 (ko)
DE (1) DE69132457T2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110075079A (ko) * 2009-12-28 2011-07-06 주식회사 테스 기판 처리 장치

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
KR0162102B1 (ko) * 1991-05-29 1999-02-01 이노우에 아키라 반도체 제조장치
JP2598353B2 (ja) * 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
US5372836A (en) * 1992-03-27 1994-12-13 Tokyo Electron Limited Method of forming polycrystalling silicon film in process of manufacturing LCD
US5395198A (en) * 1992-06-19 1995-03-07 International Business Machines Corporation Vacuum loading chuck and fixture for flexible printed circuit panels
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5482607A (en) * 1992-09-21 1996-01-09 Nissin Electric Co., Ltd. Film forming apparatus
MY109592A (en) * 1992-11-16 1997-03-31 Tokyo Electron Ltd Method and apparatus for manufacturing a liquid crystal display substrate, and apparatus and method for evaluating semiconductor crystals.
US5387067A (en) * 1993-01-14 1995-02-07 Applied Materials, Inc. Direct load/unload semiconductor wafer cassette apparatus and transfer system
KR100261532B1 (ko) * 1993-03-14 2000-07-15 야마시타 히데나리 피처리체 반송장치를 가지는 멀티챔버 시스템
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
US5478455A (en) * 1993-09-17 1995-12-26 Varian Associates, Inc. Method for controlling a collimated sputtering source
US6833035B1 (en) 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
EP0757843A1 (en) * 1994-04-28 1997-02-12 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US6447232B1 (en) 1994-04-28 2002-09-10 Semitool, Inc. Semiconductor wafer processing apparatus having improved wafer input/output handling system
US5538385A (en) * 1994-06-24 1996-07-23 Kensington Laboratories, Inc. Specimen carrier holder and method of operating it
US5486080A (en) * 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
US5522955A (en) * 1994-07-07 1996-06-04 Brodd; Ralph J. Process and apparatus for producing thin lithium coatings on electrically conductive foil for use in solid state rechargeable electrochemical cells
US5525024A (en) * 1994-08-17 1996-06-11 Applied Materials, Inc. Cassette loader having compound translational motion
US5563095A (en) * 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
DE19542646C2 (de) * 1995-03-28 2003-04-30 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
ES2229247T3 (es) * 1995-03-28 2005-04-16 Brooks Automation Gmbh Estacion de carga y descarga para instalaciones de tratamiento de semiconductores.
KR0165484B1 (ko) * 1995-11-28 1999-02-01 김광호 탄탈륨산화막 증착 형성방법 및 그 장치
US6555394B2 (en) 1995-11-28 2003-04-29 Samsung Electronics Co., Ltd. Methods of fabricating capacitors including Ta2O5 layers in a chamber including changing a Ta2O5 layer to heater separation or chamber pressure
US6723174B2 (en) 1996-03-26 2004-04-20 Semitool, Inc. Automated semiconductor processing system
US6942738B1 (en) 1996-07-15 2005-09-13 Semitool, Inc. Automated semiconductor processing system
US6279724B1 (en) 1997-12-19 2001-08-28 Semitoll Inc. Automated semiconductor processing system
EP0902962B1 (en) * 1996-05-31 2001-09-26 IPEC Precision, Inc. Apparatus for plasma jet treatment of substrates
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6672820B1 (en) 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6645355B2 (en) 1996-07-15 2003-11-11 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
TW331550B (en) * 1996-08-14 1998-05-11 Tokyo Electron Co Ltd The cassette receiving room
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JPH10107122A (ja) * 1996-10-01 1998-04-24 Tokyo Electron Ltd 被処理基板カセットの搬入装置
JPH10139159A (ja) * 1996-11-13 1998-05-26 Tokyo Electron Ltd カセットチャンバ及びカセット搬入搬出機構
US5905302A (en) * 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
JPH10147432A (ja) * 1996-11-20 1998-06-02 Tokyo Electron Ltd カセットチャンバ
US6068668A (en) * 1997-03-31 2000-05-30 Motorola, Inc. Process for forming a semiconductor device
TW539918B (en) 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US5837059A (en) * 1997-07-11 1998-11-17 Brooks Automation, Inc. Automatic positive pressure seal access door
US6152680A (en) * 1997-08-26 2000-11-28 Daitron, Inc. Wafer cassette rotation mechanism
US6106213A (en) * 1998-02-27 2000-08-22 Pri Automation, Inc. Automated door assembly for use in semiconductor wafer manufacturing
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6350321B1 (en) * 1998-12-08 2002-02-26 International Business Machines Corporation UHV horizontal hot wall cluster CVD/growth design
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6358128B1 (en) * 1999-03-05 2002-03-19 Ebara Corporation Polishing apparatus
US6042324A (en) * 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
TW527444B (en) * 1999-04-13 2003-04-11 Semitool Inc System for electrochemically processing a workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6763281B2 (en) 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
TW469483B (en) * 1999-04-19 2001-12-21 Applied Materials Inc Method and apparatus for aligning a cassette
US6612797B1 (en) * 1999-05-18 2003-09-02 Asyst Technologies, Inc. Cassette buffering within a minienvironment
WO2001010756A1 (en) * 1999-08-11 2001-02-15 Multilevel Metals, Inc. Load lock system for foups
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US8348583B2 (en) * 1999-10-19 2013-01-08 Rorze Corporation Container and loader for substrate
KR100744888B1 (ko) 1999-11-02 2007-08-01 동경 엘렉트론 주식회사 소재를 초임계 처리하기 위한 장치 및 방법
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
WO2001049894A1 (en) * 2000-01-03 2001-07-12 Skion Corporation Multi wafer introduction/single wafer conveyor mode processing system and method of processing wafers using the same
EP1126508A3 (en) * 2000-02-16 2005-03-30 Applied Materials, Inc. Processing apparatus having integrated pumping system
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
WO2001090434A2 (en) * 2000-05-24 2001-11-29 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
US6364593B1 (en) * 2000-06-06 2002-04-02 Brooks Automation Material transport system
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US7147424B2 (en) * 2000-07-07 2006-12-12 Applied Materials, Inc. Automatic door opener
JP2004524673A (ja) 2000-07-07 2004-08-12 セミトゥール・インコーポレイテッド 自動処理システム
EP1303870A2 (en) * 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6632068B2 (en) 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
US7001468B1 (en) 2002-02-15 2006-02-21 Tokyo Electron Limited Pressure energized pressure vessel opening and closing device and method of providing therefor
US7387868B2 (en) 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US20030194673A1 (en) * 2002-04-15 2003-10-16 Yoo Woo Sik Batch furnace isolation gate
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US7677859B2 (en) 2002-07-22 2010-03-16 Brooks Automation, Inc. Substrate loading and uploading station with buffer
US6869263B2 (en) 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
DE10238165B3 (de) * 2002-08-15 2004-03-25 Hans-Heinz Helge Langgestrecktes Rolladenprofil aus Kunststoff oder Metall für Schwimmbadabdeckungen
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US7225820B2 (en) * 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
US7270137B2 (en) 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7163380B2 (en) 2003-07-29 2007-01-16 Tokyo Electron Limited Control of fluid flow in the processing of an object with a fluid
US20050035514A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Vacuum chuck apparatus and method for holding a wafer during high pressure processing
US20050067002A1 (en) * 2003-09-25 2005-03-31 Supercritical Systems, Inc. Processing chamber including a circulation loop integrally formed in a chamber housing
US7186093B2 (en) * 2004-10-05 2007-03-06 Tokyo Electron Limited Method and apparatus for cooling motor bearings of a high pressure pump
US7500822B2 (en) * 2004-04-09 2009-03-10 Edwards Vacuum, Inc. Combined vacuum pump load-lock assembly
US7611319B2 (en) 2004-06-16 2009-11-03 Applied Materials, Inc. Methods and apparatus for identifying small lot size substrate carriers
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
TWI390102B (zh) * 2004-08-13 2013-03-21 Oc Oerlikon Balzers Ag 真空閘
US7307019B2 (en) * 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US7491036B2 (en) * 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102590A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
US20060102591A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method and system for treating a substrate using a supercritical fluid
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US20060180174A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
US7380984B2 (en) * 2005-03-28 2008-06-03 Tokyo Electron Limited Process flow thermocouple
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US7494107B2 (en) 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US20060255012A1 (en) * 2005-05-10 2006-11-16 Gunilla Jacobson Removal of particles from substrate surfaces using supercritical processing
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
WO2007061604A2 (en) * 2005-11-21 2007-05-31 Applied Materials, Inc. Apparatus and methods for a substrate carrier having an inflatable seal
KR100909494B1 (ko) * 2006-05-11 2009-07-27 도쿄엘렉트론가부시키가이샤 처리장치
US20120288355A1 (en) * 2011-05-11 2012-11-15 Ming-Teng Hsieh Method for storing wafers
CN103917466B (zh) * 2011-09-14 2019-01-04 布鲁克斯自动化公司 装载工位
DE102011113563A1 (de) * 2011-09-19 2013-03-21 Oerlikon Trading Ag, Trübbach Karussellschlitten für Vakuumbehandlungsanlage
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
US9530675B2 (en) 2012-09-19 2016-12-27 Stmicroelectronics Pte Ltd Wafer handling station including cassette members with lateral wafer confining brackets and associated methods
US10115616B2 (en) 2013-07-18 2018-10-30 Applied Materials, Inc. Carrier adapter insert apparatus and carrier adapter insert detection methods
CN105453246A (zh) 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
KR20180045316A (ko) * 2016-10-25 2018-05-04 삼성전자주식회사 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
CN108538692B (zh) * 2017-03-02 2020-06-19 北京北方华创微电子装备有限公司 升降门装置和晶片传输系统
DE102018102762B3 (de) 2018-02-07 2019-08-01 Uwe Beier Ladeschleuse für einen Substratbehälter, Vorrichtung mit einer Ladeschleuse und Verfahren zum Betrieb einer Ladeschleuse
KR20210118950A (ko) * 2019-02-19 2021-10-01 비코 인스트루먼츠 인코포레이티드 자동화된 뱃치 생산 박막 증착 시스템 및 그 사용 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0237742A (ja) * 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2224479A (en) * 1939-06-22 1940-12-10 Marion S Jones Ice handling apparatus
US3656454A (en) * 1970-11-23 1972-04-18 Air Reduction Vacuum coating apparatus
US3749383A (en) * 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
JPS5730341A (en) * 1980-07-30 1982-02-18 Anelva Corp Substrate processing device
EP0068060A1 (fr) * 1981-06-25 1983-01-05 S.A.R.L. Commodore International Dispositif de commande d'ouverture et de fermeture de portes étanches, notamment d'autoclaves
DE3224803A1 (de) * 1982-07-02 1984-01-05 Heckmann, Klaus, Prof. Dr., 8400 Regensburg Verfahren zur selektiven trennung des plutoniums von uran und anderen metallen
US4448149A (en) * 1982-10-12 1984-05-15 International Business Machines Corporation Apparatus for removably mounting and supplying mechanical and electrical energy to a vacuum chamber substrate holder
JPS59191342A (ja) * 1983-04-15 1984-10-30 Hitachi Ltd 半導体製造装置
JPS59200433A (ja) * 1983-04-28 1984-11-13 Hitachi Electronics Eng Co Ltd Cvd装置の装填方法
JPS60109218A (ja) * 1983-11-18 1985-06-14 Hitachi Ltd 分子線エピタキシャル成長装置
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4640223A (en) * 1984-07-24 1987-02-03 Dozier Alfred R Chemical vapor deposition reactor
US4636128A (en) * 1984-08-30 1987-01-13 Texas Instruments Incorporated Semiconductor slice cassette transport unit
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
FR2573908B1 (fr) * 1984-11-26 1986-12-26 Cogema Dispositif de transfert a double barriere etanche entre un conteneur et une enceinte de confinement
JPS61129881A (ja) 1984-11-28 1986-06-17 Sanyo Electric Co Ltd 光起電力装置
JPS61282224A (ja) * 1985-06-04 1986-12-12 Mitsubishi Electric Corp 搬送装置の出し入れ口装置
JPS61291032A (ja) * 1985-06-17 1986-12-20 Fujitsu Ltd 真空装置
JPS6215479A (ja) * 1985-07-15 1987-01-23 Komatsu Ltd オ−トトラツキング測距装置
US4765767A (en) * 1985-08-01 1988-08-23 Bic Corporation Snap-on clip for elongated instruments
US4776744A (en) * 1985-09-09 1988-10-11 Applied Materials, Inc. Systems and methods for wafer handling in semiconductor process equipment
US4966519A (en) * 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
JPS62139340A (ja) * 1985-12-13 1987-06-23 Hitachi Ltd ウエ−ハ搬送装置
JPS62154749A (ja) * 1985-12-27 1987-07-09 Hitachi Ltd 処理装置
US4764076A (en) * 1986-04-17 1988-08-16 Varian Associates, Inc. Valve incorporating wafer handling arm
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
EP0267233B1 (en) * 1986-04-28 1993-01-07 Varian Associates, Inc. Modular semiconductor wafer transport and processing system
JPS62282224A (ja) 1986-05-30 1987-12-08 Tokyo Keiki Co Ltd 回転検出装置
JPS62290616A (ja) * 1986-06-05 1987-12-17 Tokyo Electron Ltd ウエハ搬送機構
US4747577A (en) * 1986-07-23 1988-05-31 The Boc Group, Inc. Gate valve with magnetic closure for use with vacuum equipment
DE3637880C2 (de) * 1986-11-06 1994-09-01 Meissner & Wurst Transportierbares Behältnis zur Handhabung von Halbleiterelementen während ihrer Herstellung sowie Verfahren zur partikelfreien Übergabe von Produkten
FR2620049B2 (fr) * 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
US4721282A (en) * 1986-12-16 1988-01-26 Lam Research Corporation Vacuum chamber gate valve
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3704505A1 (de) * 1987-02-13 1988-08-25 Leybold Ag Einlegegeraet fuer vakuumanlagen
JPH01186621A (ja) * 1987-07-16 1989-07-26 Texas Instr Inc <Ti> 処理装置及び方法
US4891488A (en) * 1987-07-16 1990-01-02 Texas Instruments Incorporated Processing apparatus and method
DE3827343A1 (de) * 1988-08-12 1990-02-15 Leybold Ag Vorrichtung nach dem karussel-prinzip zum beschichten von substraten
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
US4832527A (en) * 1987-09-29 1989-05-23 Bachmann Company, Inc. Vertically reciprocable gates for the control of a liquid media
US4859137A (en) * 1987-10-21 1989-08-22 Asyst Technologies Apparatus for transporting a holder between a port opening of a standardized mechanical interface system and a loading and unloading station
JP2505011B2 (ja) * 1987-12-23 1996-06-05 松下電工株式会社 回路遮断器の電磁装置
JP2539472B2 (ja) 1987-12-23 1996-10-02 株式会社日立製作所 被処理物収納部支持機構
JPH01212755A (ja) * 1988-02-18 1989-08-25 Nissin Electric Co Ltd イオン処理装置
JP2628335B2 (ja) * 1988-03-31 1997-07-09 テル・バリアン株式会社 マルチチャンバ型cvd装置
JPH01253237A (ja) * 1988-03-31 1989-10-09 Anelva Corp 真空処理装置
ATE208961T1 (de) * 1988-05-24 2001-11-15 Unaxis Balzers Ag Vakuumanlage
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5002255A (en) * 1989-03-03 1991-03-26 Irie Koken Kabushiki Kaisha Non-sliding gate valve for high vacuum use
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US6106213A (en) * 1998-02-27 2000-08-22 Pri Automation, Inc. Automated door assembly for use in semiconductor wafer manufacturing
US7147424B2 (en) * 2000-07-07 2006-12-12 Applied Materials, Inc. Automatic door opener
US6799394B2 (en) * 2002-01-18 2004-10-05 Shen Tsung-Lin Apparatus for sealing a vacuum chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0237742A (ja) * 1988-07-28 1990-02-07 Nec Corp 半導体装置の製造装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110075079A (ko) * 2009-12-28 2011-07-06 주식회사 테스 기판 처리 장치
KR101659733B1 (ko) * 2009-12-28 2016-09-26 주식회사 테스 기판 처리 장치

Also Published As

Publication number Publication date
US6454508B2 (en) 2002-09-24
KR910019145A (ko) 1991-11-30
JP3088123B2 (ja) 2000-09-18
US20060245854A1 (en) 2006-11-02
DE69132457D1 (de) 2000-12-07
US5186594A (en) 1993-02-16
US6454519B1 (en) 2002-09-24
US20030002960A1 (en) 2003-01-02
EP0452939A1 (en) 1991-10-23
JPH04226048A (ja) 1992-08-14
EP0452939B1 (en) 2000-11-02
US6599076B2 (en) 2003-07-29
US20010014266A1 (en) 2001-08-16
DE69132457T2 (de) 2001-05-31
US5769588A (en) 1998-06-23
US20030002959A1 (en) 2003-01-02

Similar Documents

Publication Publication Date Title
KR100272890B1 (ko) 이중 카세트 로드 로크
US5215420A (en) Substrate handling and processing system
US5439547A (en) Semiconductor manufacturing apparatus with a spare vacuum chamber
EP1195795B1 (en) Vacuum apparatus and method
EP1048059B1 (en) Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
TW408357B (en) Automated wafer buffer for use with wafer processing equipment
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
CN108695207B (zh) 基片处理装置
US20060263187A1 (en) Method and apparatus for unloading substrate carriers from substrate carrier transport system
JP2003536247A (ja) 材料搬送システム
JP2013157644A (ja) ロットサイズ減少のためのバッファ付きローダ
US4498832A (en) Workpiece accumulating and transporting apparatus
KR100717990B1 (ko) 반도체 자재 처리를 위한 이송 시스템
JPH07254538A (ja) 加熱処理装置
JPH041989B2 (ko)
JPH05106039A (ja) 基板処理装置
JPH0650345U (ja) 多室式半導体処理装置
JP4539812B2 (ja) ロードロックチャンバー及び半導体製造装置
JPH0748364B2 (ja) ウエハの真空処理装置
JPS6094735A (ja) 基板縦型搬送装置
JPH0714646U (ja) 半導体製造装置
JPH0945748A (ja) マルチ・チャンバ型処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O132 Decision on opposition [patent]
O074 Maintenance of registration after opposition [patent]: final registration of opposition
FPAY Annual fee payment

Payment date: 20080704

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee