JPH1187712A - 半導体処理で使用される重水素物質 - Google Patents

半導体処理で使用される重水素物質

Info

Publication number
JPH1187712A
JPH1187712A JP10192725A JP19272598A JPH1187712A JP H1187712 A JPH1187712 A JP H1187712A JP 10192725 A JP10192725 A JP 10192725A JP 19272598 A JP19272598 A JP 19272598A JP H1187712 A JPH1187712 A JP H1187712A
Authority
JP
Japan
Prior art keywords
deuterium
silicon
film
hydrogen
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10192725A
Other languages
English (en)
Other versions
JP3373785B2 (ja
Inventor
William F Clark
ウィリアム・エフ・クラーク
Thomas G Ference
トーマス・ジィ・フェレンス
Terence B Hook
テレンス・ビィ・フック
Dale W Martin
デール・ダブリュ・マーティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH1187712A publication Critical patent/JPH1187712A/ja
Application granted granted Critical
Publication of JP3373785B2 publication Critical patent/JP3373785B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 重水素(D)を半導体素子のシリコン/二酸
化ケイ素間界面に導入する固有のアプローチを提供する
ことである。 【解決手段】 半導体素子の膜を形成する本方法は、膜
形成の間に重水素種を含む原料物質を提供する工程を含
み、半導体製造において使用される膜形成反応物質の水
素が、重水素(D)により置換され、膜の形成の間に、
重水素膜物質が原位置に生成される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般に、半導体素子
形成の分野に関し、特に、半導体素子処理における重水
素物質の使用に関する。
【0002】
【従来の技術】VLSI集積回路内で使用される金属酸
化膜半導体(MOS)トランジスタなどの電子素子は、
それらが小型化(縮尺)され得る度合いを制限する多数
の摩耗機構に遭遇する。これらの機構の1つは、いわゆ
るホット(エネルギ的)・エレクトロン効果である。
【0003】例えば、シリコン基板上に形成されたゲー
ト酸化物などの熱的に酸化されたシリコンでは、素子作
用によりシリコン基板内に生成される電子(または正
孔)がシリコンから逃れ、隣接するシリコン酸化物内に
注入されて、トラップ(捕獲)されることが可能であ
る。MOSFET素子のソース及びドレイン拡散の構造
の使用条件及び詳細に依存して、より高いまたは低いエ
ネルギの、より多くのまたはより少ない電子がシリコン
基板内に生成され、ゲート酸化物内に注入される。
【0004】VLSI素子設計は、(垂直及び水平の両
方向の)電界が増加する傾向にあり、このことはホット
・エレクトロン効果を悪化させる。とりわけホット・エ
レクトロン効果は、MOSFET素子の相互コンダクタ
ンスの低下の他に、しきい値電圧のゆっくりとした長期
間の変化を生じる。ホット・エレクトロン効果は更に、
バイポーラ・トランジスタの低レベル電流利得の公知の
劣化現象を生じ得、バイポーラ・トランジスタのエミッ
タ−ベース間接合が、なだれ降伏に晒される。
【0005】ホット・エレクトロンが、如何にシリコン
/シリコン酸化物間界面に損傷を来すかを説明する一般
に容認された理論は、ホット・エレクトロンがシリコン
表面上に存在するSi−H結合の一部を破壊することに
より、シリコン/シリコン酸化物間界面からの水素の脱
離を刺激し、その結果、界面トラップ密度の増加及び素
子性能の劣化が生じると説明する。水素は、水素環境内
で低温度で実施されるウエハの金属被覆後アニールなど
の半導体プロセスの結果として、素子内に導入された
後、界面に存在することになり、このことはシリコン/
シリコン酸化物間界面の結晶欠陥の不動態化により素子
機能を改善する。用語"不動態化(passivation)"は、
水素がシリコン/シリコン酸化物間界面において、ダン
グリング・ボンド(dangling bond)を満足することを
意味する。しかしながら、アニーリング・プロセスなど
の間に、シリコン/シリコン酸化物間界面に形成される
Si−H不動態化結合は、ホット・エレクトロン励起に
よる解離を受け易い。
【0006】最近、ホット・エレクトロン効果は、シリ
コン/二酸化ケイ素間界面における界面トラップの不動
態化に使用される水素をジュウテリウム(D)により置
換することにより、軽減されることが判明した(J.W.
Lydingらによる"Appl.Phys.Lett.68(18)"、29 Apr
il 1996、pp.2526-2528及びI.C.Kizilyalliらによ
る"IEEE Electron Device Letters"、vol.18、No.3、
March 1997、pp.81-83を参照)。水素元素は3つの公
知の同位体、すなわち普通の水素またはプロチウム
1H、重水素またはジュウテリウム2H、及びトリチウム
3Hを含む。Lydingら及びKizilyalliらは、ジュウテリ
ウム同位体が例えば温度400℃の重水素フォーミング
・ガス(D2/N2)内で実施される金属被覆後アニール
・プロセスの間に、シリコン/二酸化ケイ素間界面に蓄
積することを教示する。シリコン/二酸化ケイ素間界面
に形成される結果のシリコン−ジュウテリウム(Si−
D)結合は、Si−H結合よりもホット・エレクトロン
励起による解離に強いことが判明した。
【0007】しかしながら、本研究者は不動態化のため
にアニール・プロセスにより、シリコン/二酸化ケイ素
間界面に取り込まれるジュウテリウムが、半導体素子の
別の処理において受ける続く熱サイクルの結果、界面か
らドリフトして立ち去る傾向があることを確認した。こ
のことは、例えば約400℃の比較的適度な温度におい
てさえ当てはまる。特に本研究者は、2次イオン質量分
析(SIMS)データから、シリコン/二酸化ケイ素間
界面に取り込まれたジュウテリウムが、ウエハを意図的
な目的として、または膜付着などの異なるプロセスの付
随的効果として、効果的に"アニール"する類の続く処理
の間に界面から移動して立ち去ることを確認した。従っ
て、従来のジュウテリウム・アニールにより授けられる
あらゆる潜在的な性能の向上は、事実上、本研究者が確
認したことにもとづけば、極めて僅かなものであった。
本願の目的上、用語"アニール"及びその変形は、半導体
ウエハを少なくとも1度の熱サイクルに晒すことを意味
し、その間にウエハは加熱され、その後冷却される。
【0008】従って、従来技術においては、水素を含有
する反応物質及び環境に関わる半導体処理に関して、シ
リコン/二酸化ケイ素間界面の分裂を生じる傾向がある
という未解決の問題が残されている。
【0009】
【発明が解決しようとする課題】本発明の目的は、重水
素(D)を半導体素子のシリコン/二酸化ケイ素間界面
に導入する固有のアプローチを提供することである。
【0010】本発明の別の目的は、半導体素子内に1度
形成された重水素物質の重水素状態を保護及び保存する
技術を提供することにより、重水素物質が素子の任意の
続く熱サイクルを許容し、その後も存在し続けることを
可能にすることである。
【0011】本発明の特定の目的の1つは、金属被覆後
アニール処理により授けられた、前の重水素シリコン/
二酸化ケイ素間界面の重水素状態を保護及び保存する技
術を提供することにより、重水素物質が素子の続く熱サ
イクルに耐え得るようにすることである。
【0012】更に本発明の別の目的は、FET素子内の
ゲート酸化物の近くに配置される様々な半導体フィーチ
ャ内に導入され得る水素内容を置換することにより、水
素がこうした他の素子要素からシリコン/二酸化ケイ素
間界面に移動し、そこでホット・エレクトロン効果によ
る損傷を悪化させる機会を回避することである。
【0013】
【課題を解決するための手段】本発明の前述の及び他の
目的が、本発明により達成される。
【0014】本発明の1実施例では、半導体製造におい
て使用される膜形成反応物質の水素内容が、ジュウテリ
ウム(D)により置換され、膜の形成の間に、重水素膜
物質が原位置に生成される。1態様では、ゲート酸化物
が、ジュウテリウム・ベースの化学種を用いる発熱性湿
式酸化の固有技術により形成される。更にゲート酸化物
に加え、通常、水素ベースの反応物質により形成される
ゲート、ゲート側壁スペーサ、及び窒化物障壁膜などの
半導体素子の他の膜要素についても、水素に代わりジュ
ウテリウムが使用される。結果的に、シリコン/二酸化
ケイ素間界面を不動態化するジュウテリウムを置換する
ために使用可能な水素源が存在せず、続く処理の間に、
不動態化ジュウテリウムが熱的にトラップ解除されるな
らば、ジュウテリウムを必要に際してシリコン/二酸化
ケイ素間界面に供給するために使用可能なジュウテリウ
ムの大量の貯蔵が、素子自身内で使用可能になる。
【0015】本発明の別の実施例では、半導体素子のた
めの改善された金属被覆後アニール・プロセスが提供さ
れ、そこではシリコン/二酸化ケイ素間界面上でのジュ
ウテリウム・アニールの有益な効果が、効果的に"封じ
込められ(sealed in)"、別の処理から保護される。こ
の実施例では、ジュウテリウム・アニールが最初に実行
されて、ジュウテリウムが、その時点までに従来処理に
より形成されたトランジスタ素子のシリコン/二酸化ケ
イ素間界面に取り込まれ、次に素子上に高濃度ジュウテ
リウム貯蔵層を形成することにより素子が封じ込められ
る。更に任意的な工程において、ジェット蒸着(jet va
por)法で付着される窒化物、または窒素及びシランか
ら成る低水素窒化物などの拡散障壁膜が高濃度ジュウテ
リウム貯蔵層上に形成される。低水素密度を有する窒化
ジュウテリウム障壁層は、ジュウテリウムの有益な効果
を封じ込める支援をし、水素内での別の熱アニール及び
処理が、ジュウテリウムを水素と交換することなしに、
半導体素子の最終工程(BEOL:back-end-of-line)
処理において行われることを可能にする。
【0016】本発明の別の改善では、ジュウテリウム処
理の後、低温処理すなわち400℃以下の処理が採用さ
れ、ジュウテリウムをシリコン/二酸化ケイ素間界面に
保持する支援をする。このような熱的擾乱の回避は、水
素によるジュウテリウムの置換の発生を低減する。
【0017】本発明は、ウエハの"アニーリング"・プロ
セスにおいて使用される物質のための、重水素源を提供
することにより、アニールされた素子からのジュウテリ
ウムの損失を低減することを保証し、また膜内の水素貯
蔵が代わりにジュウテリウムにより占められるように保
証することにより、半導体素子のための総合処理環境を
より確固たるものにする。
【0018】水素を含有する反応物質及び環境に関わる
従来の半導体処理は、シリコン/二酸化ケイ素間界面の
分裂を生じる傾向があるのに対して、本発明は、代わり
にこうした界面を安定化する。すなわち、本発明に従い
形成される重水素素子膜は、ホット・エレクトロン効果
によるシリコン/二酸化ケイ素間界面における劣化に対
してより強い耐性を提供する。このことは半導体素子の
寿命に渡り、高い素子性能の改善された保存を提供し、
半導体素子がホット・エレクトロン効果による損傷問題
を経験すること無しに、高電流により高速に動作するこ
とを可能にする。すなわち、より大きな電流を本発明に
従う重水素膜を含む素子を通じて流すことが可能、また
は同一の電流において、シリコン/二酸化ケイ素間界面
の損傷が小さく維持される。またホット・エレクトロン
効果により要求される保護周波数帯または余裕を低減す
ることにより、製造コストが高速ソーティングにより、
大幅に低減され得る。
【0019】
【発明の実施の形態】本発明の第1の実施例では、半導
体素子の形成において、大量のジュウテリウムを有する
膜が最初に形成される。それにより次の2つの効果、す
なわち、1)界面を既に不動態化しているジュウテリウ
ムを置換する反応水素源が存在しない、及び2)ジュウ
テリウムの不動態化が、続く処理の間に熱的にトラップ
解除される場合、ジュウテリウムの大量の貯蔵がジュウ
テリウムをシリコン/二酸化ケイ素間界面に供給するた
めに使用可能であるが得られる。また、半導体素子内に
多くの膜を形成する処理温度は、十分に高いので(すな
わち400℃以上)、シリコン/二酸化ケイ素間界面を
不動態化解除し、ジュウテリウム/水素交換機構を生成
する。従って、物質付着の初期部分の間に処理環境内で
2を使用することは、処理温度まで加熱する間に、ジ
ュウテリウムを失う状態を不動態化する。
【0020】より詳細には、本発明の第1の実施例で
は、半導体形成において、膜形成反応物質及び種として
使用され、水素内容及び処理背景に影響する水素含有物
質が変更され、代わりに膜の形成の間にそれらの重水素
類似体が使用される。こうしたクラスの物質には、例え
ば湿式酸化系で使用される酸化ジュウテリウム(D
2O)、または化学蒸着(CVD)プロセスにより膜を
提供するために使用される重水素シラン(SiD4)、
ジクロロシラン(SiCl22)、及びアンモニア(N
3)が含まれる。これらの重水素物質はゲート酸化
物、ポリシリコン・ゲート、ゲート側壁スペーサ、窒化
物障壁及び酸化物不動態化膜などの要素の1回以上の付
着において使用される。この実施例の支配的な原理は、
ウエハを付着の副次的結果として"アニール"する水素含
有環境を提供する、または続く処理の間にゲート酸化物
内に押し込まれる水素の貯蔵を提供するかの、いずれか
の膜プロセスの識別である。適用可能なプロセスは、任
意の続いて形成される膜からゲート酸化物への水素拡散
を阻止する、重水素不動態化窒化物の形成以前に発生す
るプロセスとして定義されるが、酸化物不動態化膜も本
発明により考慮され得、その場合、窒化物障壁層が重水
素化されない。経済的または供給面の問題が本発明に従
い重水素化され得るこれらの素子層の数を制限する。す
なわち、ジュウテリウム及びジュウテリウム化合物は現
在高価であったり、可用性が制限され得る。理想的に
は、水素によりまたは水素の存在の下で形成される層数
が代わりに重水素化されて全体の効果を向上させるので
あるが、この時点で、ゲート酸化物及び窒化ケイ素障壁
層を重水素化することが、ホット・エレクトロン効果を
征服し、ジュウテリウム及び水素が素子内のそれらの元
の取り込み位置から移動することを阻止するために最も
重要と思われる。
【0021】図1に示されるMOSFET素子100を
参照すると、シリコン基板11及びソース/ドレイン領
域12及び13を有し、この実施例により解決され得る
膜は、ゲート酸化物14、ゲート・ポリシリコン15、
ゲート側壁スペーサ16、窒化ケイ素障壁層18、及び
付着される酸化物不動態層19(例えばSiO2、PS
G、BSG、BPSG)を含む。窒化ケイ素障壁層18
を形成する以前に、自己整合型ケイ化物層17がゲート
15及びソース/ドレイン領域12/13上に、従来の
方法により形成される。シリコン基板は好適には、pタ
イプまたはnタイプの単結晶シリコン物質であり、究極
の所望のタイプのFET動作に適切に井戸打込みが提供
される。従来の様々な分離処理及び井戸打込みが、素子
のために使用され得るが、これらの面については特に本
発明の一部を形成しないので、図1乃至図3には簡略化
のために示されていない。
【0022】本発明の重要な目的は、シリコン/二酸化
ケイ素間界面20において、仮にホット・エレクトロン
効果による損傷を阻止できなくても、それを多大に抑制
することである。
【0023】水素化合反応物質を使用する従来のシリコ
ン酸化系が、本発明において、例えば半導体基板上にゲ
ート酸化物14を生成するために、ジュウテリウムを取
り込む二酸化ケイ素(シリカ)膜を形成するために有用
である。水を使用する湿式酸化プロセス、及びシランを
使用するCVD系が、本発明に従い、シリコン上に重水
素二酸化ケイ素膜を提供するために適応され得る。
【0024】本発明のこの第1の実施例の1つの特定の
アプリケーションとして、"湿式酸化"プロセスが、半導
体基板上にゲート酸化物14を生成するために使用され
る。熱酸化物を形成するために、従来の湿式酸化プロセ
スにおいて使用される気体には、水、HCl、及びTC
Aなどが含まれる。本発明では、D2O、DCl及び重
水素TCAが、湿式酸化処理の間に使用されるそれぞれ
の水素類似体として代用される。
【0025】1つの好適な実施例では、ゲート酸化物を
形成するために、発熱性の水系が湿式酸化プロセスにお
いて使用される。この点に関して、酸素及びジュウテリ
ウムが他の従来の同時反応物質すなわち水素の代わり
に、例えば石英または純シリコンなどの拡散チューブに
直接供給される。ウエハは700℃乃至1000℃の温
度に維持され、気体が反応して酸化ジュウテリウム(D
2O)の蒸気、すなわち重水の蒸気を形成し、これが酸
化プロセスの水の源となる。例えば、流量約6sLm
(毎分当たりの標準リットル)のO2、及び流量約3.
6sLmのD2が、0%乃至9%のDCl当量と共に、
約30Å乃至200Åの厚さの酸化膜を形成するために
十分な期間、使用され得る。
【0026】湿式酸化プロセスの間のシリコン上でのゲ
ート酸化膜の成長の間、膜がシリコン基板上に形成され
ているとき、ジュウテリウムがゲート酸化物内に蓄積す
る。ゲート酸化物形成の間のジュウテリウムのこの原位
置取り込みは、確固たる二酸化ケイ素、及びホット・エ
レクトロン効果に対する強い耐性を示すシリコン/二酸
化ケイ素間界面を提供する。
【0027】ゲート酸化物または他の半導体層内での、
ホット・エレクトロン効果による早計な素子故障を阻止
するために必要とされるジュウテリウム取り込みのレベ
ルは、当業者により経験的に決定され得る。すなわち、
理想的には、半導体素子形成の間に使用されるあらゆる
水素化合反応種及び(または)系大気ガスとして、重水
素類似体を使用することが最も好ましいが、経済的制限
及び供給面での可用性の制限がこうした状況を容易にし
ない。従って、ここで述べられる独創的な目的を達成す
るために、十分な重水素反応物質を使用するように注意
が払われる限り、ジュウテリウム及び水素反応物質及び
気体の混合も考慮される。また、ゲート酸化物だけでは
なく、MOSFET素子内で見い出されるゲート側壁ス
ペーサ膜、ポリシリコン・ゲート、窒化ケイ素障壁(存
在する場合)、及び酸化物不動態化膜についても同様で
あり、これらも接触アニール、または550℃乃至60
0℃で実施されるライナ・アニールなどの最終工程アニ
ールの間に、下側の酸化物内に放出され得る多大な水素
部分を含み得る。従って、以下では、膜形成において使
用される従来の水素含有反応物質及び(または)希釈剤
の代わりに、ジュウテリウム類似体を使用することによ
り、有利に形成され得る他の膜について説明する。
【0028】例えば、ゲート・ポリシリコン15は、C
VD法により重水素状態において形成され、その際、従
来の水素反応物質が重水素類似体により置換される。時
に安全性の理由から、任意的にH2により薄められるS
iH4の分解による、LPCVDによるポリシリコン膜
の成長は公知である。しかしながら、本発明では、ゲー
ト・ポリシリコン15がLPCVDにより、シラン(S
iH4)の代わりにSiD4を使用することにより形成さ
れ、またD2が多結晶シリコン(ポリシリコン)を形成
するために使用されるH2希釈剤キャリア・ガスの代わ
りに使用される。こうしたCVD処理を使用するポリシ
リコン・ゲートの成長は、約550℃乃至650℃の系
温度、150mTorrの系圧力において、350sc
cmのSiD4及び50sccmのD2の種ガスを用いて
実施され、成長は約1000Å乃至4000Åの膜厚を
提供する。
【0029】ゲート15の側壁熱酸化物16は、HCl
の代わりにDClを、または従来のTCAの代わりに重
水素TCAを使用することにより形成され得る。例え
ば、側壁熱酸化物の成長は、650℃乃至900℃の系
温度、流量15sLmのO2、重水素TCAを用いて2
0℃乃至30℃のソース温度にて、流量0.1sccm
乃至1.2sccmのN2キャリア・ガス内でCVDに
より達成され、素子設計にもとづいて約60Å乃至30
00Åの膜厚を提供する。或いは、APCVD及びLP
CVD系において、O2またはN2Oなどの酸化剤の存在
の下でのシラン(SiH4)の従来の酸化作用が、シラ
ンの代わりにSiD4を使用するように変更され、重水
素側壁シリカ膜を成長させる。また重水素側壁シリカは
PECVDにより、SiD4/Q2、SiD4/CO2及び
SiD4/N2O混合物の反応により成長され得る。また
酸化物スペーサ16は、CVDまたはプラズマ加速CV
Dにより、重水素TEOSの分解により形成され得る。
【0030】重水素側壁窒化ケイ素スペーサ16は、C
VDプロセスにおいて、アンモニアをND3により、及
びシランをSiD4により置換することにより、形成さ
れ得る。窒化ケイ素はその化学量論形態において、Si
34により与えられる組成を有するが、業界では、付着
された窒化ケイ素膜内で化学量論からのかなりの逸脱が
しばしば経験されることが認識されており、この理由か
ら、これらは時に単に"SiN"膜と呼ばれる。
【0031】ソース/ドレイン領域12/13は、従来
のイオン打込み法により形成される。従来技術に従い、
上述の工程で形成された酸化物(または窒化物)スペー
サ層16が、ソース及びドレイン領域12/13の位置
において、非等方的に除去され、酸化物(または窒化
物)側壁16が、ポリシリコン・ゲート15上に取り残
される。次に、自己整合型ケイ化物層17が従来技術に
より、ポリシリコン・ゲート15及びソース/ドレイン
領域12/13上に形成される。原位置表面清浄に続
き、金属が付着され、ケイ化物が高速熱アニール(RT
A)などのアニーリングにより形成される。RTAの
間、使用される水素がジュウテリウムにより置換される
ことが好ましい。アニーリングの後、不反応の金属が選
択エッチングにより除去され、自己整合型ケイ化物17
がソース/ドレイン領域12/13及びゲート15上に
取り残される。自己整合型ケイ化物17のタイプは、必
ずしも制限されず、PtSi、Pd2Si、CoSi2
どの従来のケイ化物物質である。当業者であれば、電界
効果トランジスタ内に自己整合型ケイ化物を形成するた
めに使用されるこうした工程に精通しているであろうか
ら、ここではこれらについての詳細な説明は省略する。
【0032】この第1の実施例では、窒化ケイ素障壁層
18が任意的に重水素化され得る。例えば窒化物障壁層
18はCVDにより、アンモニアをND3で及びシラン
をSiD4で置換することにより形成される。重水素窒
化物障壁は、上側の層から素子10内への水素の続く拡
散を阻止する。窒化物障壁層18の成長は、約350℃
乃至500℃の系温度、5Torrの系圧力において流
量15sccmのND 3、流量60sccmのSiD4
及び流量4000sccmのN2を用いて実施され、約
700Å乃至1000Åの範囲の膜厚を提供する。
【0033】次に、酸化物不動態化膜19が素子上に形
成される。酸化物不動態化膜19は、添加されたまたは
不添加のシリコン酸化物である。例えば、酸化物不動態
化膜19は重水素TEOSの分解により形成され、重水
素二酸化ケイ素を形成する。或いは、酸化物不動態化膜
19は、ホスフィン、ジボランまたはアルシン添加物
(すなわち、P、BまたはAs水素化物)の存在の下
で、重水素TEOSの分解により形成され、例えば重水
素PSG、BPSG、またはAsSGを形成する。重水
素酸化物不動態層19の提供は、特に窒化ケイ素障壁層
を有さない技術において有用である。
【0034】酸化物不動態層19は、ポリシリコン・ゲ
ート15と標準的な最上部の金属被覆(図示せず)との
間に絶縁層を形成する。シリコン酸化物不動態化膜19
の成長は、約300乃至500℃の系温度にて、重水素
TEOSのアンプルを通じる流量560sccmのヘリ
ウムを用いて実施され、系圧力5乃至20Torrに
て、TEOS蒸気が流量800sccmのO2と結合さ
れ、約1μmの膜厚を提供する。
【0035】酸化物不動態化膜19はまた、ホスフィ
ン、ジボラン、またはアルシンを添加物として、上述の
同一の基本反応系内に含むことにより、重水素PSG、
BPSG、またはAsSGとして付着され得る。優れた
リフロー特性が必要とされる場合には、様々な種類の添
加物を含む酸化物不動態化膜19が好ましい。しかし、
そうでない場合には、ホスフィン、ジボラン、またはア
ルシン添加物自身が重水素化され(すなわち分子内で、
水素原子がジュウテリウムにより置換される)、結果の
形成物を洗練する。
【0036】酸化物不動態化膜19はまた、SiD4
びPH3(ホスフィン)とO2との同時酸化によっても形
成され得る。重水素BPSG膜はまたCVD法により、
SiD4、B26またはB26及びPH3またはPD3
2及びN2Oと一緒に、窒素キャリア・ガス内で同時酸
化することにより成長され得る。
【0037】本発明において使用される処理は、非重水
素反応物質が使用される場合に、環境内に存在する豊富
な量の水素の生成を回避する。こうした水素はゲート酸
化物内に拡散し、早期のアニールにおいてそこに残され
たジュウテリウムの一部または全部を置換する傾向があ
る。
【0038】本発明の第2の実施例では、ゲート酸化物
などの膜の形成の間に、それらを原位置において重水素
化する方法が提供される。代わりに、重水素化がアニー
ル後処理により実施され、次に素子がジュウテリウム貯
蔵または障壁層により封止される。この方法は、重水素
物質が元来水素化合物質よりも高価なため、製造コスト
を大幅に低減し得、この実施例は、重水素化学種の使用
を要求するプロセス工程の数を効果的に低減する。本発
明の第2の実施例は、以下で述べる2つの異なる変形を
有する。
【0039】図2に示される第1の変形では、基本概念
は各それぞれの膜に関し、標準的な水素化合反応物質を
用いて形成される膜を有する素子構造200で開始す
る。すなわち、ゲート酸化物24、ゲート25及びゲー
ト側壁スペーサ26が、従来の技術によりシリコン基板
21上に形成される。ソース/ドレイン領域22、23
は、従来のイオン打込み法により形成される。自己整合
型ケイ化物27が次にゲート25上、及びソース/ドレ
イン領域22/23に形成される。
【0040】次に、ジュウテリウム環境アニールが実施
され、前に形成されたゲート酸化物24、ゲート25及
びゲート側壁スペーサ26などを重水素化する。このジ
ュウテリウム・アニールは、D2環境以外は従来のアニ
ール・ファーネス機構内で、400℃乃至600℃で実
施される。ジュウテリウム・アニールはダングリング・
ボンドを満足するために、またシリコン/二酸化ケイ素
間界面20や、ゲート酸化物層24、ポリシリコン2
5、及びゲート側壁スペーサ26などの近傍の素子膜内
において、できるだけたくさんの水素を置換(交換)す
るために使用される。ウエハのこのアニールは、通常、
FEOLプロセス全体の終りに但し、ジュウテリウム貯
蔵/障壁層28がゲート25上に付着される以前に実施
される。続く処理工程において、重水素窒化物障壁層2
8が素子上に成長される。
【0041】これを達成するために、単一の重水素障壁
/貯蔵層28が、上述の第1の実施例の重水素窒化物障
壁層18の場合同様に形成される。層28は、前にジュ
ウテリウム・アニールにより重水素化された層上に、高
濃度ジュウテリウムの貯蔵を形成する。
【0042】第2の実施例の第2の変形では、図3に示
されるように、ジュウテリウム貯蔵層38aが、高濃度
ジュウテリウムを有する上述の重水素窒化物障壁層18
/28同様に形成され、低濃度の水素及びジュウテリウ
ムを有し、水素/ジュウテリウムの移動を阻止する上側
の別の拡散障壁層38bと一緒に使用される。図3で
は、更にシリコン基板31、ソース/ドレイン領域32
/33、ゲート酸化物34、ゲート・ポリシリコン3
5、ゲート側壁スペーサ36及びケイ化物37が示され
る。本発明のこの第2の実施例では、ゲート酸化物3
4、ゲート・ポリシリコン35、及びゲート側壁スペー
サ36が、重水素反応物質を用いること無しに、従来の
方法により付着される。
【0043】拡散障壁層38bは、X.W.Wangらによる
Japanese Society of Applied Physics、"Highly Relia
ble Silicon Nitride Films Made by Jet Vaper Deposi
tion"(Extended Abstracts of the 1994 Inter.Con
f.on Solid State Devices and Materials、August 23
-26、1994、Pacifico Yokohama、Japan、pp.856-858の
再版)で述べられる方法論などにより、ジェット蒸着法
(JVD)により付着される窒化物として形成される。
或いは拡散障壁層38bは、アンモニア及びシランの代
わりに窒素及びシランから成る低水素内容の窒化物とし
て形成され得る。
【0044】ジュウテリウム貯蔵膜38a及び拡散障壁
層38bの組み合わせは、素子内に存在する残りの水素
が取り込まれたジュウテリウムの有益な効果を有し、効
果的に封じ込め、水素内での別の熱アニール及び処理
が、素子300の最終工程処理において、ジュウテリウ
ムを水素により交換すること無く発生することを可能に
する効果を弱める。次に、不動態化酸化物39が、重水
素障壁膜38a及び拡散障壁膜39bの上に付着され
る。これは重水素化される必要はない。
【0045】本研究者は、SIMSにより従来の窒化物
障壁層の形成プロセスが、使用されるSiH4及びNH3
反応物質のせいで、水素の源であることを確信した。問
題は、窒化物プロセスの間にSiH4及びNH3を介して
導入される水素が、前の金属被覆後アニール・プロセス
の間に、シリコン/二酸化ケイ素間界面に蓄積するジュ
ウテリウムを水素により置換することである。それ故、
本実施例は、不動態化のために、金属被覆後ジュウテリ
ウム・アニールを達成するだけでなく、重水素反応物質
を用いることにより重水素窒化物障壁を形成し、そのア
ニールの利点を保存する。
【0046】上述の第1または第2の実施例のいずれか
に適用可能な本発明の更に別の改善では、シリコン/二
酸化ケイ素間界面に、ジュウテリウムを保持する支援を
するあらゆる全てのジュウテリウム取り込み工程の後
に、低温処理、すなわち400℃以下の処理が採用され
る。このような素子内の熱的擾乱の回避は、ジュウテリ
ウム置換の原因となる水素の発生を低減する。例えば、
本発明の第2の実施例に適用される場合、伴う拡散障壁
層無しに使用されるジュウテリウム貯蔵窒化物層28の
付着後、またはジュウテリウム貯蔵窒化物層38a及び
拡散障壁層38bの両方の付着後に実施されるアニール
が400℃以下の温度で実施される。400℃以下の温
度で実施されるこうしたアニールは、金属被覆後アニー
ルである。また、図3の層39などの酸化物不動態層上
で一般に実施される従来の高濃度化アニールが、この改
善では全体的に省略される。なぜなら、それらは従来、
400℃を越える温度で実施されねばならないからであ
る。
【0047】本発明は特に上述の例に限られるものでは
なく、特定の技術におけるプロセス統合の詳細に依存し
て、その原理を用いる多くの場合を網羅する。本発明
は、特に、別々のジュウテリウム・アニールの効果を保
存するために、或いは水素貯蔵の代わりにジュウテリウ
ム貯蔵を生成し、続く熱サイクルの後に、この効果を提
供するために、重水素物質が使用される任意の状況にお
いて実施され得る。
【0048】本発明はまた、TFT、ポリレジスタ及び
ポリエミッタ・バイポーラに対しても考慮される。最初
の2つのケースでは、ジュウテリウムは粒界を不動態化
し、ホット・エレクトロン応力に対するより大きな耐性
を提供する役割をする。後のケースでは、ジュウテリウ
ムがポリシリコンを通過し、バイポーラ接合内にドリフ
トして、そこでホウ素を不活性化する傾向は水素よりも
低い。また、逆バイアス電流によるエミッタ−ベース間
接合に渡る酸化物の劣化が抑制される。
【0049】本発明はその好適な実施例に関して述べら
れてきたが、当業者であれば、本発明がその趣旨及び範
囲内において変更を伴い実現され得ることが理解されよ
う。
【0050】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0051】(1)膜形成の間に、重水素種を含む原料
物質を提供する工程を含む、半導体素子のための重水素
膜を形成する方法。 (2)前記膜形成工程が付着である、前記(1)記載の
方法。 (3)前記付着工程が半導体処理のためのものである、
前記(2)記載の方法。 (4)前記付着工程が、半導体表面を不動態化するため
のジュウテリウムの貯蔵を提供する、前記(3)記載の
方法。 (5)前記付着工程が水素拡散に対する障壁を提供す
る、前記(2)記載の方法。 (6)前記付着工程が重水素窒化ケイ素を付着する、前
記(2)記載の方法。 (7)前記膜が発熱性湿式酸化によりシリコン基板上に
形成される二酸化ケイ素であり、前記重水素種が酸化ジ
ュウテリウムを含む、前記(1)記載の方法。 (8)前記膜がゲート酸化物、ポリシリコン・ゲート、
ゲート側壁スペーサ、窒化物障壁層、及びPSG層を含
むグループから選択される、前記(1)記載の方法。 (9)前記重水素膜がシリコン基板上に形成される、前
記(1)記載の方法。 (10)ホット・エレクトロン効果に対する向上された
耐性を有するMOSFET素子を形成する方法であっ
て、シリコン基板、該シリコン基板上の導電性ゲート、
及び前記シリコン基板と前記ゲート間に設けられるゲー
ト酸化物を含む中間半導体素子を提供するステップと、
前記半導体素子をジュウテリウムを含む環境内で、約4
00乃至600℃の範囲の温度においてアニールするス
テップと、アニールされた前記半導体素子上に、ジュウ
テリウムを含む貯蔵/障壁窒化物膜を形成するステップ
と、を含む、方法。 (11)前記貯蔵/障壁窒化物膜を形成する工程が、S
iD4及びND3を含む環境内で、前記FET素子上にプ
ラズマ加速化学蒸着を実施する工程を含む、前記(1
0)記載の方法。 (12)前記ジュウテリウム貯蔵/障壁窒化物膜上に、
水素及びジュウテリウム拡散障壁窒化物層を形成する工
程を含む、前記(10)記載の方法。 (13)前記水素及びジュウテリウム拡散障壁窒化物層
がジェット蒸着により形成される、前記(11)記載の
方法。 (14)半導体素子を形成する方法であって、シリコン
基板上の膜形成の間に、重水素種を含む原料物質を提供
する工程と、前記提供する工程の後に、400℃以下の
温度で実施される前記半導体素子を完成するのに十分な
処理工程を実施する工程と、を含む、方法。 (15)前記処理工程が少なくとも1つのアニーリング
・プロセスを含む、前記(14)記載の方法。 (16)前記処理工程が、ジュウテリウムを含む環境内
で実施される少なくとも1つのアニーリング・プロセス
を含む、前記(14)記載の方法。 (17)前記半導体素子がFET素子である、前記(1
4)記載の方法。
【図面の簡単な説明】
【図1】本発明に従い取り込まれる様々な重水素膜を有
するMOSFET素子の断面図である。
【図2】本発明の別の実施例に従い取り込まれる様々な
重水素膜を有するMOSFET素子の断面図である。
【図3】更に本発明の別の実施例に従い取り込まれる様
々な重水素膜を有するMOSFET素子の断面図であ
る。
【符号の説明】
11、21、31 シリコン基板 12、22、32 ソース領域 13、23、33 ドレイン領域 14、24、34 ゲート酸化物 15、25、35 ゲート・ポリシリコン 16、26、36 ゲート側壁スペーサ 17、27、37 自己整合型ケイ化物層 18 窒化物障壁層 19、29、39 酸化物不動態層 28 重水素窒化物障壁層 20 シリコン/二酸化ケイ素間界面 38a ジュウテリウム貯蔵膜 38b 拡散障壁膜
───────────────────────────────────────────────────── フロントページの続き (72)発明者 トーマス・ジィ・フェレンス アメリカ合衆国05452、バーモント州エセ ックス・ジャンクション、ナンバー・シィ 6、サシャ・レーン 38 (72)発明者 テレンス・ビィ・フック アメリカ合衆国05465、バーモント州ジェ リチョー・センター、ブラウン・サウス・ トレース・ロード (72)発明者 デール・ダブリュ・マーティン アメリカ合衆国05655、バーモント州ハイ ド・パーク、ルート 15

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】膜形成の間に、重水素種を含む原料物質を
    提供する工程を含む、半導体素子のための重水素膜を形
    成する方法。
  2. 【請求項2】前記膜形成工程が付着である、請求項1記
    載の方法。
  3. 【請求項3】前記付着工程が半導体処理のためのもので
    ある、請求項2記載の方法。
  4. 【請求項4】前記付着工程が、半導体表面を不動態化す
    るためのジュウテリウムの貯蔵を提供する、請求項3記
    載の方法。
  5. 【請求項5】前記付着工程が水素拡散に対する障壁を提
    供する、請求項2記載の方法。
  6. 【請求項6】前記付着工程が重水素窒化ケイ素を付着す
    る、請求項2記載の方法。
  7. 【請求項7】前記膜が発熱性湿式酸化によりシリコン基
    板上に形成される二酸化ケイ素であり、前記重水素種が
    酸化ジュウテリウムを含む、請求項1記載の方法。
  8. 【請求項8】前記膜がゲート酸化物、ポリシリコン・ゲ
    ート、ゲート側壁スペーサ、窒化物障壁層、及びPSG
    層を含むグループから選択される、請求項1記載の方
    法。
  9. 【請求項9】前記重水素膜がシリコン基板上に形成され
    る、請求項1記載の方法。
  10. 【請求項10】ホット・エレクトロン効果に対する向上
    された耐性を有するMOSFET素子を形成する方法で
    あって、 シリコン基板、該シリコン基板上の導電性ゲート、及び
    前記シリコン基板と前記ゲート間に設けられるゲート酸
    化物を含む中間半導体素子を提供するステップと、 前記半導体素子をジュウテリウムを含む環境内で、約4
    00乃至600℃の範囲の温度においてアニールするス
    テップと、 アニールされた前記半導体素子上に、ジュウテリウムを
    含む貯蔵/障壁窒化物膜を形成するステップと、 を含む、方法。
  11. 【請求項11】前記貯蔵/障壁窒化物膜を形成する工程
    が、SiD4及びND3を含む環境内で、前記FET素子
    上にプラズマ加速化学蒸着を実施する工程を含む、請求
    項10記載の方法。
  12. 【請求項12】前記ジュウテリウム貯蔵/障壁窒化物膜
    上に、水素及びジュウテリウム拡散障壁窒化物層を形成
    する工程を含む、請求項10記載の方法。
  13. 【請求項13】前記水素及びジュウテリウム拡散障壁窒
    化物層がジェット蒸着により形成される、請求項11記
    載の方法。
  14. 【請求項14】半導体素子を形成する方法であって、 シリコン基板上の膜形成の間に、重水素種を含む原料物
    質を提供する工程と、 前記提供する工程の後に、400℃以下の温度で実施さ
    れる前記半導体素子を完成するのに十分な処理工程を実
    施する工程と、 を含む、方法。
  15. 【請求項15】前記処理工程が少なくとも1つのアニー
    リング・プロセスを含む、請求項14記載の方法。
  16. 【請求項16】前記処理工程が、ジュウテリウムを含む
    環境内で実施される少なくとも1つのアニーリング・プ
    ロセスを含む、請求項14記載の方法。
  17. 【請求項17】前記半導体素子がFET素子である、請
    求項14記載の方法。
JP19272598A 1997-07-16 1998-07-08 ホット・エレクトロン効果に耐性の半導体構造体の製造方法 Expired - Fee Related JP3373785B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/895049 1997-07-16
US08/895,049 US5972765A (en) 1997-07-16 1997-07-16 Use of deuterated materials in semiconductor processing

Publications (2)

Publication Number Publication Date
JPH1187712A true JPH1187712A (ja) 1999-03-30
JP3373785B2 JP3373785B2 (ja) 2003-02-04

Family

ID=25403872

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19272598A Expired - Fee Related JP3373785B2 (ja) 1997-07-16 1998-07-08 ホット・エレクトロン効果に耐性の半導体構造体の製造方法

Country Status (7)

Country Link
US (1) US5972765A (ja)
EP (1) EP0892424A3 (ja)
JP (1) JP3373785B2 (ja)
KR (1) KR100277005B1 (ja)
MY (1) MY115263A (ja)
SG (1) SG74635A1 (ja)
TW (1) TW393678B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6661065B2 (en) 2000-09-01 2003-12-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and SOI substrate
JP2005203730A (ja) * 2003-12-18 2005-07-28 Seiko Epson Corp 絶縁膜、半導体素子、電子デバイスおよび電子機器
JP2007507111A (ja) * 2003-09-23 2007-03-22 マイクロン テクノロジー, インク. 高密度プラズマ化学蒸着を用いた間隙充填方法及び材料蒸着方法
JP2017063200A (ja) * 2016-10-04 2017-03-30 日本テキサス・インスツルメンツ株式会社 集積回路の水素パッシベーション

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5872387A (en) * 1996-01-16 1999-02-16 The Board Of Trustees Of The University Of Illinois Deuterium-treated semiconductor devices
US6143634A (en) * 1997-07-28 2000-11-07 Texas Instruments Incorporated Semiconductor process with deuterium predominance at high temperature
US6156653A (en) * 1997-11-03 2000-12-05 Zilog, Inc. Method of fabricating a MOS device
JP2000021892A (ja) * 1998-06-26 2000-01-21 Nec Corp 半導体装置の製造方法
US6208004B1 (en) * 1998-08-19 2001-03-27 Philips Semiconductor, Inc. Semiconductor device with high-temperature-stable gate electrode for sub-micron applications and fabrication thereof
US6187665B1 (en) * 1999-01-14 2001-02-13 Lucent Technologies, Inc. Process for deuterium passivation and hot carrier immunity
US6674151B1 (en) * 1999-01-14 2004-01-06 Agere Systems Inc. Deuterium passivated semiconductor device having enhanced immunity to hot carrier effects
US7125768B2 (en) * 1999-08-25 2006-10-24 Micron Technology, Inc. Method for reducing single bit data loss in a memory circuit
KR20010059856A (ko) * 1999-12-30 2001-07-06 박종섭 모스 트랜지스터의 제조 방법
US6521977B1 (en) * 2000-01-21 2003-02-18 International Business Machines Corporation Deuterium reservoirs and ingress paths
US6274490B1 (en) * 2000-03-08 2001-08-14 Lucent Technologies Inc. Method of manufacturing semiconductor devices having high pressure anneal
US6559007B1 (en) * 2000-04-06 2003-05-06 Micron Technology, Inc. Method for forming flash memory device having a tunnel dielectric comprising nitrided oxide
WO2001094662A1 (fr) * 2000-06-07 2001-12-13 Commissariat A L'energie Atomique Procede de preparation d'un revetement sur un substrat par le procede ald utilisant un reactant deutere
FR2809973B1 (fr) * 2000-06-07 2003-09-05 Commissariat Energie Atomique Procede de preparation d'un revetement comprenant au moins une couche d'oxyde de metal deutere ou de nitrure de metal isolant deutere, sur un substrat comprenant un silicium
US6797644B2 (en) * 2000-08-01 2004-09-28 Texas Instruments Incorporated Method to reduce charge interface traps and channel hot carrier degradation
US6544908B1 (en) * 2000-08-30 2003-04-08 Micron Technology, Inc. Ammonia gas passivation on nitride encapsulated devices
US6436799B1 (en) 2000-09-26 2002-08-20 Cypress Semiconductor, Corporation Process for annealing semiconductors and/or integrated circuits
WO2002035265A2 (en) * 2000-10-20 2002-05-02 Corning Incorporated Using deuterated source gases to fabricate low loss germanium-doped silicon oxy nitride (gestion-sion)
KR100474190B1 (ko) * 2000-12-19 2005-03-08 주식회사 하이닉스반도체 반도체 소자의 열처리 방법
JP2002270833A (ja) * 2001-03-14 2002-09-20 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP4091265B2 (ja) * 2001-03-30 2008-05-28 株式会社東芝 半導体装置及びその製造方法
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US6614977B2 (en) 2001-07-12 2003-09-02 Little Optics, Inc. Use of deuterated gases for the vapor deposition of thin films for low-loss optical devices and waveguides
US7365403B1 (en) * 2002-02-13 2008-04-29 Cypress Semiconductor Corp. Semiconductor topography including a thin oxide-nitride stack and method for making the same
US6677213B1 (en) * 2002-03-08 2004-01-13 Cypress Semiconductor Corp. SONOS structure including a deuterated oxide-silicon interface and method for making the same
KR100444492B1 (ko) * 2002-05-16 2004-08-16 주식회사 하이닉스반도체 반도체소자의 제조 방법
US20040007733A1 (en) * 2002-06-26 2004-01-15 Macronix International Co., Ltd. Floating gate memory cell and forming method
US6969618B2 (en) * 2002-08-23 2005-11-29 Micron Technology, Inc. SOI device having increased reliability and reduced free floating body effects
KR100438674B1 (ko) * 2002-10-31 2004-07-03 주식회사 하이닉스반도체 선택 산화법을 이용한 금속 게이트전극 구조의 반도체소자 제조 방법
US6943126B1 (en) 2002-12-06 2005-09-13 Cypress Semiconductor Corporation Deuterium incorporated nitride
US6861320B1 (en) * 2003-04-04 2005-03-01 Silicon Wafer Technologies, Inc. Method of making starting material for chip fabrication comprising a buried silicon nitride layer
US6881636B2 (en) * 2003-07-03 2005-04-19 Micron Technology, Inc. Methods of forming deuterated silicon nitride-containing materials
US6872972B2 (en) * 2003-07-16 2005-03-29 Macronix International Co., Ltd. Method for forming silicon film with changing grain size by thermal process
JP4458527B2 (ja) 2003-11-20 2010-04-28 セイコーエプソン株式会社 ゲート絶縁膜、半導体素子、電子デバイスおよび電子機器
CN100464427C (zh) * 2003-12-18 2009-02-25 精工爱普生株式会社 评估栅极绝缘膜的特性的方法
US7087507B2 (en) * 2004-05-17 2006-08-08 Pdf Solutions, Inc. Implantation of deuterium in MOS and DRAM devices
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US8035142B2 (en) * 2004-07-08 2011-10-11 Micron Technology, Inc. Deuterated structures for image sensors and methods for forming the same
JP4225249B2 (ja) * 2004-07-21 2009-02-18 セイコーエプソン株式会社 絶縁膜の評価方法
US7541280B2 (en) * 2004-08-13 2009-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of foming a micromechanical structure
US7375027B2 (en) 2004-10-12 2008-05-20 Promos Technologies Inc. Method of providing contact via to a surface
US7060594B2 (en) * 2004-10-19 2006-06-13 Macronix International Co., Ltd. Memory device and method of manufacturing including deuterated oxynitride charge trapping structure
KR100597649B1 (ko) * 2004-11-26 2006-07-05 삼성전자주식회사 베리어 메탈을 포함하는 반도체 디바이스의 제조방법 및그 구조
KR20060068848A (ko) * 2004-12-17 2006-06-21 삼성전자주식회사 중수소 가스를 사용하는 반도체 소자의 게이트 산화막형성방법
US20060189167A1 (en) * 2005-02-18 2006-08-24 Hsiang-Ying Wang Method for fabricating silicon nitride film
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US20080157159A1 (en) * 2006-12-28 2008-07-03 International Business Machines Corporation Highly tunable metal-on-semiconductor varactor
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8614124B2 (en) * 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
US8067284B1 (en) 2007-05-25 2011-11-29 Cypress Semiconductor Corporation Oxynitride bilayer formed using a precursor inducing a high charge trap density in a top layer of the bilayer
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9018693B2 (en) 2007-07-20 2015-04-28 Cypress Semiconductor Corporation Deuterated film encapsulation of nonvolatile charge trap memory device
US8536640B2 (en) 2007-07-20 2013-09-17 Cypress Semiconductor Corporation Deuterated film encapsulation of nonvolatile charge trap memory device
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US8088683B2 (en) * 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
US8569149B2 (en) * 2010-05-06 2013-10-29 Micron Technology, Inc. Method of treating a semiconductor device
US9250178B2 (en) * 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
JP2016058601A (ja) 2014-09-11 2016-04-21 株式会社東芝 半導体装置
CN104362086A (zh) * 2014-11-07 2015-02-18 上海华力微电子有限公司 自对准金属硅化物的形成方法
CN104319236A (zh) * 2014-11-07 2015-01-28 上海华力微电子有限公司 自对准金属硅化物的形成方法
US10679847B2 (en) 2018-03-01 2020-06-09 International Business Machines Corporation Self-aligned spacerless thin film transistor
CN109300782A (zh) * 2018-09-30 2019-02-01 上海华力集成电路制造有限公司 半导体器件的制造方法
TW202104644A (zh) 2019-06-17 2021-02-01 美商應用材料股份有限公司 含重氫之膜
KR20220023613A (ko) 2020-08-21 2022-03-02 에스케이하이닉스 주식회사 반도체 장치 및 반도체 장치의 제조 방법
US20220375754A1 (en) * 2021-05-18 2022-11-24 U.S. Army DEVCOM, Army Research Laboratory Hydrogen-passivated topological materials, devices, and methods

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08507175A (ja) * 1993-02-19 1996-07-30 ナショナル・セミコンダクター・コーポレイション 重水素原子を有する半導体デバイス
US5382533A (en) * 1993-06-18 1995-01-17 Micron Semiconductor, Inc. Method of manufacturing small geometry MOS field-effect transistors having improved barrier layer to hot electron injection
DE19581590T1 (de) * 1994-03-25 1997-04-17 Amoco Enron Solar Erhöhung eines Stabilitätsverhaltens von Vorrichtungen auf der Grundlage von amorphem Silizium, die durch Plasmaablagerung unter hochgradiger Wasserstoffverdünnung bei niedrigerer Temperatur hergestellt werden
US5872387A (en) * 1996-01-16 1999-02-16 The Board Of Trustees Of The University Of Illinois Deuterium-treated semiconductor devices
US5711998A (en) * 1996-05-31 1998-01-27 Lam Research Corporation Method of polycrystalline silicon hydrogenation

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6661065B2 (en) 2000-09-01 2003-12-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and SOI substrate
JP2007507111A (ja) * 2003-09-23 2007-03-22 マイクロン テクノロジー, インク. 高密度プラズマ化学蒸着を用いた間隙充填方法及び材料蒸着方法
JP2005203730A (ja) * 2003-12-18 2005-07-28 Seiko Epson Corp 絶縁膜、半導体素子、電子デバイスおよび電子機器
US7696047B2 (en) 2003-12-18 2010-04-13 Seiko Epson Corporation Method for evaluating a gate insulation film characteristic for use in a semiconductor device
JP2017063200A (ja) * 2016-10-04 2017-03-30 日本テキサス・インスツルメンツ株式会社 集積回路の水素パッシベーション

Also Published As

Publication number Publication date
US5972765A (en) 1999-10-26
MY115263A (en) 2003-04-30
KR19990013424A (ko) 1999-02-25
EP0892424A3 (en) 2004-11-17
EP0892424A2 (en) 1999-01-20
KR100277005B1 (ko) 2001-03-02
SG74635A1 (en) 2000-08-22
TW393678B (en) 2000-06-11
JP3373785B2 (ja) 2003-02-04

Similar Documents

Publication Publication Date Title
JP3373785B2 (ja) ホット・エレクトロン効果に耐性の半導体構造体の製造方法
JP6873890B2 (ja) Оnoスタックの形成方法
CN100524630C (zh) 用于半导体制造的栅极掺杂物激活方法
US5512519A (en) Method of forming a silicon insulating layer in a semiconductor device
US5139971A (en) Anneal to decrease moisture absorbance of intermetal dielectrics
US20070072381A1 (en) Method for fabricating a semiconductor device including the use of a compound containing silicon and nitrogen to form an insulation film of SiN, SiCN or SiOCN
CN101548385A (zh) 非易失性电荷俘获存储器件的单晶硅制造工艺
US10068983B2 (en) High-K metal gate
US20040063260A1 (en) Sidewall processes using alkylsilane precursors for MOS transistor fabrication
JP2002510438A (ja) 複合si/sigeゲートを持つ半導体装置における相互拡散の制限方法
JPS63132434A (ja) 半導体装置の製法
JP4563016B2 (ja) シリコン基板の複合面に酸化膜を形成する方法
JP2001284468A (ja) 半導体装置およびその製造方法
JPH0677478A (ja) 半導体装置及びその製造方法
JP3443909B2 (ja) 半導体膜形成方法、半導体装置の製造方法及び半導体装置
KR20060033781A (ko) 집접 회로 기술에 있어서의 극도로 균일한 실리사이드
JP2003264285A (ja) 半導体装置およびその製造方法
JPS603159A (ja) 不揮発性記憶装置の製造方法
JPH11261065A (ja) シリコンゲートfetの製造方法
KR100920038B1 (ko) 반도체 소자의 게이트 및 그의 형성방법
JPH1197439A (ja) 半導体装置及びその製造方法
JP2003178990A (ja) 基板熱処理方法、半導体装置の製造方法、化学気相堆積方法及ディスプレイ
JPH02103965A (ja) 半導体記憶装置の製造方法
US20080242114A1 (en) Thermal anneal method for a high-k dielectric
KR20020009515A (ko) 고온 캐리어의 노화를 완화시키기 위한 저온 방법

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081122

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081122

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091122

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091122

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees