KR19990013424A - 반도체 디바이스용 중수소 함유 막 형성 방법, 금속 산화막 반도체전계 효과 트랜지스터 디바이스 제조 방법과 반도체 디바이스형성 방법 - Google Patents

반도체 디바이스용 중수소 함유 막 형성 방법, 금속 산화막 반도체전계 효과 트랜지스터 디바이스 제조 방법과 반도체 디바이스형성 방법 Download PDF

Info

Publication number
KR19990013424A
KR19990013424A KR1019980022437A KR19980022437A KR19990013424A KR 19990013424 A KR19990013424 A KR 19990013424A KR 1019980022437 A KR1019980022437 A KR 1019980022437A KR 19980022437 A KR19980022437 A KR 19980022437A KR 19990013424 A KR19990013424 A KR 19990013424A
Authority
KR
South Korea
Prior art keywords
deuterium
silicon
film
semiconductor device
hydrogen
Prior art date
Application number
KR1019980022437A
Other languages
English (en)
Other versions
KR100277005B1 (ko
Inventor
클라크윌리암에프
페렌스토마스지
후크테렌스비
마틴데일더블유
Original Assignee
포만제프리엘
인터내셔널비지네스머신즈코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만제프리엘, 인터내셔널비지네스머신즈코포레이션 filed Critical 포만제프리엘
Publication of KR19990013424A publication Critical patent/KR19990013424A/ko
Application granted granted Critical
Publication of KR100277005B1 publication Critical patent/KR100277005B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • H01L21/203
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

막을 형성하는 동안 듀테륨화 종을 포함하는 소스 물질이 제공되는 반도체 디바이스용 막 형성 방법이 제공된다.

Description

반도체 디바이스용 중수소 함유 막 형성 방법, 금속 산화막 반도체전계 효과 트랜지스터 디바이스 제조 방법과 반도체 디바이스형성 방법
본 발명은 전반적으로 반도체 디바이스 제조 분야에 관한 것으로서 특히, 반도체 디바이스 프로세싱에서 듀테륨화 물질(deuterated materials)의 사용에 관한 것이다.
VLSI 집적 회로에서 이용된 금속 산화물 반도체(MOS) 트랜지스터와 같은 전자 디바이스는 이러한 디바이스가 소형화(스케일)될 수 있는 정도를 제한하는 다수의 마모 메커니즘(wear out mechanism)을 경험한다. 이러한 메커니즘 가운데 하나가 소위, 열(hot)(활동적(energetic)) 전자 효과이다.
예를 들면, 실리콘 기판 위에 형성된 게이트 산화물과 같은 열 산화 실리콘에서, 디바이스 작용에 의해 실리콘 기판상에 발생된 전자(또는 호울(hole))는 실리콘에서 이탈하여, 인접 실리콘 산화물로 주입되어 트랩될 수 있다. MOSFET 디바이스의 소스와 드레인 확산 구조의 이용 조건과 세부 사항에 따라, 실리콘 기판에서 발생되어 게이트 산화물로 주입되는 전자가 더 많거나 적을 수 있고, 그 에너지도 더 크거나 작을 수 있을 것이다.
VLSI 디바이스 디자인의 경향은 전계(수직 및 수평 모두)를 증가시키는 쪽으로 가고 있는데, 이는 열전자 효과를 더욱 악화시킨다. 무엇보다도, 열전자 효과로 인하여 MOSFET 디바이스의 트랜스 컨덕턴스가 감소할 뿐만 아니라, 임계 전압이 장시간에 걸쳐 느리게 변동한다. 열전자 효과는 또한, 에미터-베이스 접합이 애벌란쉬 항복(avalanche breakdown)되기 쉬운 바이폴라 트랜지스터의 저레벨 전류 이득에서 기존의 열화 현상을 일으킬 수 있다.
열전자가 실리콘/실리콘 산화물 계면에 대해 어떻게 손상을 일으키는가에 대해 인정된 이론은, 열전자가 실리콘 표면에 있는 Si-H 결합의 일부를 파괴함으로써 실리콘/실리콘 산화물 계면으로부터 수소의 이탈을 촉진하므로, 계면 트랩(trap) 밀도가 증가하고 디바이스 성능이 저하된다는 것이다. 수소는 수소 환경에서 저온으로 행해지는 웨이퍼의 금속화 공정 이후의 어닐링(postmetallization anneals)과 같은 반도체 공정의 결과로서 디바이스에 들어온 후 계면에 존재하며, 이러한 어닐링은 실리콘/실리콘 산화물 계면에서 결정 결함을 패시베이션(passivation)함으로써 디바이스 기능을 향상시킨다. 패시베이션이라는 용어는 수소가 실리콘/실리콘 산화물 계면에서 댕글링 결합(dangling bonds)을 채운다는 것을 의미한다. 그러나, 이러한 어닐링 공정 동안 실리콘/실리콘 산화물 계면에서 형성된 Si-H 패시베이션 결합은 열전자 여기(hot electron excitation)에 의해 해리(dissociation)되기 쉽다.
최근에 알려진 바에 의하면, 열전자 효과는 실리콘/실리콘 이산화물 계면에서 계면 트랩의 패시베이션에서 이용된 수소를 듀테륨(deuterium)(D)으로 교체함으로써 완화될 수 있다고 한다. (J.W. Lyding et al.,Appl. Phys. Lett.68 (18), 29 April 1996, pp. 2526-2528; and I.C. Kizilyalli et. al.,IEEE Electron Device Letters, vol. 18, No. 3, March 1997, pp. 81-83.) 수소 원소는 알려져 있는 3개의 동위 원소―즉, 보통 수소 즉, 프로튬(protium) 1 1H , 중수소 즉, 듀테륨 1 2H , 트리튬(tritium) 1 3H ―를 구비한다. 리딩 등과 키지리알리 등은 400℃의 온도에서 듀테륨화 형성 기체 (D2/N2)내에서 행해지는 금속 공정후 어닐링 공정 동안 실리콘/실리콘 이산화물 계면에 듀테륨 동위 원소가 축적된다고 개시하고 있다. 결국, 실리콘/실리콘 이산화물 계면에 형성된 실리콘-듀테륨 (Si-D) 결합은 Si-H 결합보다 열전자 여기 스트레스에 의한 해리에 더 강하다는 것을 알게 되었다.
그러나, 어닐링 공정에 의한 패시베이션 동안 실리콘/실리콘 이산화물 계면에 혼입된 듀테륨이, 가령 약 400℃의 비교적 적절한 온도만이 수반되는 반도체 디바이스에 대한 추가적인 처리 과정에서 초래된 후속 열 사이클링의 결과로서, 계면으로부터 이탈하여 드리프트하는 경향이 있는 것으로 본 연구자는 결론지었다. 특히, 실리콘/실리콘 이산화물 계면에 혼입된 듀테륨이, 의도적으로 또는 가령 막 침착과 같은 다른 공정의 부수적인 효과로서 웨이퍼를 효과적으로 어닐링하는 것과 같은 종류의 후속 처리 과정 동안, 계면으로부터 이탈하여 이동할 수 있고 이동하는 것으로 본 연구자는 SIMS(secondry-ion-mass spectroscopy) 데이터를 이용하여 확인하였다. 따라서, 종래 기술의 듀테륨 어닐링에 의해 부여된 어떠한 잠재적 성능 향상도 본 연구자가 결론지은 것에 기초해 볼 때 본질적으로 사라져 버리는 것이었다. 본 출원의 경우, 용어 어닐링과 그의 변형된 용어는 반도체 웨이퍼를 적어도 하나의 열적 사이클 동안 가열하고 그후 냉각시킴을 의미한다.
따라서, 실리콘/실리콘 이산화물 계면 파열을 유발시키는 경향이 있는 수소 함유 반응물과 환경을 수반하는 반도체 프로세싱에 관한 문제점들이 종래 기술에서 해결되지 않은채로 남게 되었다.
본 발명의 목적은 반도체 디바이스의 실리콘/실리콘 이산화물 계면에 듀테륨을 혼입하기 위한 독특한 접근법을 제공하는 것이다.
본 발명의 또다른 목적은 일단 반도체 디바이스내에 형성된 듀테륨화 물질의 듀테륨화 상태를 보호하고 유지하여 듀테륨화 물질이 디바이스에 대한 임의의 후속 열 사이클링에 대해서도 더 잘 견디고 잔존할 수 있게 하는 기술을 제공하는 것이다.
본 발명의 하나의 구체적 목적은 금속 공정후 어닐링 공정에 의해 형성된, 이전에 듀테륨화된 실리콘/실리콘 이산화물 계면의 듀테륨화 상태를 보호하고 유지하여 듀테륨화 물질이 디바이스에 대한 후속 열 사이클링을 견딜 수 있게 하는 기술을 제공하는 것이다.
본 발명의 또다른 목적은 만약 존재할 경우, FET 디바이스에서 게이트 산화물 근방에 있는 여러 반도체 특징(features)내로 유입된 수소 함유를 교체함으로써, 수소가 이러한 다른 디바이스 엘리먼트로부터 실리콘/실리콘 산화물 계면으로 이동하여 열전자 효과로 인한 손상을 악화시킬 수 있는 기회를 회피하는데 있다.
본 발명의 상기 목적 및 다른 목적은 본 발명에 의해 달성된다.
본 발명의 일실시예에서, 반도체 제조에서 이용된 막 형성용 반응물의 함유 수소를 듀테륨으로 교체하여, 막을 형성하는 동안 인시튜 듀테륨화 막 물질을 생성한다. 일구현예에서, 게이트 산화물은 듀테륨 기반의 화학 종(deuterium-based chemical species)을 이용한 발열 습식 산화(pyrogenic wet oxidation)라는 독특한 기술에 의해 형성된다. 게다가, 그렇지 않으면 수소 기반 반응물로 형성되었을 게이트 산화물 외에도, 게이트, 게이트 측벽 스페이서, 질화 장벽 막 등과 같은 반도체 디바이스의 다른 막 엘리먼트도 또한 수소 대신 듀테륨으로 교체된다. 결론적으로, 실리콘/실리콘 이산화물 계면을 패시베이션하는 듀테륨을 대체하는데 이용할 수 있는 수소의 공급원(source)은 없으며, 디바이스 자체내에 대규모의 듀테륨 저장소를 이용할 수 있게 하여, 후속 처리 기간동안 패시베이션용 듀테륨이 열로 디트랩될(detrapped) 경우 필요에 따라 듀테륨을 실리콘/실리콘 이산화물 계면에 공급한다.
본 발명의 다른 실시예에서, 듀테륨 어닐링이 실리콘/실리콘 이산화물 계면에 미치는 유익한 효과를 효과적으로 봉인(sealed in)하여 추가적인 처리로부터 이를 보호하는 반도체 디바이스에 대한 개선된 금속 공정후 어닐링 공정이 제공된다. 본 실시예에서, 먼저 듀테륨 어닐링을 수행하여, 이제까지 기존의 프로세싱에 의해 형성된 트랜지스터 디바이스의 실리콘/실리콘 이산화물 계면에 듀테륨을 결합시키고, 그 다음에 고 농도 듀테륨 저장소층을 형성함으로써 이 다바이스를 봉인한다(sealed). 이후의 선택적 단계에서, 질소와 실란으로 이루어진 분사식 기상 침착 질화물(jet vaper deposited nitride) 또는 저 수소 질화물과 같은 확산 장벽 막이 고 농도 듀테륨 저장소층 위에 형성된다. 저 수소 밀도를 갖는 듀테륨 질화물 장벽 층은 듀테륨의 유익한 효과를 봉인하는데 도움이 되며, 듀테륨을 수소와 교체하지 않고서 반도체 디바이스의 BEOL(back-end-of-line) 프로세싱에서, 수소하의 추가적인 열적 어닐링 및 프로세싱이 발생할 수 있게 한다.
본 발명의 또다른 개선예에서, 저온 처리 즉, 400℃ 또는 그 이하의 온도에서의 프로세싱을 듀테륨 프로세싱 후에 사용하여 실리콘/실리콘 이산화물 계면에서의 듀테륨의 보존을 돕는다. 이러한 방식으로 열적 장애를 피함으로써 수소를 듀테륨으로 교체하는 발생률을 줄인다.
본 발명에서는, 웨이퍼에 대한 어닐링을 초래하는 공정에서 이용된 물질에 듀테륨화 소스를 제공하여, 어닐링된 디바이스로부터 듀테륨이 더 적게 손실되게 하고 또한 막내의 어떠한 수소 저장소도 듀테륨이 대신 차지하도록 보장함으로써, 반도체 디바이스에 대한 전체적인 처리 환경이 보다 강인해진다.
수소 함유 반응물과 환경을 수반하는 기존의 반도체 프로세싱이 실리콘/실리콘 이산화물 계면 파열을 유발하는 경향이 있는 반면, 본 발명은 그 대신 이러한 계면을 안정화시킨다. 즉, 본 발명에 따라 만들어진 듀테륨화 디바이스 막은 열전자 효과로 인한 실리콘/실리콘 산화물 계면에서의 열화를 보다 더 방지한다. 이에 의하면, 반도체 디바이스의 수명 동안 고 디바이스 성능의 보유력이 개선되며, 열전자 효과의 손상 문제를 경험하지 않고서 반도체 디바이스가 더 높은 전류를 가지고서 더 높은 속도로 작동할 수 있다. 즉, 더 많은 전류가 본 발명에 따른 듀테륨화 막을 포함하는 디바이스를 통하여 흐를 수 있거나 또는, 이와 달리 동일한 전류하에 입게되는 실리콘/실리콘 산화물 계면 손상은 보다 적게 된다. 또한, 열전자 효과에 의해 요구되는 보호 주파수대 또는 여유를 감소시킴으로써, 보다 고속의 소팅(sorting)에 의해 제조 비용이 상당히 감소될 수 있다.
도 1은 본 발명에 따라 MOSFET 디바이스에 혼입된(incorporated) 여러 듀테륨화 막(deuterated films)을 구비하는 MOSFET 디바이스에 대한 단면도.
도 2는 본 발명의 또다른 실시예에 따라 MOSFET 디바이스에 혼입된 여러 듀테륨화 막을 구비하는 MOSFET 디바이스에 대한 단면도.
도 3은 본 발명의 또다른 실시예에 따라 MOSFET 디바이스에 혼입된 여러 듀테륨화 막을 구비하는 MOSFET 디바이스에 대한 단면도.
도면의 주요 부분에 대한 부호의 설명
11 : 실리콘 기판 12 : 소스 영역
13 : 드레인 영역 14 : 게이트
15 : 게이트 폴리실리콘 16 : 측벽 스페이서
17 : 자체 정렬된 실리사이드 층
18 : 장벽 실리콘 질화물 층 19 : 산화물 패시베이션 층
본 발명의 제 1 실시예에서, 원래 막은 반도체 디바이스의 제조시에 대량의 듀테륨을 지닌 채로 형성된다. 두 가지 효과가 있는데 즉, 계면을 이미 패시베이션한 듀테륨을 교체할 만한 반응 수소의 소스가 없다는 것과, 패시베이션용 듀테륨이 후속적인 처리동안 열적으로 디트랩될(detrapped) 경우 대규모 듀테륨 저장소를 사용하여 실리콘/실리콘 이산화물 계면에 듀테륨을 공급할 수 있다는 것이다. 또한, 반도체 디바이스에서 다수의 막을 형성하기 위한 처리 온도는 실리콘/실리콘 이산화물 계면을 디패시베이션하여(depassivate) 듀테륨/수소 교환 메커니즘을 생성하기에 충분할 정도로 높다(즉, 400℃). 따라서, 물질 침착의 초기 얼마동안 처리 환경에서 D2를 이용하면, 처리 온도까지 가열하는 동안 듀테륨을 잃어버린 상태를 패시베이션할 수 있다.
보다 구체적으로, 본 발명의 제 1 실시예에서, 수소 함유 및 처리 환경에 영향을 미치는, 반도체 제조 시에 막 형성용 반응물과 종으로서 사용된 수소 함유 물질을 변경하여, 대신에 막을 형성하는 동안 그 듀테륨화 상사체(analogs)를 사용한다. 이러한 부류의 물질은 예를 들면, 습식 산화 시스템에서 이용된 듀테륨 산화물(D2O) 또는, 화학적 기상 증착(CVD) 공정으로 막을 제공하는데 이용된 듀테륨화 실란(SiD4), 디클로로실란(SiCl2D2), 암모니아(ND3)를 포함한다. 이러한 듀테륨화 물질은 게이트 산화물, 폴리실리콘 게이트, 측벽 스페이서, 장벽 질화물, 그리고 산화물 패시베이션 막 등과 같은 구성 요소의 하나 또는 그 이상의 침착에서 사용된다. 본 실시예의 전반적인(overarching) 원리는 침착에 부수하여 웨이퍼를 어닐링하는 수소 함유 환경을 제공하거나 또는, 후속 처리 동안 게이트 산화물에 주입될 수 있는 수소의 저장소를 제공하는 막 공정을 식별하는 것이다. 산화물 패시베이션 막을 처리하는 공정이 또한 장벽 질화물 층이 듀테륨화되지 않는 본 발명에 포함될 수 있다 하더라도, 적용가능한 공정은 또한 임의의 후속적으로 형성된 막으로부터 게이트 산화물로 수소가 확산하는 것을 차단하는 듀테륨화 패시베이션 질화물을 형성하기 전에 발생하는 공정으로 한정될 것이다. 경제적 측면 또는 공급적 측면을 고려하면, 본 발명에 따라 듀테륨화될 수 있는 디바이스 층의 수는 제한될 수 있는데, 즉 듀테륨과 듀테륨 화합물은 현재 고가이고 또는 그 사용 가능성이 제한된다. 이상적으로, 게이트 산화물과 실리콘 질화물 장벽 층을 듀테륨화하는 것을 강조하는 것이 열전자 효과를 완화하고 듀테륨과 수소가 디바이스내 그 원래의 혼입 위치로부터 벗어나서 이동하는 것을 방지하는데 이 시점에서 가장 중요한 것으로 여겨진다 하더라도, 그렇지 않으면 수소로써 또는 수소가 있는 상태에서 형성되었을 많은 이러한 층들이 그 대신 듀테륨화되어 전체 효과를 향상시킬 것이다.
실리콘 기판(11)과 소스/드레인 영역(12, 13)을 구비하는 도 1의 MOSFET 디바이스(10)를 참조하면, 본 실시예에 의해 처리될 수 있는 막은 게이트 산화물(14), 게이트 폴리실리콘(15), 측벽 스페이서(16), 장벽 실리콘 질화물 층(18), 그리고 침착된 산화물 패시베이션 층(19) (예를 들면, SiO2, PSG, BSG, BPSG)을 포함한다. 자체 정렬된 실리사이드 층(17)은 장벽 실리콘 질화물(18)을 형성하기 전에 종래의 방법에 의해 게이트(15) 및 소스/드레인 영역(12/13) 위에 제공될 수 있다. 실리콘 기판, 바람직하게는 단결정 실리콘 물질은, 궁극적으로 원하는 타입의 FET 동작에 대해 적합한 것으로서 제공된 웰 임플란트(well implant)를 구비하는 p형 또는 n형일 수 있다. 임의의 종래의 여러 아이솔레이션 프로세싱과 웰 임플란트는 이 디바이스에 사용될 것이지만, 이러한 측면은 특히 본 발명의 일부를 이루고 있지 않기 때문에 명료하게 하기 위하여 도 1-3에서 도시되지는 않는다.
본 발명의 중요한 목적은 실리콘/실리콘 이산화물 계면(20)에서 열전자 효과에 의한 손상을 방지하지는 못한다 하더라도 상당히 억제하는데 있다.
수소화 반응물(hydrogenated reactant)을 이용하는 종래의 실리콘 산화 시스템은 일반적으로 예를 들면, 반도체 기판 위에 게이트 산화물(14)을 형성하기 위해 듀테륨을 혼합하는 실리콘 이산화물 (실리카) 막을 형성하는 본 발명에 유용하다. H2O를 이용하는 습식 산화 공정과 실란을 이용하는 CVD 시스템은 실리콘 위에 듀테륨화 실리콘 이산화물 막을 제공하기 위하여 본 발명에 따라 개조될 수 있다.
본 발명의 제 1 실시예의 하나의 구체적인 적용예로서, '습식 산화' 공정은 반도체 기판 위에 게이트 산화물(14)을 형성하는데 이용된다. H2O, HCl, 및 TCA는 열 산화물을 형성하는데 이용된 종래의 습식 산화 공정에서 이용된 기체이다. 본 발명에서, D2O, DCl, 및 듀테륨화 TCA는 그렇지 않으면 습식 산화 처리 동안 이용되는 각각의 수소 상사체를 대체한다.
바람직한 일실시예에서, 발열성 물 시스템(pyrogenic water system)은 게이트 산화물을 형성하는 습식 산화 공정에 대해 이용된다. 이와 관련하여, 다른 종래의 공동 반응물(co-reactant) 즉, 수소 대신 산소와 듀테륨이 예를 들면, 석영 또는 순수 실리콘과 같은 확산 튜브에 직접적으로 공급되고, 여기서 웨이퍼는 700 내지 1000℃ 사이의 온도에서 유지되며, 기체들은 반응하여 산화 공정에 대한 급수원인 듀테륨 산화물(D2O)의 증기 즉, 중수 증기를 형성한다. 0-9%의 DCl 등가물로써 두께가 약 30Å 내지 약 200Å인 산화물 막을 형성하기에 충분한 기간동안, 예를 들면, 약 6 sLm(분당 표준 리터)의 O2유동율(flow rate)과 약 3.6 sLm의 D2유동율이 이용될 수 있다.
습식 산화 공정에서 실리콘상에 게이트 산화물 막을 성장시키는 동안, 막이 실리콘 기판 위에 형성되고 있는 듀테륨은 게이트 산화물내에 축적된다. 게이트 산화물 형성 동안 듀테륨의 이러한 인시튜 혼입(incorporation)에 의하여, 열전자 효과를 더욱 방지하는 강인한 실리콘 이산화물과 실리콘/실리콘 이산화물 계면을 제공하게 된다.
게이트 산화물 또는 다른 반도체 층내의 열전자 효과로 인한 미완의(premature) 디바이스의 고장을 방지하는데 필요한 듀테륨 혼입의 수준은 본 기술 분야의 통상의 지식을 가진 자에 의해 경험적으로 결정될 수 있다. 즉, 이상적으로, 반도체 디바이스 제조기간 동안 사용된 모든 수소화 반응 종 및/또는 시스템 대기 개스에 대해 듀테륨화 상사체를 이용하는 것이 가장 바람직할 것이지만, 경제적 그리고 공급 유효성의 제한으로 인해 그러한 시나리오가 실시되지 못할 수도 있다. 따라서, 본 명세서에서 설명된 본 발명의 목적을 달성하는데 듀테륨화된 반응물을 충분히 이용하는 것에 대해 관심을 갖는 한, 듀테륨과 수소 반응물 및 기체의 혼합도 또한 고려된다. 또한, 게이트 산화물뿐만 아니라, 측벽 스페이서 막, 폴리실리콘 게이트, 장벽 실리콘 질화물 장벽(만약 존재할 경우), 그리고 산화물 패시베이션 막도 또한 접점과 같은 BEOL 어닐링이나 또는, 550-600℃에서 실행되는 선형 어닐링 동안 하층 산화물내로 방출될 수 있는 상당한 양(fractions)의 수소를 그렇지 않으면 포함할 수도 있는 MOSFET 디바이스에서 발견된다. 따라서, 막 형성에서 사용된 종래의 수소 함유 반응물 및/또는 희석액(dilutants) 대신 듀테륨 상사체를 이용하여 유익하게 형성될 수 있는 다른 막이 아래에서 설명된다.
예를 들면, 게이트 폴리실리콘(15)은 종래의 수소 반응물을 그의 듀테륨 상사체로 교체하는 CVD 방법에 의해 듀테륨화 상태에서 형성될 수 있다. 안전을 이유로 때때로 H2를 이용하여 선택적으로 희석된 SiH4의 분해에 의한 LPCVD로 폴리실리콘 막을 성장시키는 것은 본 기술 분야에서 알려져 있다. 그러나, 본 발명에서는, 게이트 폴리실리콘(15)은 SiD4가 실란(SiH4)을 대체하고 D2가 다결정 실리콘(폴리실리콘)을 형성할 때 사용된 임의의 H2희석액 캐리어 기체를 대체하는 LPCVD에 의해 형성될 수 있다. 이러한 CVD 처리를 이용하여 폴리실리콘 게이트를 성장시키는 것은 350 sccm SiD4및 50 sccm D2의 소스 기체를 이용하여, 150 mTorr의 시스템 압력에서, 약 550에서부터 650℃까지의 시스템 온도에서 실행될 수 있으며, 여기서 성장의 결과로 약 1000 내지 4000Å의 막 두께가 형성 된다.
게이트(15)용 측벽 열 산화물(16)은 HCl 대신 DCl로 교체하거나 또는, 기존의 TCA 대신 듀테륨화 TCA로 교체함으로써 형성될 수 있다. 예를 들면, 측벽 열 산화물의 성장은, 20-30℃의 소스 온도에서, 0.1 내지 1.2 sccm의 유동율의 N2캐리어 기체내에서, 650-900℃의 시스템 온도, 15 sLm의 O2유동율 및 듀테륨화 TCA를 사용하여 디바이스 설계에 따라 약 60 내지 3000Å의 막 두께를 제공하는 CVD에 의해 달성될 수 있다. 대안적으로, APCVD와 LPCVD 시스템에서 O2또는 NO2와 같은 산화제(oxidant)의 존재하의 종래의 실란(SiH4)의 산화공정은 실란 대신에 SiD4를 이용하여 듀테륨화 측벽 실리카 막을 성장시키기 위하여 수정될 수 있다. 또한 듀테륨화 측벽 실리카는 SiD4/O2, SiD4/CO2, 및 SiD4/N2O의 혼합물의 반응에 의한 PECVD로 성장될 수 있다. 또한, 산화물 스페이서(16)는 CVD 또는 플라즈마 인핸스트(plasma-enhanced) CVD중 어느 하나에 의하여, 듀테륨화 TEOS를 분해함으로써 형성될 수 있다.
듀테륨화 측벽 실리콘 질화물 스페이서(16)는 CVD 공정에서 암모니아 대신 ND3로, 실란 대신 SiD4로 교체함으로써 CVD에 의해 형성될 수 있다. 침착된 실리콘 질화물 막에서는 화학량론(stoichiometry)으로부터 상당히 벗어나는 현상을 종종 보게 되는데, 이러한 이유로 이러한 막은 때때로 단순히 SiN 막으로 불린다고 본 기술 분야에서 인식되고는 있지만, 실리콘 질화물은 그 화학량론적 형태로 Si3N4에 의해 부여된 합성물(composition)을 구비한다.
소스/드레인 영역(12/13)은 종래의 이온 주입 방법에 의해 형성된다. 종래의 기술에 따르면, 바로 위에서 설명된 단계에서 형성된 바와 같은 산화물 (또는 질화물) 스페이서 층(16)은 소스와 드레인 영역(12/13)에서의 위치에서 이방성으로 제거되어, 폴리실리콘 게이트(15) 위에서 산화물 (또는 질화물) 측벽(16)을 남기게 된다. 그 다음에 자체 정렬된 실리사이드 층(17)이 종래의 기술에 의해 폴리실리콘 게이트(15)와 소스/드레인 영역(12/13) 상에 형성된다. 금속은 인시튜 표면 세정 후에 침착되고, 실리사이드는 가령, 고속 열 어닐링(RTA)과 같은 어닐링에 의해 형성된다. RTA 동안, 사용된 어떠한 수소라도 듀테륨으로 교체하는 것이 바람직하다. 어닐링 후, 미반응 금속은 선택적 에칭에 의해 제거되어, 소스/드레인 영역(12/13)에 그리고 게이트(15) 위에 자체 정렬된 실리사이드(17)가 남게된다. 자체 정렬된 실리사이드(17)의 타입은 반드시 제한되지는 않으며, 가령, PtSi, Pd2Si, CoSi2등과 같은 기존의 실리사이드 물질일 수 있다. 본 기술 분야의 통상의 지식을 가진 자는 전계 효과 트랜지스터내에 자체 정렬된 실리사이드를 형성하는데 이용된 이러한 단계를 매우 잘 알 것이며, 그에 대한 더 이상의 상세한 설명 또는 예시는 이해를 위하여 반드시 필요한 것은 아니다.
이 제 1 실시예에서, 장벽 실리콘 질화물 층(18)은 선택적으로 듀테륨화될 수 있다. 예를 들면, 장벽 질화물 층(28)은 암모니아 대신 ND3로, 그리고 실란 대신 SiD4로 교체하여 CVD에 의해 형성된다. 듀테륨화 장벽 질화물은 상부층으로부터 디바이스(10)내로 수소가 후속적으로 확산하는 것을 차단한다. 장벽 질화물 층(18)의 성장은, 15 sccm의 ND3유동율, 60 sccm의 SiD4유동율, 및 4000 sccm의 N2유동율을 이용하여, 약 350에서부터 500℃까지의 시스템 온도에서, 5 Torr의 시스템 압력에서 실행되어, 약 700에서부터 약 1000Å까지의 범위의 막 두께를 제공할 수 있다.
다음에, 산화물 패시베이션 막(19)은 디바이스 위에 형성된다. 산화물 패시베이션 막(19)은 도핑되거나 또는 도핑되지 않은 실리콘 산화물일 수 있다. 예를 들면, 산화물 패시베이션 막(19)은 듀테륨화 실리콘 이산화물을 형성하기 위하여 듀테륨화 TEOS의 분해에 의해 형성될 수 있다. 대안적으로, 산화물 패시베이션 막(19)은, 예를 들면, 듀테륨화 PSG, BPSG 또는 AsSG를 형성하기 위하여 포스핀, 디보란(diborane) 또는 아르신(arsine) 도판트(즉, P, B 또는 As 하이브리드)의 존재하에 듀테륨화 TEOS를 분해함으로써 형성될 수 있다. 듀테륨화 산화물 패시베이션 층(19)의 제공은 장벽 실리콘 질화물 층을 구비하지 않는 기술에 대해 특히 유용하다.
산화물 패시베이션 층(19)은 폴리실리콘 게이트(15)와 표준 상단 금속화층(도시되지 않음) 사이에 절연층을 형성한다. 실리콘 산화물 패시베이션 막(19)의 성장은 듀테륨화 TEOS의 앰플(ampule)을 통하여 560 sccm의 헬륨 유동율을 이용하여, 약 300에서부터 약 500℃까지의 시스템 온도에서 실행될 수 있으며, TEOS 증기는 시스템 압력 5 내지 20 Torr에서, 800 sccm 유동율의 O2와 결합되어, 약 1마이크로미터의 막 두께를 제공한다.
산화물 패시베이션 막(19)은 또한, 산화물 패시베이션 막(19)을 형성하기 위한 위에서 설명된 것과 동일한 기본 반응 시스템에서, 도판트로서 포스핀, 디보란 또는 아르신을 이용함으로써, 듀테륨화 PSG, BPSG 또는 AsSG로서 침착될 수 있다. 산화물 패시베이션 막(19)을 다양하게 도핑하는 것이 우수한 리플로우(reflow) 특성이 필요한 곳에서 바람직하다. 비록 요구되지는 않지만, 포스핀, 디보란 또는 아르신 도판트는 그 결과를 더욱 개선하기 위하여 그들 자신이 듀테륨화될 수 있다(즉, 수소 원자가 분자상태의 듀테륨으로 교체됨).
산화물 패시베이션 막(19)은 또한, O2로써 SiD4및 PH3(포스핀)를 공동 산화시킴으로써 형성될 수 있다. 듀테륨화 BPSG 막은 또한, 질소 캐리어 기체내에서, O2및 N2O를 이용한, SiD4, B2H6혹는 B2D6, 그리고 PH3또는 PD3의 공동 산화를 이용하는 CVD 방법에 의해 성장될 수 있다.
본 발명에서 이용된 프로세싱은, 그렇지 않으면, 듀테륨화되지 않은 반응물이 사용될 경우 그 환경에 존재하였을 상당한 양의 수소의 생성을 방지하는데, 여기서 수소는 게이트 산화물로 확산하여 이전 어닐링에서 게이트 산화물에 남아있던 듀테륨의 일부 또는 전부를 대체하는 경향이 있다.
본 발명의 제 2 실시예에서, 게이트 산화물과 같이 그 형성기간 동안 막을 인시튜 듀테륨화하는 방법에 대한 대안으로서 한 방법이 제공된다. 그 대신, 듀테륨화는 어닐링 이후의 처리에 의해 영향을 받으며, 그 다음, 디바이스는 듀테륨 저장소 및/또는 장벽 층으로 봉인된다. 이 방법은 듀테륨화 물질이 수소화 물질보다 원래 더 비싸기 때문에 제조 원가를 상당히 감축시킬 수 있고, 이 실시예는 듀테륨화 화학 종의 이용을 필요로 하는 공정 단계의 수를 효과적으로 감소시킨다. 본 발명의 제 2 실시예는 이하에서 설명될 두 개의 상이한 변형예를 구비한다.
도 2에 도시된 제 1 변형예에서, 기본 개념은 각각의 막에 대해 표준 수소화 반응 물질을 이용하여 형성된 막을 구비하는 디바이스 구조체(200)로 시작한다는 것이다. 즉, 게이트 산화물(24), 게이트(25) 그리고 게이트 측벽 스페이서(26)는 종래의 기술에 의해 실리콘 기판 위에 형성된다. 소스/드레인 영역(22/23)은 종래의 이온 주입 방법에 의해 형성된다. 그 다음, 자체 정렬된 실리사이드(27)는 게이트(25)와 소스/드레인 영역(22/23) 위에 형성된다.
그 다음, 앞서 형성된 게이트 산화물(24), 게이트(25), 게이트 측벽 스페이서(26) 등을 듀테륨화하기 위하여 듀테륨 환경 어닐링이 실행된다. 이러한 듀테륨 어닐링은 다른 종래의 어닐링 노 장비내에서 D2환경하에 400 내지 600℃에서 실행된다. 듀테륨 어닐링은 가령 게이트 산화물 층(24), 폴리실리콘(25), 측벽 스페이서(26)와 같은 인접 디바이스 막에서, 그리고 실리콘/실리콘 이산화물 계면(20)에서, 가능한 한 많은 수소를 교체(교환)하고 및/또는 댕글링 결합(dangling bonds)을 채우는데 이용된다. 이러한 웨이퍼의 어닐링은 전형적으로 전체 FEOL 공정의 끝에서 행해지나, 듀테륨 저장소/장벽 층(28)이 게이트(25) 위에 침착되기 전에 행해진다. 후속 처리 단계에서, 듀테륨화 장벽 질화물(28)이 디바이스 위에 성장된다.
이를 달성하기 위하여, 단일 듀테륨화 장벽/저장소 층(28)은 제 1 실시예의 듀테륨화 질화물 층(18)에 대해 위에서 설명된 것과 동일한 방식으로 형성될 수 있다. 층(28)은 앞서 듀테륨화된 층 위에 듀테륨 어닐링에 의해 고 듀테륨 농도를 갖는 저장소를 형성한다.
도 3에 도시된 바와 같은 제 2 실시예의 제 2 변형예에서, 고 듀테륨 농도를 구비한 상기 듀테륨화된 장벽 질화물 층(18/28)과 동일한 방식으로 형성된 듀테륨 저장소 층(38a)이 제공되고, 수소/듀테륨 이동을 금지하는 저 수소 및 듀테륨 농도를 구비한 상부의 별도의 확산 장벽 층(38b)과 함께 사용된다. 도 3에서는 또한, 실리콘 기판(31), 소스/드레인 영역(32/33), 게이트 산화물(34), 게이트 폴리실리콘(35), 게이트 측벽 스페이서(36), 실리사이드(37)가 도시된다. 본 발명의 이러한 제 2 실시예에서, 게이트 산화물(34), 게이트 폴리실리콘(35), 게이트 측벽 스페이서(36)는 이 시점에서 듀테륨화 반응물을 이용하지 않고서 종래의 방법에 의해 침착된다.
확산 장벽 층(38b)은 가령, 본 명세서에 참조로 인용되어 있는, 엑스. 더블류 왕등(X. W. Wang et.al.)의,The Japanese Society of Applied Physics, Highly Reliable Silicon Nitride Films Made by Jet Vapor Deposition, reprinted from Extended Abstracts of the 1994 Inter. Conf. on Solid State Devices and Materials, August 23-26,1994, Pacifico Yokohama, Japan, pp. 856-858에서 설명된 방법에 의해 분사식 기상 침착(JVD) 질화물로서 형성될 수 있다. 대안적으로, 확산 장벽 층(38b)은 암모니아 및 실란 대신 질소 및 실란으로 만들어진 소량의 수소 함유 질화물로서 형성될 수 있다.
듀테륨 저장소 막(38a)과 확산 장벽 층(38b)의 조합은 디바이스내에 여전히 남아있는 수소가 가질 수 있는 효과를 약화시키는 작용을 하며, 혼입된 듀테륨의 유익한 효과를 효과적으로 봉인(seals in)하며, 수소하의 추가적인 열적 어닐링과 프로세싱이 수소와 듀테륨의 교환 없이 디바이스(300)의 BEOL 프로세서에서 일어날 수 있게 한다. 그 다음, 패시베이션 산화물(39)은 듀테륨화 장벽 막(38a)과 확산 장벽 막(38b)의 상부에 침착될 수 있고, 상기 패시베이션 산화물은 듀테륨화될 필요는 없다.
본 연구자는 종래의 질화물 장벽 층 형성 공정이 사용된 SiH4및 NH3반응물에 의한 수소의 공급원임을 SIMS에 의해 확신하게 되었다. 문제점은 질화물 공정 동안 SiH4와 NH3을 통하여 유입된 수소가 이전의 금속 공정후 어닐링 공정 동안 실리콘/실리콘 이산화물 계면에 축적된 듀테륨을 대체한다는 것이다. 따라서, 본 실시예에서는 패시베이션을 위한 금속 공정후 듀테륨 어닐링 공정을 실행하는 단계를 포함할 뿐만 아니라, 그 어닐링의 효과를 유지하기 위하여 듀테륨화 반응물을 사용하여 듀테륨화 장벽 질화물을 형성하는 단계가 후속적으로 행해진다.
위에서 설명된 바와 같은 제 1 또는 제 2 실시예에 적용할 수 있는 본 발명의 또다른 개선예에서, 저온 프로세싱 즉, 400℃ 이하에서의 프로세싱을 임의의 듀테륨 혼입 단계 및 모든 듀테륨 혼입 단계 후에 이용하여 실리콘/실리콘 이산화물 계면에서 듀테륨의 유지를 돕는다. 이러한 방식으로 디바이스에서의 열적 장애를 피함으로써 듀테륨이 수소로 대체되는 현상의 발생율을 감소시킨다. 예를 들면, 본 발명의 제 2 실시예에 적용된 바와 같이, 확산 장벽 층을 수반하지 않고 이용된 듀테륨 저장소 질화물 층(28)의 침착 후 또는, 듀테륨 저장소 질화물 층(38a)과 확산 장벽 층(39b)을 모두 침착한 후에 실행된 어닐링은 400℃ 이하의 온도에서 실행될 것이다. 400℃ 이하의 온도에서 실행될 이러한 어닐링은 금속화 공정후 어닐링일 수 있다. 또한, 가령 도 3에서의 층(39)과 같은 산화물 패시베이션 층상에서 공통으로 실행되는 종래의 고밀도화 어닐링은 400℃ 초과의 온도에서 실행되어야 하기 때문에 본 개선예에서 모두 생략될 것이다.
본 발명은 위에서 언급된 실시예에만 특히 한정되는 것이 아니라, 그 대신에 특정 기술에서의 집적 공정의 세부 사항에 따라 그 원리를 이용하는 다수의 경우를 포함한다. 듀테륨화 물질이 특히 개별 듀테륨 어닐링의 효과를 유지하거나 또는 수소 저장소 대신 듀테륨 저장소를 만드는데 사용되는 어떠한 상황에서도 본 발명을 실행할 수 있어서, 후속 열 사이클에 이어지는 이러한 효과를 제공할 수 있다.
본 발명을 또한, TFT, 폴리레지스터(ployresistors), 폴리에미터 바이포울러에 적용해 보는 것도 고려해 볼 수 있다. 처음의 두 경우, 듀테륨은 드레인 경계를 패시베이션하고 열전자 스트레스에 대해 더 큰 저항력을 제공하는 역할을 수행한다. 나머지의 경우, 수소 보다 듀테륨은 폴리(ploy)를 통하여, 보론을 비활성화시킬 수 있는 바이포울러 접합으로 덜 드리프트할 것 같다. 또한, 역바이어스된 전류에 의한 에미터-베이스 접합 위의 산화물의 열화도 또한 억제될 것이다.
본 발명이 바람직한 실시예의 견지에서 설명되었지만, 본 기술 분야의 통상의 지식을 가진 자는 첨부된 청구 범위의 정신과 범위 내에서 본 발명이 수정되어 실행될 수 있음을 인식할 것이다.
이상과 같은 본 발명의 상세한 설명에서 알 수 있듯이, 본 발명에 따르면, 열전자 효과로 인한 실리콘/실리콘 산화물 계면에서의 열화를 더욱 방지하기 위하여, 막을 형성하는 동안 듀테륨화 종을 포함하는 소스 물질이 제공되는 반도체 디바이스용 막 형성 방법을 제공할 수 있다.

Claims (17)

  1. 막을 형성하는 동안 듀테륨화 종(species)을 포함하는 소스 물질을 제공하는 단계를 포함하는 반도체 디바이스용 듀테륨화 막 형성 방법.
  2. 제 1 항에 있어서,
    상기 막 형성 단계는 침착 단계인 반도체 디바이스용 듀테륨화 막 형성 방법.
  3. 제 2 항에 있어서,
    상기 침착 단계는 반도체 프로세싱을 위한 것인 반도체 디바이스용 듀테륨화 막 형성 방법.
  4. 제 3 항에 있어서,
    상기 침착 단계는 반도체 표면을 패시베이션하기 위한 듀테륨의 저장소를 제공하는 반도체 디바이스용 듀테륨화 막 형성 방법.
  5. 제 2 항에 있어서,
    상기 침착 단계는 수소 확산에 대한 장벽을 제공하는 반도체 디바이스용 듀테륨화 막 형성 방법.
  6. 제 2 항에 있어서,
    상기 침착 단계는 듀테륨화 실리콘 질화물을 침착하는 반도체 디바이스용 듀테륨화 막 형성 방법.
  7. 제 1 항에 있어서,
    상기 막은 발열성 습식 산화에 의해 실리콘 기판 위에 형성된 실리콘 이산화물이고, 상기 듀테륨화 종은 듀테륨 산화물을 포함하는 반도체 디바이스용 듀테륨화 막 형성 방법.
  8. 제 1 항에 있어서,
    상기 막은 게이트 산화물, 폴리실리콘 게이트, 게이트 측벽 스페이서, 장벽 질화물 층, PSG 층으로 구성된 그룹으로부터 선택되는 반도체 디바이스용 듀테륨화 막 형성 방법.
  9. 제 1 항에 있어서,
    상기 듀테륨화 막은 실리콘 기판 위에 형성되는 반도체 디바이스용 듀테륨화 막 형성 방법.
  10. 열전자 효과에 대해 향상된 저항력을 갖는 MOSFET 디바이스를 제조하기 위한 방법에 있어서,
    ① 실리콘 기판, 상기 실리콘 기판 위에 있는 전도성 게이트, 상기 실리콘 기판과 상기 게이트 사이에 제공된 게이트 산화물을 포함하는 중간 반도체 디바이스를 제공하는 단계와,
    ② 듀테륨 함유 환경에서, 약 400℃부터 약 600℃까지의 범위의 온도에서 반도체 디바이스를 어닐링하는 단계와,
    ③ 상기 어닐링된 반도체 디바이스 위에 듀테륨을 포함하는 저장소 및 장벽 질화물 막을 형성하는 단계를 포함하는
    MOSFET 디바이스 제조 방법.
  11. 제 10 항에 있어서,
    상기 저장소 및 장벽 질화물 막을 형성하는 단계는 SiD4와 ND3을 포함하는 환경에서 상기 FET 디바이스 위에 플라즈마 인핸스트 화학적 기상 증착을 실행하는 단계를 포함하는 MOSFET 디바이스 제조 방법.
  12. 제 10 항에 있어서,
    상기 듀테륨 저장소와 장벽 질화물 막 위에 수소 및 듀테륨 확산 장벽 질화물 층을 형성하는 단계를 더 포함하는 MOSFET 디바이스 제조 방법.
  13. 제 11 항에 있어서,
    상기 수소 및 듀테륨 확산 장벽 질화물 층은 분사식 기상 침착에 의해 형성되는 MOSFET 디바이스 제조 방법.
  14. 반도체 디바이스 형성 방법에 있어서,
    ① 실리콘 기판 위에 막을 형성하는 동안 듀테륨화 종을 포함하는 소스 물질을 제공하는 단계와,
    ② 상기 제공 단계 후에 상기 반도체 디바이스를 완성하기에 적합한 프로세싱 단계들을 실행하는 단계―상기 프로세싱 단계는 각각 400℃ 이하의 온도에서 실행됨―를 포함하는
    반도체 디바이스 형성 방법.
  15. 제 14 항에 있어서,
    상기 프로세싱 단계들은 적어도 하나의 어닐링 공정을 포함하는 반도체 디바이스 형성 방법.
  16. 제 14 항에 있어서,
    상기 프로세싱 단계들은 듀테륨 포함 환경에서 실행되는 적어도 하나의 어닐링 공정을 포함하는 반도체 디바이스 형성 방법.
  17. 제 14 항에 있어서,
    상기 반도체 디바이스는 FET 디바이스인 반도체 디바이스 형성 방법.
KR1019980022437A 1997-07-16 1998-06-15 반도체 디바이스용 중수소 함유 막 형성방법,금속 산화막 반도체 전계효과 트랜지스터 디바이스 제조방법과 반도체 디바이스 형성방법 KR100277005B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/895,049 US5972765A (en) 1997-07-16 1997-07-16 Use of deuterated materials in semiconductor processing
US8/895,049 1997-07-16

Publications (2)

Publication Number Publication Date
KR19990013424A true KR19990013424A (ko) 1999-02-25
KR100277005B1 KR100277005B1 (ko) 2001-03-02

Family

ID=25403872

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980022437A KR100277005B1 (ko) 1997-07-16 1998-06-15 반도체 디바이스용 중수소 함유 막 형성방법,금속 산화막 반도체 전계효과 트랜지스터 디바이스 제조방법과 반도체 디바이스 형성방법

Country Status (7)

Country Link
US (1) US5972765A (ko)
EP (1) EP0892424A3 (ko)
JP (1) JP3373785B2 (ko)
KR (1) KR100277005B1 (ko)
MY (1) MY115263A (ko)
SG (1) SG74635A1 (ko)
TW (1) TW393678B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100438674B1 (ko) * 2002-10-31 2004-07-03 주식회사 하이닉스반도체 선택 산화법을 이용한 금속 게이트전극 구조의 반도체소자 제조 방법
KR100474190B1 (ko) * 2000-12-19 2005-03-08 주식회사 하이닉스반도체 반도체 소자의 열처리 방법
KR100759649B1 (ko) * 2003-09-23 2007-09-17 미크론 테크놀로지,인코포레이티드 고 밀도 화학 기상 증착법을 이용하여 재료를 증착하는방법 및 갭을 충진시키는 방법

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5872387A (en) * 1996-01-16 1999-02-16 The Board Of Trustees Of The University Of Illinois Deuterium-treated semiconductor devices
US6143634A (en) * 1997-07-28 2000-11-07 Texas Instruments Incorporated Semiconductor process with deuterium predominance at high temperature
US6156653A (en) * 1997-11-03 2000-12-05 Zilog, Inc. Method of fabricating a MOS device
JP2000021892A (ja) * 1998-06-26 2000-01-21 Nec Corp 半導体装置の製造方法
US6208004B1 (en) * 1998-08-19 2001-03-27 Philips Semiconductor, Inc. Semiconductor device with high-temperature-stable gate electrode for sub-micron applications and fabrication thereof
US6187665B1 (en) * 1999-01-14 2001-02-13 Lucent Technologies, Inc. Process for deuterium passivation and hot carrier immunity
US6674151B1 (en) * 1999-01-14 2004-01-06 Agere Systems Inc. Deuterium passivated semiconductor device having enhanced immunity to hot carrier effects
US7125768B2 (en) * 1999-08-25 2006-10-24 Micron Technology, Inc. Method for reducing single bit data loss in a memory circuit
KR20010059856A (ko) * 1999-12-30 2001-07-06 박종섭 모스 트랜지스터의 제조 방법
US6521977B1 (en) * 2000-01-21 2003-02-18 International Business Machines Corporation Deuterium reservoirs and ingress paths
US6274490B1 (en) * 2000-03-08 2001-08-14 Lucent Technologies Inc. Method of manufacturing semiconductor devices having high pressure anneal
US6559007B1 (en) * 2000-04-06 2003-05-06 Micron Technology, Inc. Method for forming flash memory device having a tunnel dielectric comprising nitrided oxide
WO2001094662A1 (fr) * 2000-06-07 2001-12-13 Commissariat A L'energie Atomique Procede de preparation d'un revetement sur un substrat par le procede ald utilisant un reactant deutere
FR2809973B1 (fr) * 2000-06-07 2003-09-05 Commissariat Energie Atomique Procede de preparation d'un revetement comprenant au moins une couche d'oxyde de metal deutere ou de nitrure de metal isolant deutere, sur un substrat comprenant un silicium
US6797644B2 (en) * 2000-08-01 2004-09-28 Texas Instruments Incorporated Method to reduce charge interface traps and channel hot carrier degradation
US6544908B1 (en) 2000-08-30 2003-04-08 Micron Technology, Inc. Ammonia gas passivation on nitride encapsulated devices
JP2002076336A (ja) * 2000-09-01 2002-03-15 Mitsubishi Electric Corp 半導体装置およびsoi基板
US6436799B1 (en) 2000-09-26 2002-08-20 Cypress Semiconductor, Corporation Process for annealing semiconductors and/or integrated circuits
WO2002035265A2 (en) * 2000-10-20 2002-05-02 Corning Incorporated Using deuterated source gases to fabricate low loss germanium-doped silicon oxy nitride (gestion-sion)
JP2002270833A (ja) * 2001-03-14 2002-09-20 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP4091265B2 (ja) * 2001-03-30 2008-05-28 株式会社東芝 半導体装置及びその製造方法
US6614977B2 (en) 2001-07-12 2003-09-02 Little Optics, Inc. Use of deuterated gases for the vapor deposition of thin films for low-loss optical devices and waveguides
US7043133B2 (en) * 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7365403B1 (en) * 2002-02-13 2008-04-29 Cypress Semiconductor Corp. Semiconductor topography including a thin oxide-nitride stack and method for making the same
US6677213B1 (en) * 2002-03-08 2004-01-13 Cypress Semiconductor Corp. SONOS structure including a deuterated oxide-silicon interface and method for making the same
KR100444492B1 (ko) * 2002-05-16 2004-08-16 주식회사 하이닉스반도체 반도체소자의 제조 방법
US20040007733A1 (en) * 2002-06-26 2004-01-15 Macronix International Co., Ltd. Floating gate memory cell and forming method
US6969618B2 (en) * 2002-08-23 2005-11-29 Micron Technology, Inc. SOI device having increased reliability and reduced free floating body effects
US6943126B1 (en) 2002-12-06 2005-09-13 Cypress Semiconductor Corporation Deuterium incorporated nitride
US6861320B1 (en) * 2003-04-04 2005-03-01 Silicon Wafer Technologies, Inc. Method of making starting material for chip fabrication comprising a buried silicon nitride layer
US6881636B2 (en) * 2003-07-03 2005-04-19 Micron Technology, Inc. Methods of forming deuterated silicon nitride-containing materials
US6872972B2 (en) * 2003-07-16 2005-03-29 Macronix International Co., Ltd. Method for forming silicon film with changing grain size by thermal process
JP4458527B2 (ja) * 2003-11-20 2010-04-28 セイコーエプソン株式会社 ゲート絶縁膜、半導体素子、電子デバイスおよび電子機器
CN100464427C (zh) * 2003-12-18 2009-02-25 精工爱普生株式会社 评估栅极绝缘膜的特性的方法
JP2005203730A (ja) * 2003-12-18 2005-07-28 Seiko Epson Corp 絶縁膜、半導体素子、電子デバイスおよび電子機器
US7087507B2 (en) * 2004-05-17 2006-08-08 Pdf Solutions, Inc. Implantation of deuterium in MOS and DRAM devices
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US8035142B2 (en) * 2004-07-08 2011-10-11 Micron Technology, Inc. Deuterated structures for image sensors and methods for forming the same
JP4225249B2 (ja) * 2004-07-21 2009-02-18 セイコーエプソン株式会社 絶縁膜の評価方法
US7541280B2 (en) * 2004-08-13 2009-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of foming a micromechanical structure
US7375027B2 (en) 2004-10-12 2008-05-20 Promos Technologies Inc. Method of providing contact via to a surface
US7060594B2 (en) * 2004-10-19 2006-06-13 Macronix International Co., Ltd. Memory device and method of manufacturing including deuterated oxynitride charge trapping structure
KR100597649B1 (ko) * 2004-11-26 2006-07-05 삼성전자주식회사 베리어 메탈을 포함하는 반도체 디바이스의 제조방법 및그 구조
KR20060068848A (ko) * 2004-12-17 2006-06-21 삼성전자주식회사 중수소 가스를 사용하는 반도체 소자의 게이트 산화막형성방법
US20060189167A1 (en) * 2005-02-18 2006-08-24 Hsiang-Ying Wang Method for fabricating silicon nitride film
US20070187386A1 (en) * 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US20080157159A1 (en) * 2006-12-28 2008-07-03 International Business Machines Corporation Highly tunable metal-on-semiconductor varactor
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8614124B2 (en) 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8067284B1 (en) 2007-05-25 2011-11-29 Cypress Semiconductor Corporation Oxynitride bilayer formed using a precursor inducing a high charge trap density in a top layer of the bilayer
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8536640B2 (en) 2007-07-20 2013-09-17 Cypress Semiconductor Corporation Deuterated film encapsulation of nonvolatile charge trap memory device
US9018693B2 (en) 2007-07-20 2015-04-28 Cypress Semiconductor Corporation Deuterated film encapsulation of nonvolatile charge trap memory device
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US8088683B2 (en) * 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
US8569149B2 (en) * 2010-05-06 2013-10-29 Micron Technology, Inc. Method of treating a semiconductor device
US9250178B2 (en) * 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow
JP2016058601A (ja) 2014-09-11 2016-04-21 株式会社東芝 半導体装置
CN104362086A (zh) * 2014-11-07 2015-02-18 上海华力微电子有限公司 自对准金属硅化物的形成方法
CN104319236A (zh) * 2014-11-07 2015-01-28 上海华力微电子有限公司 自对准金属硅化物的形成方法
JP6351079B2 (ja) * 2016-10-04 2018-07-04 日本テキサス・インスツルメンツ株式会社 集積回路の水素パッシベーション
US10679847B2 (en) 2018-03-01 2020-06-09 International Business Machines Corporation Self-aligned spacerless thin film transistor
CN109300782A (zh) * 2018-09-30 2019-02-01 上海华力集成电路制造有限公司 半导体器件的制造方法
US11508584B2 (en) 2019-06-17 2022-11-22 Applied Materials, Inc. Deuterium-containing films
KR20220023613A (ko) 2020-08-21 2022-03-02 에스케이하이닉스 주식회사 반도체 장치 및 반도체 장치의 제조 방법
US20220375754A1 (en) * 2021-05-18 2022-11-24 U.S. Army DEVCOM, Army Research Laboratory Hydrogen-passivated topological materials, devices, and methods

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0685115A1 (en) * 1993-02-19 1995-12-06 National Semiconductor Corporation Semiconductor device comprising deuterium atoms
US5382533A (en) * 1993-06-18 1995-01-17 Micron Semiconductor, Inc. Method of manufacturing small geometry MOS field-effect transistors having improved barrier layer to hot electron injection
WO1995026571A1 (en) * 1994-03-25 1995-10-05 Amoco/Enron Solar Stabilized amorphous silicon and devices containing same
US5872387A (en) * 1996-01-16 1999-02-16 The Board Of Trustees Of The University Of Illinois Deuterium-treated semiconductor devices
US5711998A (en) * 1996-05-31 1998-01-27 Lam Research Corporation Method of polycrystalline silicon hydrogenation

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100474190B1 (ko) * 2000-12-19 2005-03-08 주식회사 하이닉스반도체 반도체 소자의 열처리 방법
KR100438674B1 (ko) * 2002-10-31 2004-07-03 주식회사 하이닉스반도체 선택 산화법을 이용한 금속 게이트전극 구조의 반도체소자 제조 방법
KR100759649B1 (ko) * 2003-09-23 2007-09-17 미크론 테크놀로지,인코포레이티드 고 밀도 화학 기상 증착법을 이용하여 재료를 증착하는방법 및 갭을 충진시키는 방법

Also Published As

Publication number Publication date
MY115263A (en) 2003-04-30
JPH1187712A (ja) 1999-03-30
JP3373785B2 (ja) 2003-02-04
SG74635A1 (en) 2000-08-22
EP0892424A2 (en) 1999-01-20
KR100277005B1 (ko) 2001-03-02
TW393678B (en) 2000-06-11
EP0892424A3 (en) 2004-11-17
US5972765A (en) 1999-10-26

Similar Documents

Publication Publication Date Title
KR100277005B1 (ko) 반도체 디바이스용 중수소 함유 막 형성방법,금속 산화막 반도체 전계효과 트랜지스터 디바이스 제조방법과 반도체 디바이스 형성방법
US7042054B1 (en) SONOS structure including a deuterated oxide-silicon interface and method for making the same
KR100307339B1 (ko) 개선된반도체트랜지스터장치및그제조방법
US6602771B2 (en) Method for fabricating semiconductor device
US6297173B1 (en) Process for forming a semiconductor device
US5139971A (en) Anneal to decrease moisture absorbance of intermetal dielectrics
US20070072381A1 (en) Method for fabricating a semiconductor device including the use of a compound containing silicon and nitrogen to form an insulation film of SiN, SiCN or SiOCN
US6376318B1 (en) Method of manufacturing a semiconductor device
KR19990077708A (ko) 표면 상태 불활성화를 촉진하는 층을 갖는 소자 구조
WO1994019829A1 (en) Semiconductor device comprising deuterium atoms
EP1403915B1 (en) Method for fabricating a MOS transistor
US7173296B2 (en) Reduced hydrogen sidewall spacer oxide
US20020168828A1 (en) Method of reducing threshold voltage shifting of a gate
KR100336230B1 (ko) 프로그램화가능한반도체디바이스와그제조방법
KR100307343B1 (ko) 실리콘게이트전계효과트랜지스터제조방법
US7037858B2 (en) Method for manufacturing semiconductor device including an ozone process
KR100393964B1 (ko) 에스램 소자의 게이트 형성 방법
KR100920038B1 (ko) 반도체 소자의 게이트 및 그의 형성방법
KR100935719B1 (ko) 반도체 소자의 듀얼 게이트 형성방법
KR100861282B1 (ko) 반도체소자의 제조 방법
KR100319873B1 (ko) 고농도이온주입층의저온활성화방법
KR960012321A (ko) 반도체 소자의 게이트 전극 형성방법
KR20020009515A (ko) 고온 캐리어의 노화를 완화시키기 위한 저온 방법
KR100481396B1 (ko) 반도체 소자의 제조 방법
KR20020002899A (ko) 반도체 소자의 게이트전극 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20060904

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee