JPH1126757A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JPH1126757A
JPH1126757A JP9174199A JP17419997A JPH1126757A JP H1126757 A JPH1126757 A JP H1126757A JP 9174199 A JP9174199 A JP 9174199A JP 17419997 A JP17419997 A JP 17419997A JP H1126757 A JPH1126757 A JP H1126757A
Authority
JP
Japan
Prior art keywords
film
gate
gate electrode
insulating film
contact plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9174199A
Other languages
English (en)
Inventor
Katsuhiko Hieda
克彦 稗田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP9174199A priority Critical patent/JPH1126757A/ja
Priority to US09/105,021 priority patent/US6072221A/en
Priority to KR1019980025483A priority patent/KR100307124B1/ko
Publication of JPH1126757A publication Critical patent/JPH1126757A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 メタル材料をゲート電極に用いた場合の高温
熱処理に対する耐熱性のなさや自己整合コンタクトの困
難さなどの問題を解決する。 【解決手段】 側面が側壁絶縁膜7で囲まれ少なくとも
ダミーゲート膜5を含むゲート構成パターン及びソース
・ドレイン拡散領域6を形成する工程と、エッチングス
トッパー用のストッパー膜8を形成する工程と、ゲート
構成パターンが形成されている領域以外の領域に層間絶
縁膜9を形成する工程と、ソース・ドレイン拡散領域6
上の層間絶縁膜9をストッパー膜8に対して選択的に除
去して第1の凹部を形成する工程と、ストッパー膜8を
除去した第1の凹部にはソース・ドレイン拡散領域6に
接続されるコンタクトプラグ材11を埋め込み、ダミー
ゲート膜を除去して得られる第2の凹部にはゲート電極
材12を埋め込む工程とを有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置及びその
製造方法に関する。
【0002】
【従来の技術】ゲート電極の低抵抗化は、MOSトラン
ジスタの微細化において、MOSトランジスタの高速化
を達成する上で重要な役割を果たすと期待されている。
しかしながら、ポリシリコン膜とシリサイド膜を積層し
た「ポリサイド・ゲート電極」は、シリサイド材料のも
つ抵抗値の限界がある。この様な状況で高速化の要求に
答えるには、ゲート電極の高さをより高くする必要があ
る。しかし、この様にゲート電極の高さを高くする事
は、ゲート電極へのコンタクトの自己整合プロセスを困
難なものとしていた。
【0003】ゲート電極の低抵抗化の問題に対する解決
策の一つとして、タングステン(W膜)などのメタル膜
をシリサイド膜の代わりにポリシリコン層の上に貼り付
けてゲート電極として用いる、いわゆる「ポリメタル・
ゲート電極」が提案されている。しかし、この様なメタ
ル電極を使ったトランジスタ構造は、ゲート絶縁膜の耐
圧向上などを目的としたゲート電極の後酸化膜形成が困
難であり、またコンタクトのゲート電極への自己整合プ
ロセスが難しいといった問題があった。
【0004】例えば、図13に示すように、サリサイド
プロセスでソース・ドレイン層71とゲート電極72に
シリサイド73a、73bを自己整合的に形成した構造
の場合、ポリサイド・ゲート電極の上にはシリコン窒化
膜が形成できず、自己整合コンタクトを行う事が困難で
あるという大きな問題がある。
【0005】また、図14に示すように、ポリシリコン
層74のゲート電極の上にタングステンなどのメタル材
75をタングステンナイトライドなどのバリアメタルを
介して貼り付けることによりゲート電極の抵抗を下げ
る、いわゆる「ポリメタル・ゲート電極」構造の場合、
ゲート電極の上にシリコン窒化膜が形成できずに自己整
合コンタクトを行う事が困難であるという問題に加え
て、ゲート絶縁膜の耐圧向上などを目的としたゲート電
極の後酸化膜の形成が特殊な酸化条件を必要とするなど
の問題もある。
【0006】
【発明が解決しようとする課題】このように、メタル材
料をゲート電極としてトランジスタ構造に適用しようと
した場合、高温熱処理工程に対する耐熱性がない或いは
ゲート電極への自己整合コンタクトが困難であるといっ
た問題点がある。
【0007】本発明の目的は、メタル材料をゲート電極
に用いた場合に、高温熱処理に対する耐熱性のなさや自
己整合コンタクトの困難さなどの問題を解決することが
可能な半導体装置及びその製造方法を提供する事を目的
とする。
【0008】
【課題を解決するための手段】本発明における半導体装
置の製造方法は、半導体基板の主面側に側面が側壁絶縁
膜で囲まれ少なくともダミーゲート膜を含むゲート構成
パターンを形成する工程と、このゲート構成パターンの
両側の半導体基板にソース・ドレイン拡散領域を形成す
る工程と、前記側面が側壁絶縁膜で囲まれたゲート構成
パターンを覆うエッチングストッパー用のストッパー膜
を形成する工程と、このストッパー膜で覆われたゲート
構成パターンが形成されている領域以外の領域に第1の
層間絶縁膜を形成する工程と、前記ソース・ドレイン拡
散領域上の第1の層間絶縁膜を前記ストッパー膜に対し
て選択的に除去して第1の凹部を形成する工程と、前記
ストッパー膜を除去した第1の凹部には前記ソース・ド
レイン拡散領域に接続されるコンタクトプラグ材(特に
メタル材)を埋め込み、少なくとも前記ダミーゲート膜
を除去して得られる第2の凹部には少なくともゲート電
極材(特にメタル材)を埋め込む工程とを有することを
特徴とする。
【0009】前記製造方法によれば、メタルゲート電極
の採用を阻害していた高温熱工程(例えば、ソース・ド
レインの活性化工程、ゲート電極の後酸化工程、リフロ
ー工程等)をメタルゲート電極の形成前に行うことがで
きる。従って、ポリシリコン膜やシリサイド膜に比べて
抵抗値が低いメタル膜をゲート電極に用いることができ
るため、電極の高さが低く且つ低抵抗のゲート電極を実
現することができ、高速化等、素子特性の向上をはかる
ことができる。また、コンタクトプラグがゲート電極に
対して自己整合的に形成できるため、ソース・ドレイン
のコンタクトとゲート電極との距離を側壁絶縁膜の厚さ
分まで近付けることができ、素子の集積度を上げること
ができる。また、ソース・ドレイン領域における寄生抵
抗を低減でき、素子特性の向上をはかることができる。
【0010】前記ゲート構成パターンは例えば半導体膜
(特にポリシリコン膜)及びその上のダミーゲート膜に
よって形成され、この場合には前記半導体膜の下にはゲ
ート絶縁膜が形成されている。
【0011】また、前記ゲート構成パターンは例えばダ
ミーゲート膜のみによって形成され、この場合には、前
記ダミーゲート膜下にはダミーゲート絶縁膜が形成さ
れ、前記ダミーゲート膜及び前記ダミーゲート絶縁膜を
除去して得られる第2の凹部にゲート絶縁膜を介してゲ
ート電極材を埋め込む。このようにすれば、ゲート絶縁
膜に高誘電体膜を含む膜を用いたトランジスタが実現で
きる。すなわち、ゲート絶縁膜として、Taを含む膜の
単層又は積層膜や、Ba、Sr、Tiを含む膜の単層又
は積層膜を用いることができる。従って、ゲート絶縁膜
の酸化膜換算膜厚を低減でき、トランジスタのショート
チャネル効果を押さえたり、ドレイン電流を大きくでき
る等、素子特性の向上をはかることができる。
【0012】また、前記第1の層間絶縁膜を形成する工
程は、前記ストッパー膜上に所定の絶縁膜を形成する工
程と、この絶縁膜を前記ゲート構成パターンとほぼ等し
い高さまで平坦化して前記ゲートパターンの表面を露出
させる工程とからなることが好ましい。このようにすれ
ば、層間絶縁膜に埋め込まれる(第1の凹部に埋め込ま
れる)コンタクトプラグの高さをゲート電極の高さとほ
ぼ等しい高さまで低くすることができるため、コンタク
トプラグの抵抗を下げることができ、素子特性の向上を
はかることができる。
【0013】また、前記第1の凹部にコンタクトプラグ
材、第2の凹部に少なくともゲート電極材を埋め込む工
程は、前記ストッパー膜を除去した第1の凹部にコンタ
クトプラグ材を埋め込む工程と、その後前記ダミーゲー
ト膜を除去して得られる第2の凹部にゲート電極材を埋
め込む工程とによって行うことができる。このようにす
れば、コンタクトプラグ材とゲート電極材にそれぞれに
適したメタル材料等を用いることができ、素子特性の向
上をはかることができる。
【0014】また、前記第1の凹部にコンタクトプラグ
材、第2の凹部に少なくともゲート電極材を埋め込む工
程は、前記ストッパー膜を除去した第1の凹部にコンタ
クトプラグ材を埋め込む工程と、その後前記ダミーゲー
ト膜及びその下のダミーゲート絶縁膜を除去して得られ
る第2の凹部にゲート絶縁膜を介してゲート電極材を埋
め込む工程とによって行ようにしてもよい。このように
すれば、コンタクトプラグ材とゲート電極材にそれぞれ
に適したメタル材料等を用いることができ、素子特性の
向上をはかることができる。
【0015】また、前記第1の凹部にコンタクトプラグ
材、第2の凹部に少なくともゲート電極材を埋め込む工
程は、前記ストッパー膜を除去した第1の凹部及び前記
ダミーゲート膜を除去して得られる第2の凹部に同一工
程で前記コンタクトプラグ材及びゲート電極材となる同
一の材料を埋め込むものでもよい。このように、第1の
凹部及び第2の凹部に同一工程で同一材料を埋め込むこ
とにより、製造工程の簡単化をはかることができる。
【0016】また、前記第1の凹部にコンタクトプラグ
材、第2の凹部に少なくともゲート電極材を埋め込む工
程は、前記ストッパー膜を除去した第1の凹部に所定の
ダミープラグ材を埋め込む工程と、第1の凹部にダミー
プラグ材を残した状態で前記ダミーゲート膜を除去して
第2の凹部を形成する工程と、前記ダミープラグ材を除
去する工程と、このダミープラグ材を除去した第1の凹
部及び前記第2の凹部に同一工程で前記コンタクトプラ
グ材及びゲート電極材となる同一の材料を埋め込む工程
とによって行うようにしてもよい。このようにすれば、
製造工程の簡単化をはかることができるとともに、第1
の凹部にダミープラグ材を残した状態でダミーゲート膜
を除去するので、第1の凹部の底部コーナーにおけるス
トッパー膜の後退を防ぐことができる。
【0017】また、前記第1の凹部にコンタクトプラグ
材、第2の凹部に少なくともゲート電極材を埋め込む工
程は、前記ストッパー膜を除去した第1の凹部に所定の
ダミープラグ材を埋め込む工程と、第1の凹部にダミー
プラグ材を残した状態で前記ダミーゲート膜及びその下
のダミー絶縁膜を除去して第2の凹部を形成する工程
と、この第2の凹部にゲート絶縁膜を介してゲート電極
材を埋め込む工程と、前記ダミープラグ材を除去する工
程と、このダミープラグ材を除去した第1の凹部にコン
タクトプラグ材を埋込む工程とによって行うようにして
もよい。このように、コンタクトプラグの形成をゲート
電極の形成後に行うことにより、ゲート絶縁膜の形成工
程時における高温工程への制約を緩和することができ
る。
【0018】また、前記第1の凹部にコンタクトプラグ
材、第2の凹部に少なくともゲート電極材を埋め込む工
程の後、第2の層間絶縁膜を形成する工程と、この第2
の層間絶縁膜の一部を除去してそのパターン位置が前記
第1の凹部のパターン位置に対して前記ゲート構成パタ
ーンと反対方向にシフトした第3の凹部を形成する工程
と、この第3の凹部に前記第1の凹部に埋め込まれたコ
ンタクトプラグ材に接続される導電材を埋め込む工程を
さらに設けてもよい。
【0019】また、前記第1の凹部にコンタクトプラグ
材、第2の凹部に少なくともゲート電極材を埋め込む工
程の後、第2の層間絶縁膜を形成する工程と、この第2
の層間絶縁膜の一部を除去してそのパターン位置が前記
第1の凹部のパターン位置に対して前記ゲート構成パタ
ーンと反対方向にシフトした第3の凹部及びこの第3の
凹部に連なる配線用の第4の凹部を形成する工程と、こ
れら第3の凹部及び第4の凹部に前記第1の凹部に埋め
込まれたコンタクトプラグ材に接続される導電材を埋め
込む工程をさらに設けてもよい。
【0020】このような工程をさらに設けることによ
り、コンタクトプラグをゲート電極に隣接して自己整合
的に形成したメリットをより一層発揮させることができ
る。すなわち、(配線に接続される導電材が埋め込まれ
る)第3の凹部のパターン位置を第1の凹部のパターン
位置よりもゲート構成パターンから離して形成しても、
コンタクトプラグがゲート電極に対して側壁絶縁膜の厚
さ分の距離しか離れていないため、ソース・ドレイン領
域における寄生抵抗を低減することができ、素子特性の
向上をはかることができる。
【0021】本発明における半導体装置は、半導体基板
の主面側にゲート絶縁膜を介して形成されたゲート電極
(特にメタル材を含むゲート電極)と、このゲート電極
の両側の半導体基板に形成されたソース・ドレイン拡散
領域と、前記ゲート電極の側面に形成された側壁絶縁膜
と、前記ソース・ドレイン拡散領域に接続され前記側壁
絶縁膜によって前記ゲート電極と分離されその上面の高
さが前記ゲート電極の上面の高さとほぼ同一であるコン
タクトプラグ(特にメタル材を用いたコンタクトプラ
グ)とを有することを特徴とする。
【0022】前記半導体装置によれば、コンタクトプラ
グとゲート電極との距離が側壁絶縁膜の厚さ分だけであ
り、素子の集積度を上げることができるとともに、ソー
ス・ドレイン領域における寄生抵抗が低減され、素子特
性の向上をはかることができる。また、コンタクトプラ
グの高さがゲート電極の高さとほぼ等しい程度に低いた
め、コンタクトプラグの抵抗を下げることができ、素子
特性の向上をはかることができる。
【0023】前記コンタクトプラグに配線に連なる導電
材部を接続し、この導電材部のパターン位置を前記コン
タクトプラグのパターン位置に対して前記ゲート電極と
反対方向にシフトしたものとすることができる。このよ
うな構成にすることにより、コンタクトプラグをゲート
電極に隣接して自己整合的に形成したメリットをより一
層発揮させることができる。すなわち、導電材部のパタ
ーン位置をコンタクトプラグのパターン位置よりもゲー
ト電極から離して形成しても、コンタクトプラグがゲー
ト電極に対して側壁絶縁膜の厚さ分の距離しか離れてい
ないため、ソース・ドレイン領域における寄生抵抗を低
減することができ、素子特性の向上をはかることができ
る。
【0024】また、前記側壁絶縁膜にはシリコン酸化膜
を用いることが好ましい。側壁絶縁膜をシリコン窒化膜
に比べて誘電率の小さいシリコン酸化膜で構成すること
により、ゲート電極とソース・ドレインとの間の寄生容
量を低減することができ、トランジスタの素子特性を向
上させることができる。
【0025】
【発明の実施の形態】以下、図面を参照して本発明の実
施形態を説明する。図1〜図3は本発明の第1の実施形
態を示したものであり、図1(a)及び図1(b)はト
ランジスタ部分の平面図及びそのA−A′断面図であ
り、図2(a)〜図3(f)その製造工程断面図であ
る。
【0026】まず、図2(a)に示すように、不純物濃
度5×1015cm-3程度のp型シリコン基板1(n型シ
リコン基板或いはp型Si基板の表面にp型又はn型エ
ピタキシャルSi層を例えば1μm程度の膜厚に成長さ
せたいわゆるエピタキシャル基板を用いてもよい。)の
(100)面に、nチャンネルトランジスタ形成領域に
はpウエル(図示せず)、pチャネルトランジスタ形成
領域にはnウエル(図示せず)を形成する。
【0027】次に、例えば反応性イオンエッチング(R
IE)法を用いてSi基板1に溝を掘り、その溝に絶縁
膜を埋め込んでいわゆるトレンチ型の素子分離層2(ト
レンチ深さ約0.2μm程度のSTI(Shallow Trench
Isolation))を形成する。チャネル領域には、トラン
ジスタのしきい値電圧(Vth)をコントロールする為の
チャネル・イオン注入層(図示せず)を形成する。そし
て、ゲート絶縁膜として膜厚6nm程度のSiO2 膜3
を形成し、このSiO2 膜3の上にゲート電極の一部と
して例えばn+ ポリシリコン膜4を膜厚100nm程度
全面に堆積し、さらに全面にキャップシリコン窒化膜5
(Si34 膜)を例えば膜厚150nm程度堆積す
る。その後、例えばリソグラフィー法とRIE法などを
用いてキャップシリコン窒化膜5及びポリシリコン膜4
を加工する。なお、この後、ゲート電極とソース・ドレ
インの耐圧を改善したり素子の信頼性を向上させる為
に、ポリシリコン層の下部エッジのゲート酸化膜の膜厚
を少しただけ厚くするいわゆる「後酸化」を行っても良
い。
【0028】次に、LDD(Lightly Doped Drain )構
造を形成する為、ゲートパターンのSi34 膜/ポリ
シリコン膜をマスクにして、例えば、リン(P+ )イオ
ンの注入を70keV、4×1013cm-2程度行ない、
- 型拡散層6aを形成する。続いて、SiO2 膜を全
面に堆積した後に全面のRIEを行ない、ゲートパター
ンの側壁部にSiO2 膜を残す「SiO2 の側壁残し」
を行ない、ゲートパターンの側壁に膜厚30nm程度の
SiO2 膜7を形成する。その後、例えば砒素(As
+ )イオンの注入を30keV、5×1015cm-2程度
行なってn+ 型拡散層6bを形成し、いわゆるLDD構
造を形成する。なお、ここではLDD構造を用いている
が、n- 型拡散層のみ或いはn+ 型拡散層のみを形成し
たいわゆるシングル・ソース・ドレイン構造でも良い。
【0029】次に、図2(b)に示すように、全面にス
トッパーSi34 膜8を例えば膜厚20nm程度堆積
し、その後、BPSG膜等の層間絶縁膜9を例えば30
0nm程度堆積する。ここでは省略したが、ストッパー
Si34 膜8とSi基板との界面に例えば膜厚8nm
程度の薄いSiO2 膜(図示せず)を形成しても良い。
この様にすると、ストッパーSi34 膜8を除去する
場合に、Si基板にダメージを与えずにストッパーSi
34 膜8を剥離できる利点がある。
【0030】次に、例えば800℃程度のN2 雰囲気で
BPSG膜9のメルトを兼ねて30分程度デンシファイ
を行なう。この熱工程はソース・ドレインのイオン注入
層の活性化も兼ねている。拡散層の深さ(Xj )を抑え
たい時は、デンシファイの温度を750℃程度に低温化
し、950℃で10秒程度のRTA(Rapid ThermalAnn
eal)プロセスと併用して、イオン注入層の活性化を行
なっても良い。この後、全面をCMP(Chemical Mecha
nical Polishing )により平坦化し、ゲートパターン上
のストッパーSi34 膜8の表面を露出させる。
【0031】次、図2(c)に示すように、通常のレジ
ストを用いたリソグラフィー法により形成したレジスト
パターン10を用いて、BPSG膜等の層間絶縁膜9の
異方性エッチング(RIE)を行う。この時のエッチン
グは、BPSG膜等の層間絶縁膜9のエッチングは進行
するが、ストッパーSi34 膜8のエッチングは進行
しない、いわゆる選択エッチングの条件を使う。この様
なBPSG膜及びSi34 膜の高選択比エッチングを
行う事により、ストッパーSi34 膜8上でエッチン
グをストップさせる事ができる。このようにして、コン
タクトプラグを埋め込む為の穴16を形成する。
【0032】次に、図3(d)に示すように、レジスト
10をマスクとして、RIE法等によりコンタクトの底
部のストッパーSi34 膜8を除去し、Si基板表面
を露出させる。この時、図示するように、ストッパーS
34 膜8がゲート側壁SiO2 膜7の側壁部に残る
ことが有り得るが、トランジスタ特性上の大きな問題は
無い。図2(b)で説明したように、もし、ストッパー
Si34 膜8とSi基板界面に例えば8nm程度のS
iO2 膜(図示せず)を用いた場合は、コンタクト領域
のSi34 膜8を除去した後にコンタクト底のSiO
2 膜(図示せず)を除去してSi基板を露出させる。こ
の後レジスト10を除去する。
【0033】次に、コンタクトプラグとして、例えばメ
タル膜(タングステン(W)膜、Ru膜、TiN膜、タ
ングステンナイトライド膜(WNx )など、或いはそれ
らの積層膜でも良い)を、例えばCVD法やスパッタ法
により全面に堆積する。Si基板1との密着性やコンタ
クト抵抗の低減化という観点から、下側から順にTi
膜、TiN膜、タングステン(W)膜を積層した積層膜
が望ましい。この後、全面をCMPにより平坦化し、ゲ
ートパターン上のストッパーSi34 膜8又はキャッ
プSi34 膜5の表面を露出させるとともに、コンタ
クト領域に上記メタル材料を埋め込んでコンタクトプラ
グ11を形成する。もちろん、この時のCMPの条件
は、メタル材のCMP速度がBPSG膜9やSi34
膜5、8に対して速いような条件(メタルCMP条件)
を選択する。
【0034】次に、図3(e)に示すように、露出した
Si34 膜8及びキャップSi34 膜5を例えばホ
ットリン酸溶液などにより選択的に除去する事により、
ゲート電極の一部であるポリシリコン層4の表面を露出
させ、溝17を形成する。
【0035】次に、図3(f)に示すように、ポリシリ
コン膜4上を含む全面にメタル膜12(タングステン
(W)膜、Ru膜、TiN膜、タングステンナイトライ
ド膜(WNx )など、或いはそれらの積層膜でも良い)
をCVD法やスパッタ法などにより堆積した後、全面を
メタル膜のCMP条件でCMPする事により、メタル膜
12をポリシリコンゲート電極4の上に形成する。つま
り、メタル膜12をキャップSi34 膜5を除去した
後の溝17の中に埋め込み、ポリシリコン膜4とメタル
膜12からなるメタルゲート電極構造を形成する。メタ
ル電極膜としては、ポリシリコン膜との反応を防ぐ目的
で下側から順にタングステン・ナイトライド膜、タング
ステン(W)膜を積層したものでも良いし、熱工程が抑
えられていることからポリシリコン膜と反応しないので
あれば、タングステン(W)膜の単層膜でも良い。
【0036】次に、全面に層間絶縁膜としてSiO2
13を約300nm程度の膜厚で堆積した後、ソース、
ドレイン領域のコンタクトプラグ及びゲート電極へのコ
ンタクトを開口し、Al層をパターニングして配線14
を形成する。さらに全面にパッシベーション膜15を堆
積し、図1に示すようなトランジスタの基本構造が完了
する。
【0037】以上のような製造方法によれば、ソース・
ドレインの活性化、後酸化或いはリフロー工程などの高
温熱処理工程をメタルゲート電極形成前に実施できるの
で、メタルゲート電極は高温工程を受ける事がなく、メ
タル膜の異常酸化やメタル膜の異常粒成長などを抑える
事ができる。また、ゲート電極加工法をRIEからCM
Pにかえたので、メタル電極の材料の選択の制約(例え
ば耐熱性等)が無くなり、所望の低抵抗化が達成できる
メタル電極材料を選択できる。また、RIE時にあった
ようなゲート電極形成時のプラズマ・プロセス・ダメー
ジ(ゲート絶縁膜の絶縁破壊など)を回避できる。ま
た、メタル電極に自己整合的にコンタクトプラグを形成
できる為、コンタクトとゲート電極間の距離を近づける
事ができ、素子の集積度を向上させる事ができる。さら
に、ゲート電極とコンタクトプラグ間をシリコン窒化膜
に比べて誘電率の小さい酸化膜で形成できるため、ゲー
ト電極とソース・ドレイン間の容量を小さくでき、素子
の高速化が達成できるなどの特徴がある。
【0038】次に、本発明の第2の実施形態について説
明する。図4(a)〜(c)は、本発明の第1の実施形
態におけるコンタクトプラグと配線層との接続の仕方を
説明するための図1(b)断面図に対応する工程断面図
である。
【0039】第1の実施形態では、メタル配線層として
Al層をレジストマスクを用いたRIE法でパターニン
グし、配線層を形成する例について示した。本実施形態
では、デュアル・ダマシン(Dual Damascene)法を用い
てコンタクト及び配線を形成する例について示す。
【0040】第1の実施形態で示した図3(f)の工程
の後、図4(a)に示すように、全面に層間絶縁膜とし
て例えばプラズマTEOS法によるSiO2 膜13を約
300nm程度の膜厚堆積した後、通常のリソグラフィ
ー法により形成したコンタクトパターンのレジスト膜2
0をマスクとして、RIE法によりコンタクトプラグ1
1へのコンタクト(穴18)及びゲート電極へのコンタ
クト(図示せず)を開孔する。この時、コンタクトパタ
ーンは、コンタクトプラグ11を完全に覆うように配置
するのではなく、図1(a)に示すように、コンタクト
プラグ11の一部に重なるように且つゲート電極と離れ
るような方向にシフトさせてレイアウトする。図中のa
及びbは、それぞれコンタクトのコンタクトプラグ及び
ゲート電極との距離であるが、例えば、a=70nm、
b=100nm程度に設定できる。もちろん、リソグラ
フィー法における重ね合わせ(Overlay )精度の実力に
よってこれらの値は変更する必要がある。
【0041】次に、図4(b)に示すように、いわゆる
デュアルダマシン法で配線層を形成する場合、通常のリ
ソグラフィー法により配線パターンにレジストがないレ
ジストパターン21を形成し、RIE法によりSiO2
膜13の将来配線となる領域に溝パターンを形成する。
この時、例えば溝19の深さは0.25μm程度とす
る。
【0042】次に、図4(c)に示すように、コンタク
トの穴18及び配線層の溝19に、例えばAl−Cu層
を高温スパッタなどでリフロー(reflow)して埋め込
む。その後、メタル(Al−Cu)のCMP条件でCM
Pを行い、コンタクトの穴及び配線層の溝にのみAl−
Cu膜を残置させる。この様にして、配線14を形成す
る。
【0043】この様な製造方法をとることにより、コン
タクトプラグをゲート電極に隣接して自己整合的に形成
したメリットを最大限に生かす事ができる。すなわち、
配線のコンタクトをゲート電極から離して形成しても、
コンタクトプラグはゲート電極に側壁膜(SiO2 膜)
7の幅まで近づけて形成されている為、実質的なゲート
電極−コンタクト間の距離を近づける事ができる。これ
により、ソース・ドレイン領域における寄生抵抗を低減
でき、トランジスタの素子特性を向上させる事ができ
る。
【0044】次に、本発明の第3の実施形態について図
5及び図6を参照して説明する。図5(a)〜図6
(e)は、第3の実施形態の工程断面図である。第1の
実施形態では、ゲート電極がポリシリコン層とメタル層
からなるいわゆる「ポリメタル電極」の場合を説明した
が、本実施形態では、ゲート電極がメタル層のみの場合
の「メタル電極」に本発明を適用した例について説明す
る。
【0045】まず、図5(a)に示すように、不純物濃
度5×1015cm-3程度のp型シリコン基板1(n型シ
リコン基板或いはp型Si基板の表面にp型又はn型エ
ピタキシャルSi層を例えば1μm程度の膜厚に成長さ
せたいわゆるエピタキシャル基板を用いてもよい)の
(100)面に、nチャンネルトランジスタ形成領域に
はpウエル(図示せず)、pチャネルトランジスタ形成
領域にはnウエル(図示せず)を形成する。
【0046】次に、例えばRIE法を用いてSi基板1
に溝を掘り、その溝に絶縁膜を埋め込んでいわゆるトレ
ンチ型の素子分離層2(トレンチ深さ約0.2μm程度
のSTI)を形成する。その後、所望のチャネル領域に
トランジスタのしきい値電圧(Vth)をコントロールす
る為のチャネルイオン注入層(図示せず)を形成する。
続いて、膜厚6nm程度のダミーSiO2 膜30を形成
し、このSiO2 膜30上にダミーゲートパターンとな
るシリコン窒化膜31を例えば膜厚200nm程度堆積
する。その後、例えばリソグラフィー法とRIE法など
を用いてダミーゲート膜のシリコン窒化膜31を加工
し、ダミーゲートパターンを形成する。
【0047】次に、LDD構造を形成する為、ダミーゲ
ートパターンのSi34 膜31をマスクにして、例え
ば、リン(P+ )イオンの注入を70keV、4×10
13cm-2程度行ない、n- 型拡散層6aを形成する。続
いて、SiO2 膜を全面に堆積した後、全面のRIEを
行ない、ダミーゲートパターンの側壁部にSiO2 膜を
残す「SiO2 の側壁残し」を行ない、ダミーゲートパ
ターンの側壁に膜厚30nm程度のSiO2 膜7を形成
する。その後、例えば砒素(As+ )イオンの注入を3
0keV、5×1015cm-2程度行なってn+ 型拡散層
6bを形成し、いわゆるLDD構造を形成する。なお、
ここではLDD構造を用いているが、n- 型拡散層のみ
或いはn+ 型拡散層のみの、いわゆるシングル・ソース
・ドレイン構造でも良い。また、ダミーゲートパターン
やLDDのSiO2 膜を形成する場合、Si基板1がエ
ッチングダメージを受けないように、エッチング条件を
設定する事が重要である。
【0048】次に、図5(b)に示すように、全面にス
トッパーSi34 膜8を例えば膜厚20nm程度堆積
し、その後、BPSG膜等の層間絶縁膜9を例えば40
0nm程度堆積する。なお、ここでは省略したが、スト
ッパーSi34 膜8とSi基板界面に、例えば膜厚5
nm程度の薄いSiO2 膜(図示せず)を形成しても良
い。この様にすると、後の工程でストッパーSi34
膜8を剥離する場合に、Si基板にエッチングダメージ
を与えずにストッパーSi34 膜8を剥離できる利点
がある。次に、CMPにより平坦化を行ない、ゲートパ
ターン上のストッパーSi34 膜8の表面を露出させ
る。
【0049】この後、CMP時にできる細かいキズをな
くす為に、例えば800℃程度のN2 雰囲気で30分程
度デンシファイ(またはメルト)を行なう。この熱工程
はソース・ドレインのイオン注入層の活性化も兼ねてい
る。拡散層の深さ(Xj )を抑えたい時は、デンシファ
イの温度を750℃程度に低温化し、950℃で10秒
程度のRTAプロセスと併用してイオン注入層の活性化
を行なっても良い。この工程は、BPSG膜9をCMP
する前に行っても良いし、CMPを行った後に再度BP
SG膜を200nm程度の膜厚堆積し、高温(800℃
程度)でメルトを行った後、全面をウエットエッチング
してゲートパターン上のストッパーSi34 膜8の表
面を露出させても良い。
【0050】次に、図5(c)に示すように、通常のリ
ソグラフィー法により所望のコンタクトホールのレジス
トパターン33を形成し、これをマスクとしてBPSG
膜等の層間絶縁膜9の異方性エッチングを行う。この時
のエッチングは、BPSG膜等の層間絶縁膜9のエッチ
ングは進行するが、ストッパーSi34 膜8のエッチ
ングは進行しない、いわゆる選択エッチングの条件を使
う。この様なBPSG膜/Si34 膜の高選択比エッ
チングを行う事により、コンタクトのエッチングはスト
ッパーSi34 膜8上でストップさせる事ができる。
このようにして、コンタクトプラグを埋め込む為の穴1
6を形成する。
【0051】次に、図6(d)に示すように、レジスト
33を除去した後、RIE法等によりコンタクトの底部
のストッパーSi34 膜8を除去し、Si基板1を露
出させる。この時、図示するように、ストッパーSi3
4 膜8がゲート側壁SiO2 膜7の側壁部に残ること
が有り得るが、トランジスタ特性上の大きな問題は無
い。もちろん、全部ストッパーSi34 膜8を除去す
るような条件でエッチングしても良い。図5(b)の工
程で説明したように、もし、ストッパーSi34 膜8
とSi基板界面に例えば5nm程度のSiO2 膜(図示
せず)を用いた場合には、コンタクト領域のSi34
膜8を除去した後にコンタクト底のSiO2 膜(図示せ
ず)を除去してSi基板を露出させる。
【0052】次に、コンタクトプラグとして、例えばメ
タル膜(タングステン(W)膜、Ru膜、TiN膜、タ
ングステンナイトライド膜(WNx )など、或いはそれ
らの積層膜でも良い)を全面に堆積する。この後、CM
Pによって平坦化を行い、ゲートパターン上のストッパ
ーSi34 膜8又はダミーゲートパターンSi34
膜31の表面を露出させると共に、メタル材をコンタク
ト領域に埋め込んでコンタクトプラグ11を形成する。
もちろん、この時のCMPの条件は、メタル材のCMP
速度がBPSG膜9やSi34 膜8、5に対して速い
ような条件(メタルCMP条件)を選択する。その後、
露出したストッパーSi34 膜8及びダミーゲートパ
ターンのSi34 膜31を選択的に除去し、溝17を
形成する。
【0053】次に、図6(e)に示すように、ダミーS
iO2 膜30を剥離してSi基板表面を露出した後、全
面にゲート絶縁膜34として例えば高誘電体膜(Ta2
5や(Ba,Sr)TiO3 膜など)を膜厚20nm
程度堆積する。この時、Si界面との間にいわゆる界面
準位等ができにくいように、薄い(例えば1nm程度)
SiO2 膜(図示せず)、界面RTPを用いてNH3
ス雰囲気でSi表面に直接窒化した膜(図示せず)、S
34 膜(図示せず)などを介して高誘電体膜を堆積
しても良い。また、ゲート絶縁膜としてCVD−SiO
2 膜、CVD−SiOxy 膜或いはCVD−Si3
4 膜を含む積層膜を用いても良い。これらの場合には、
膜形成後に、例えば1000℃、10秒程度のRTAに
よる熱処理を行ってデンシファイしても良い。この様に
すると、Si界面の界面準位が減少したりリーク電流が
減少するなど、絶縁膜としての絶縁特性が改善される。
コンタクトプラグ11とSi基板の間のコンタクト特性
が劣化しないような条件を選択する事が重要である。
【0054】次に、ゲート電極として、例えばメタル膜
35(Ru膜、TiN膜、W膜、タングステンナイトラ
イド膜(WNx )など或いはそれらの積層膜でも良い)
を全面に堆積する。もちろん、CVD−SiO2 膜、C
VD−SiON膜或いはCVD−Si34 膜を含む積
層膜がゲート絶縁膜の場合には、不純物をドープした多
結晶Siをゲート電極として用いても良い。その後、全
面をメタルCMP条件でCMPする事により、メタル電
極35及び高誘電体ゲート絶縁膜34をダミーゲートパ
ターン31を除去した後の溝17の中に埋め込み、メタ
ルゲート電極を形成する。
【0055】次に、全面に層間絶縁膜としてSiO2
(図示せず)を約200nm程度の膜厚堆積した後、ソ
ース・ドレインへのコンタクト(図示せず)、ゲート電
極へのコンタクト(図示せず)を開口し、配線層となる
Al層をパターニングして配線(図示せず)を形成す
る。さらに、全面にパッシベーション膜(図示せず)を
堆積し、トランジスタの基本構造が完了する。もちろ
ん、第2の実施形態で説明したデュアルダマシン法によ
る配線法を用いても良い。
【0056】以上のような製造方法により、ソース・ド
レインの活性化及びリフロー工程などの高温熱処理工程
をゲート絶縁膜である高誘電体膜形成前に実施できるの
で、高誘電体ゲート絶縁膜又はメタル電極は高温工程を
受ける事がなく、リーク電流増加などのゲート絶縁膜の
劣化を抑える事ができる。すなわち、高誘電体ゲート絶
縁膜を用いたトランジスタを実現でき、ゲート絶縁膜の
酸化膜換算膜厚が小さくでき、トランジスタのショート
チャネル効果を抑えたり、ドレイン電流が大きくできる
などの素子特性向上が達成できる。また、ゲート電極と
ソース・ドレイン拡散層の耐圧や素子の信頼性を向上さ
せることができる。また、ゲート電極の加工法をRIE
からCMPにかえることにより、メタル電極の材料の選
択の制約(例えば耐熱性等)が無くなり、高誘電体膜の
リーク電流が下げられるようなメタル電極材料を選択で
きる。また、RIE時にあったようなゲート電極形成時
のプラズマプロセスダメージ(ゲート絶縁膜の絶縁破壊
など)を回避できる。また、先にソース・ドレインを形
成するが、ソース・ドレインに対して自己整合的にゲー
ト電極が形成され、ゲート電極とソース・ドレインは従
来どおり自己整合的に形成できる。また、ゲート電極と
コンタクトプラグが自己整合的に形成できる為、コンタ
クトとゲート電極間の距離を近づける事ができ、素子の
集積度を向上させる事ができる。さらに、ゲート電極と
コンタクトプラグ間をシリコン窒化膜に比べて誘電率の
小さい酸化膜で形成できるため、ゲート電極とソース・
ドレイン間の容量が小さくでき、素子の高速化が達成で
きるなどの特徴がある。
【0057】次に、本発明の第4の実施形態について説
明する。図7は、第4の実施形態における製造工程の一
部示した断面図である。本実施形態は、第1及び第3の
実施形態で説明したように、全面にストッパーSi3
4 膜8を例えば膜厚20nm程度堆積し、その後BPS
G膜等の層間絶縁膜9を例えば400nm程度堆積する
際に、ストッパーSi34 膜8とSi基板1の界面に
例えば膜厚5nm程度の薄いSiO2 膜36を熱酸化等
により形成した場合の例である。この様にすると、スト
ッパーSi34 膜8を除去する場合に、Si基板にダ
メージを与えずにストッパーSi34 膜8を剥離でき
る利点がある。
【0058】次に、本発明の第5の実施形態について説
明する。図8は、第5の実施形態における製造工程の一
部を示した断面図である。第1の実施形態では、通常の
ソース・ドレインを用いる例を説明したが、浅いソース
・ドレイン拡散層の抵抗を下げる為、ソース・ドレイン
拡散層の表面にチタン(Ti)やコバルト(Co)など
のシリサイド膜37を選択的に形成することもできる。
【0059】本例では、シリサイド膜37の形成時には
ゲート電極のメタル膜はまだ形成されていないため、シ
リサイド化の為の熱工程(例えば600℃、30分程
度)でゲート電極が劣化することはない。また、シリサ
イド膜37とゲート電極は、側壁SiO2 膜7等で分離
されている為、シリサイド膜37とゲート電極のショー
トが回避できると言う特徴がある。
【0060】次に、本発明の第6の実施形態について説
明する。図9は、第6の実施形態における製造工程の一
部示した断面図である。第1の実施形態では通常のソー
ス・ドレインを用いる例を説明したが、本実施形態で
は、ソース・ドレイン拡散層の抵抗を下げる為に、選択
エピタキシャルSi成長法を用いて、ソース・ドレイン
層6上にエピタキシャルSi層38を例えば50nm程
度の膜厚で選択的に形成している。エピタキシャルSi
層38を形成してからソース・ドレインのイオン注入を
行なっても良いし、エピタキャルSi層を形成する前に
ソース・ドレインのイオン注入を行なってもよい。
【0061】本例では、選択エピタキシャルSi膜の形
成時にはゲート電極のメタル膜はまだ形成されておら
ず、選択エピタキシャルSi成長時の熱工程(例えば、
1000℃でのSi表面の自然酸化膜を除去する為の前
処理や、700℃程度のSiエピタキシャル成長)でメ
タルを用いたゲート電極は劣化しない。また、選択エピ
タキシャルSi膜とゲート電極は、側壁SiO2 膜7等
で分離されている為、選択エピタキシャルSi膜とゲー
ト電極のショートが回避できる特徴がある。
【0062】次に、本発明の第7の実施形態について説
明する。図10(a)及び(b)は、第7の実施形態に
おける製造工程の一部を示した断面図である。第1の実
施形態等では、コンタクトプラグを先に形成した後、ゲ
ート電極のメタル層を形成する例を説明した。本実施形
態では、コンタクトプラグとゲート電極のメタル層を同
時に形成する例を説明する。
【0063】図10(a)は、図2(c)の工程の後に
続く工程である。まず、コンタクト孔のRIEの後、露
出しているストッパーSi34 膜8及びキャップSi
34 膜5を例えばRIE法或いはホットリン酸(16
5℃のH3 PO4 溶液)やフッ酸グリセロール液などで
酸化膜及びSiに対して選択的に除去し、コンタクト孔
底部のSi基板やゲート電極のポリシリコン層4の表面
を露出させる。
【0064】次に、図10(b)に示すように、コンタ
クトプラグ及びゲート電極のメタル電極部として、例え
ばメタル膜(タングステン(W)膜、Ru膜、TiN
膜、タングステンナイトライド膜(WNx )など或いは
これらの積層膜でも良い)を全面に堆積する。その後、
CMPで平坦化を行い、コンタクトの開孔部およびキャ
ップSi34 膜5を除去した後の溝に前記メタル膜を
同時に埋め込み、コンタクトプラグ40a及びゲート電
極のメタル電極部40bを形成する。もちろん、この時
のCMPの条件はメタル材のCMP速度がBPSG膜9
やSiO2 膜7に対して速いような条件(メタルCMP
条件)を選択する。
【0065】この様な製造方法によれば、コンタクトプ
ラグとメタルゲート電極の形成が同時にできるので、工
程の簡略化がはかれる。その他のメリットは、第1の実
施形態等と同様である。
【0066】次に、本発明の第8の実施形態について説
明する。図11(a)〜(c)は、第8の実施形態にお
ける製造工程の一部示した断面図である。第7の実施形
態では、コンタクトプラグとメタルゲート電極を同時に
形成する例を説明した。本実施形態では、コンタクトプ
ラグの形成に際して、コンタクトプラグ形成領域にあら
かじめ後の工程で除去し易い材料を埋め込んでおく例を
説明する。
【0067】図11(a)は図2(c)の工程の後に続
く工程である。まず、RIE法等によりコンタクト孔の
底部のストッパーSi34 膜8を除去し、Si基板表
面を露出させる。続いて、コンタクトプラグ形成領域に
塗布型の酸化膜(SOG(Spin on Glass )やSiO2
ライクな膜(例えばFOXなど))を塗布し、これを低
温でベークして酸化膜に変える。その後、全面をCMP
してSOGやFOXなどのダミー膜50をコンタクトプ
ラグ形成領域に埋め込む。例えば、SOGやFOXは通
常の酸化膜に対して、希釈したフッ酸等に対するエッチ
ング速度が約100倍くらい速いなどの特徴がある。ま
た、FOXは通常の酸化膜はエッチングされないアルカ
リ液に対してもエッチングされるなどの特徴がある。
【0068】次に、図11(b)に示すように、露出し
たキャップSi34 膜5を例えばホットリン酸(16
5℃のH3 PO4 溶液)やフッ酸グリセロール液などで
酸化膜及びSiに対して選択的に除去する。コンタクト
プラグ領域はFOX膜50で覆われておりエッチングさ
れない。
【0069】次に、図11(c)に示すように、コンタ
クトプラグ領域のFOX膜50を例えばアルカリ溶液
(例えばレジストの現像に用いる現像液など)に浸す事
により選択除去する。その後、コンタクトプラグ及びゲ
ート電極のメタル電極部として、例えばメタル膜(タン
グステン(W)膜、Ru膜、TiN膜、タングステンナ
イトライト膜(WNx )など或いはこれらの積層膜でも
良い)を全面に堆積する。その後、全面をCMPして平
坦化を行い、コンタクトの開孔部及びキャップSi3
4 膜5を除去した後の溝に前記メタル膜を同時に埋め込
み、コンタクトプラグ51a及びゲート電極のメタル電
極部51bを同時に形成する。もちろん、この時のCM
Pの条件はメタル材のCMP速度がBPSG膜9やSi
2 膜7に対して速いような条件(メタルCMP条件)
を選択する。
【0070】この様な製造方法によれば、コンタクトプ
ラグ領域の底部コーナーにおけるストッパーSi34
膜8の後退を防ぐ事ができる。また、第7の実施形態と
同様に、コンタクトプラグとメタルゲート電極が同時に
形成できるため、工程の簡略化がはかれる。その他のメ
リットは、第1の実施形態等と同様である。
【0071】次に、本発明の第9の実施形態について説
明する。図12(a)〜(c)は、第9の実施形態にお
ける製造工程の一部示した断面図である。第7及び第8
の実施形態では、ポリシリコン及びメタルからなるゲー
ト電極のメタル電極部とコンタクトプラグとを同時に形
成する例を説明した。本実施形態では、メタルのみのゲ
ート電極の場合のコンタクトプラグを形成する際に、コ
ンタクトプラグ領域にあらかじめ後の工程で除去し易い
材料を埋め込んでおく例を説明する。
【0072】図12(a)は図5(c)の工程の後に続
く工程である。まず、RIE法等によりコンタクトの底
部のストッパーSi34 膜8を除去し、Si基板1表
面を露出させる。続いて、コンタクトプラグ領域に塗布
型の酸化膜(SiO2 ライクな膜(例えばFOX)やS
OGなど)を塗布し、低温(例えば150℃程度)でベ
ークして酸化膜に変える。そして、全面をCMP或いは
RIE法でエッチングバックして、コンタクトプラグ領
域にSOGやFOXなどのダミー膜50を埋め込み、ダ
ミーゲートSi34 膜31を露出させる。
【0073】次に、図12(b)に示すように、露出し
たダミーゲートSi34 膜31を例えばホットリン酸
(165℃のH3 PO4 溶液)やフッ酸グリセロール液
などで酸化膜及びSiに対して選択的に除去する。コン
タクトプラグ領域はダミー膜となるFOX膜50で覆わ
れており、ストッパーSi34 膜8はエッチングされ
ない。
【0074】次に、ダミーSiO2 膜30を剥離してS
i基板1表面を露出した後、全面にゲート絶縁膜52と
して、例えば高誘電体膜(Ta25 膜や(Ba,S
r)TiO3 膜など)を膜厚20nm程度堆積する。こ
の時、Si界面との間にいわゆる界面準位等ができにく
いように、界面に薄い(例えば1nm程度)SiO2
(図示せず)、界面をRTPを用いてNH3 ガス雰囲気
でSi表面に直接窒化した膜(図示せず)、Si34
膜(図示せず)などを介して高誘電体膜を堆積しても良
い。また、ゲート絶縁膜はCVD−SiO2 、CVD−
SiOxy 膜或いはCVD−Si34 膜を含む積層
膜でも良い。これらの場合には、膜形成後に例えば10
00℃、10秒程度のRTPによる熱処理を行ってデン
シファイしても良い。この様にすると、Si界面の界面
準位が減少したり、リーク電流が減少するなど絶縁膜と
しての絶縁特性が改善される。コンタクトプラグ領域に
はまだメタル材が形成されていないので、ゲート絶縁膜
の形成工程での高温工程に対する制約が緩和できる。
【0075】次に、ゲート電極として、例えばメタル膜
53(Ru膜、TiN膜、W膜、タングステンナイトラ
イド膜(WNx )など或いはこれらの積層膜でも良い)
を全面に堆積する。もちろん、CVD−SiO2 膜、C
VD−SiON膜或いはCVD−Si34 膜を含む積
層膜がゲート絶縁膜の場合には、不純物をドープした多
結晶Siをゲート電極として用いても良い。次に、全面
をメタルCMP条件でCMPする事により、メタル電極
53及び高誘電体ゲート絶縁膜52をダミーゲートを除
去した後の溝の中に埋め込み、メタルゲート電極を形成
する。
【0076】次に、図12(c)に示すように、コンタ
クトプラグ領域のFOX膜50を例えばアルカリ溶液
(例えばレジストの現像に用いる現像液など)や希釈し
たフッ酸溶液に浸す事により選択除去する。その後、例
えばメタル膜54(タングステン(W)膜、Ru膜、T
iN膜、タングステンナイトライト膜(WNx )など或
いはこれらの積層膜でも良い)を全面に堆積する。続い
て、CMPにより平坦化を行い、コンタクトの開孔部に
前記メタル膜を埋め込み、コンタクトプラグ54を形成
する。もちろん、この時のCMPの条件は、メタル材の
CMP速度がBPSG膜9やSiO2 膜7に対して速い
ような条件(メタルCMP条件)を選択する。
【0077】以上の様な製造方法によれば、メタルのみ
を用いたゲート電極の場合にもコンタクトプラグとメタ
ルゲート電極とが自己整合的に形成できる。コンタクト
プラグをメタルゲート電極の形成後に形成するので、ゲ
ート絶縁膜の形成工程時の高温工程への制約が緩和され
るという特徴がある。その他のメリットは、第1の実施
形態等と同様である。
【0078】以上、本発明の実施形態について説明した
が、本発明はこれらの実施形態に限定されるものではな
く、その趣旨を逸脱しない範囲内において種々変形して
実施可能である。
【0079】
【発明の効果】本発明によれば、高温熱工程をゲート電
極の形成前に行うことができるため、抵抗値が低いメタ
ル材をゲート電極に用いることができ、高速化等、素子
特性の向上をはかることができる。また、コンタクトプ
ラグがゲート電極に対して自己整合的に形成できるた
め、ソース・ドレインのコンタクトとゲート電極との距
離を側壁絶縁膜の厚さ分まで近付けることができ、素子
の集積度を上げることができるとともに、素子特性の向
上をはかることができる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係るトランジスタ形
成領域の構成を示した平面図及び断面図。
【図2】本発明の第1の実施形態に係る製造工程の一部
を示した断面図。
【図3】本発明の第1の実施形態に係る製造工程の一部
を示した断面図。
【図4】本発明の第2の実施形態に係る製造工程の一部
を示した断面図。
【図5】本発明の第3の実施形態に係る製造工程の一部
を示した断面図。
【図6】本発明の第3の実施形態に係る製造工程の一部
を示した断面図。
【図7】本発明の第4の実施形態に係る製造工程の一部
を示した断面図。
【図8】本発明の第5の実施形態に係る製造工程の一部
を示した断面図。
【図9】本発明の第6の実施形態に係る製造工程の一部
を示した断面図。
【図10】本発明の第7の実施形態に係る製造工程の一
部を示した断面図。
【図11】本発明の第8の実施形態に係る製造工程の一
部を示した断面図。
【図12】本発明の第9の実施形態に係る製造工程の一
部を示した断面図。
【図13】従来技術の一例を示した断面図。
【図14】従来技術の他の例を示した断面図。
【符号の説明】
1…シリコン基板 3…ゲート絶縁膜 4…ポリシリコン膜 5…シリコン窒化膜(ダミーゲート膜) 6…ソース・ドレイン拡散領域 7…シリコン酸化膜(側壁絶縁膜) 8…シリコン窒化膜(ストッパー膜) 9…シリコン酸化膜(第1の層間絶縁膜) 11…コンタクトプラグ 12…メタル膜(ゲート電極) 13…シリコン酸化膜(第2の層間絶縁膜) 14…配線 16…第1の凹部 17…第2の凹部 18…第3の凹部 19…第4の凹部 30…シリコン酸化膜(ダミーゲート絶縁膜) 31…シリコン窒化膜(ダミーゲート膜) 34…ゲート絶縁膜 35…メタル膜(ゲート電極) 40a…メタル膜(コンタクトプラグ) 40b…メタル膜(ゲート電極) 50…塗布型の酸化膜(ダミープラグ材) 51a…メタル膜(コンタクトプラグ) 51b…メタル膜(ゲート電極) 52…ゲート絶縁膜 53…メタル膜(ゲート電極) 54…メタル膜(コンタクトプラグ)

Claims (14)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板の主面側に側面が側壁絶縁膜
    で囲まれ少なくともダミーゲート膜を含むゲート構成パ
    ターンを形成する工程と、このゲート構成パターンの両
    側の半導体基板にソース・ドレイン拡散領域を形成する
    工程と、前記側面が側壁絶縁膜で囲まれたゲート構成パ
    ターンを覆うエッチングストッパー用のストッパー膜を
    形成する工程と、このストッパー膜で覆われたゲート構
    成パターンが形成されている領域以外の領域に第1の層
    間絶縁膜を形成する工程と、前記ソース・ドレイン拡散
    領域上の第1の層間絶縁膜を前記ストッパー膜に対して
    選択的に除去して第1の凹部を形成する工程と、前記ス
    トッパー膜を除去した第1の凹部には前記ソース・ドレ
    イン拡散領域に接続されるコンタクトプラグ材を埋め込
    み、少なくとも前記ダミーゲート膜を除去して得られる
    第2の凹部には少なくともゲート電極材を埋め込む工程
    とを有することを特徴とする半導体装置の製造方法。
  2. 【請求項2】 前記ゲート構成パターンは半導体膜及び
    その上のダミーゲート膜によって形成され、前記半導体
    膜の下にはゲート絶縁膜が形成されていることを特徴と
    する請求項1に記載の半導体装置の製造方法。
  3. 【請求項3】 前記ゲート構成パターンはダミーゲート
    膜のみによって形成され、このダミーゲート膜下にはダ
    ミーゲート絶縁膜が形成され、前記ダミーゲート膜及び
    前記ダミーゲート絶縁膜を除去して得られる第2の凹部
    にゲート絶縁膜を介してゲート電極材を埋め込むことを
    特徴とする請求項1に記載の半導体装置の製造方法。
  4. 【請求項4】 前記第1の層間絶縁膜を形成する工程
    は、前記ストッパー膜上に所定の絶縁膜を形成する工程
    と、この絶縁膜を前記ゲート構成パターンとほぼ等しい
    高さまで平坦化する工程とからなることを特徴とする請
    求項1に記載の半導体装置の製造方法。
  5. 【請求項5】 前記第1の凹部にコンタクトプラグ材、
    第2の凹部に少なくともゲート電極材を埋め込む工程
    は、前記ストッパー膜を除去した第1の凹部にコンタク
    トプラグ材を埋め込む工程と、その後前記ダミーゲート
    膜を除去して得られる第2の凹部にゲート電極材を埋め
    込む工程とからなることを特徴とする請求項1に記載の
    半導体装置の製造方法。
  6. 【請求項6】 前記第1の凹部にコンタクトプラグ材、
    第2の凹部に少なくともゲート電極材を埋め込む工程
    は、前記ストッパー膜を除去した第1の凹部にコンタク
    トプラグ材を埋め込む工程と、その後前記ダミーゲート
    膜及びその下のダミーゲート絶縁膜を除去して得られる
    第2の凹部にゲート絶縁膜を介してゲート電極材を埋め
    込む工程とからなることを特徴とする請求項1に記載の
    半導体装置の製造方法。
  7. 【請求項7】 前記第1の凹部にコンタクトプラグ材、
    第2の凹部に少なくともゲート電極材を埋め込む工程
    は、前記ストッパー膜を除去した第1の凹部及び前記ダ
    ミーゲート膜を除去して得られる第2の凹部に同一工程
    で前記コンタクトプラグ材及びゲート電極材となる同一
    の材料を埋め込むものであることを特徴とする請求項1
    に記載の半導体装置の製造方法。
  8. 【請求項8】 前記第1の凹部にコンタクトプラグ材、
    第2の凹部に少なくともゲート電極材を埋め込む工程
    は、前記ストッパー膜を除去した第1の凹部に所定のダ
    ミープラグ材を埋め込む工程と、第1の凹部にダミープ
    ラグ材を残した状態で前記ダミーゲート膜を除去して第
    2の凹部を形成する工程と、前記ダミープラグ材を除去
    する工程と、このダミープラグ材を除去した第1の凹部
    及び前記第2の凹部に同一工程で前記コンタクトプラグ
    材及びゲート電極材となる同一の材料を埋め込む工程と
    からなることを特徴とする請求項1に記載の半導体装置
    の製造方法。
  9. 【請求項9】 前記第1の凹部にコンタクトプラグ材、
    第2の凹部に少なくともゲート電極材を埋め込む工程
    は、前記ストッパー膜を除去した第1の凹部に所定のダ
    ミープラグ材を埋め込む工程と、第1の凹部にダミープ
    ラグ材を残した状態で前記ダミーゲート膜及びその下の
    ダミーゲート絶縁膜を除去して第2の凹部を形成する工
    程と、この第2の凹部にゲート絶縁膜を介してゲート電
    極材を埋め込む工程と、前記ダミープラグ材を除去する
    工程と、このダミープラグ材を除去した第1の凹部にコ
    ンタクトプラグ材を埋込む工程とからなることを特徴と
    する請求項1に記載の半導体装置の製造方法。
  10. 【請求項10】 前記第1の凹部にコンタクトプラグ
    材、第2の凹部に少なくともゲート電極材を埋め込む工
    程の後、第2の層間絶縁膜を形成する工程と、この第2
    の層間絶縁膜の一部を除去してそのパターン位置が前記
    第1の凹部のパターン位置に対して前記ゲート構成パタ
    ーンと反対方向にシフトした第3の凹部を形成する工程
    と、この第3の凹部に前記第1の凹部に埋め込まれたコ
    ンタクトプラグ材に接続される導電材を埋め込む工程と
    をさらに有することを特徴とする請求項1に記載の半導
    体装置の製造方法。
  11. 【請求項11】 前記第1の凹部にコンタクトプラグ
    材、第2の凹部に少なくともゲート電極材を埋め込む工
    程の後、第2の層間絶縁膜を形成する工程と、この第2
    の層間絶縁膜の一部を除去してそのパターン位置が前記
    第1の凹部のパターン位置に対して前記ゲート構成パタ
    ーンと反対方向にシフトした第3の凹部及びこの第3の
    凹部に連なる配線用の第4の凹部を形成する工程と、こ
    れら第3の凹部及び第4の凹部に前記第1の凹部に埋め
    込まれたコンタクトプラグ材に接続される導電材を埋め
    込む工程とをさらに有することを特徴とする請求項1に
    記載の半導体装置の製造方法。
  12. 【請求項12】 半導体基板の主面側にゲート絶縁膜を
    介して形成されたゲート電極と、このゲート電極の両側
    の半導体基板に形成されたソース・ドレイン拡散領域
    と、前記ゲート電極の側面に形成された側壁絶縁膜と、
    前記ソース・ドレイン拡散領域に接続され前記側壁絶縁
    膜によって前記ゲート電極と分離されその上面の高さが
    前記ゲート電極の上面の高さとほぼ同一であるコンタク
    トプラグとを有することを特徴とする半導体装置。
  13. 【請求項13】 前記コンタクトプラグには配線に連な
    る導電材部が接続されており、この導電材部のパターン
    位置は前記コンタクトプラグのパターン位置に対して前
    記ゲート電極と反対方向にシフトしたものであることを
    特徴とする請求項12に記載の半導体装置。
  14. 【請求項14】 前記側壁絶縁膜はシリコン酸化膜であ
    ることを特徴とする請求項12に記載の半導体装置。
JP9174199A 1997-06-30 1997-06-30 半導体装置及びその製造方法 Pending JPH1126757A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP9174199A JPH1126757A (ja) 1997-06-30 1997-06-30 半導体装置及びその製造方法
US09/105,021 US6072221A (en) 1997-06-30 1998-06-26 Semiconductor device having self-aligned contact plug and metallized gate electrode
KR1019980025483A KR100307124B1 (ko) 1997-06-30 1998-06-30 반도체장치및그제조방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9174199A JPH1126757A (ja) 1997-06-30 1997-06-30 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
JPH1126757A true JPH1126757A (ja) 1999-01-29

Family

ID=15974466

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9174199A Pending JPH1126757A (ja) 1997-06-30 1997-06-30 半導体装置及びその製造方法

Country Status (3)

Country Link
US (1) US6072221A (ja)
JP (1) JPH1126757A (ja)
KR (1) KR100307124B1 (ja)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999023694A1 (en) * 1997-11-05 1999-05-14 Tokyo Electron Limited Wiring structure of semiconductor device, electrode, and method for forming them
KR20010003682A (ko) * 1999-06-24 2001-01-15 김영환 자기정렬식 게이트전극 형성방법
KR100345069B1 (ko) * 1999-06-30 2002-07-19 주식회사 하이닉스반도체 반도체 소자의 폴리실리콘 플러그 형성방법
JP2003068731A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 絶縁膜の形成方法および形成システム
US6548871B1 (en) 1999-10-27 2003-04-15 Mitsubishi Denki Kabushiki Kaisha Semiconductor device achieving reduced wiring length and reduced wiring delay by forming first layer wiring and gate upper electrode in same wire layer
US6607979B1 (en) 1999-09-30 2003-08-19 Nec Corporation Semiconductor device and method of producing the same
KR100400030B1 (ko) * 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
KR100433093B1 (ko) * 1999-12-31 2004-05-27 주식회사 하이닉스반도체 반도체소자의 제조방법
US6759720B2 (en) 2000-07-21 2004-07-06 Renesas Technology Corp. Semiconductor device with transfer gate having gate insulating film and gate electrode layer
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6946376B2 (en) 2000-02-08 2005-09-20 International Business Machines Corporation Symmetric device with contacts self aligned to gate
KR100643571B1 (ko) * 2000-12-30 2006-11-10 주식회사 하이닉스반도체 금속 대머신 게이트 형성방법
JP2006332584A (ja) * 2005-05-25 2006-12-07 Hynix Semiconductor Inc 半導体素子の製造方法
JP2007110077A (ja) * 2005-10-12 2007-04-26 Hynix Semiconductor Inc 半導体素子のコンタクトホール形成方法
WO2007066937A1 (en) * 2005-12-06 2007-06-14 Electronics And Telecommunications Research Institute Method of manufacturing semiconductor device
JP2009099738A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置、半導体装置の製造方法及び半導体記憶装置の製造方法
JP2009524215A (ja) * 2006-01-13 2009-06-25 マイクロン テクノロジー, インク. 半導体デバイスにおいて付加的金属ルーティングを形成するためのシステムおよび方法
US7645653B2 (en) 2006-08-25 2010-01-12 Elpida Memory, Inc. Method for manufacturing a semiconductor device having a polymetal gate electrode structure
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
JP2011520297A (ja) * 2008-06-30 2011-07-14 インテル・コーポレーション 積層トレンチコンタクトを形成する方法および当該方法によって形成される構造
JP2013089712A (ja) * 2011-10-17 2013-05-13 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3175700B2 (ja) * 1998-08-24 2001-06-11 日本電気株式会社 メタルゲート電界効果トランジスタの製造方法
US6096644A (en) * 1998-09-08 2000-08-01 Advanced Micro Devices, Inc. Self-aligned contacts to source/drain silicon electrodes utilizing polysilicon and metal silicides
US6432803B1 (en) * 1998-12-14 2002-08-13 Matsushita Electric Industrial Co., Inc. Semiconductor device and method of fabricating the same
KR100338104B1 (ko) * 1999-06-30 2002-05-24 박종섭 반도체 소자의 제조 방법
KR100314473B1 (ko) 1999-12-23 2001-11-15 한신혁 반도체 소자 제조 방법
KR100356136B1 (ko) 1999-12-23 2002-10-19 동부전자 주식회사 반도체 장치 제조 방법
KR100350056B1 (ko) * 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
KR100366617B1 (ko) * 2000-03-13 2003-01-09 삼성전자 주식회사 자기 정렬 콘택홀 제조 방법
KR100456319B1 (ko) * 2000-05-19 2004-11-10 주식회사 하이닉스반도체 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체소자의 게이트 형성 방법
JP3669919B2 (ja) * 2000-12-04 2005-07-13 シャープ株式会社 半導体装置の製造方法
JP3539491B2 (ja) * 2001-02-26 2004-07-07 シャープ株式会社 半導体装置の製造方法
JP2002261277A (ja) * 2001-03-06 2002-09-13 Toshiba Corp 半導体装置及びその製造方法
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
KR100745951B1 (ko) * 2001-06-29 2007-08-02 주식회사 하이닉스반도체 금속 게이트 제조 방법
US6673664B2 (en) * 2001-10-16 2004-01-06 Sharp Laboratories Of America, Inc. Method of making a self-aligned ferroelectric memory transistor
JP2003224269A (ja) * 2001-10-26 2003-08-08 Hewlett Packard Co <Hp> 集積回路を製造するための装置および方法
US6740536B2 (en) * 2001-10-26 2004-05-25 Hewlett-Packard Develpment Corporation, L.P. Devices and methods for integrated circuit manufacturing
KR100444301B1 (ko) * 2001-12-29 2004-08-16 주식회사 하이닉스반도체 질화막 cmp를 이용한 다마신 금속 게이트 형성 방법
KR100574487B1 (ko) * 2002-07-05 2006-04-27 주식회사 하이닉스반도체 반도체소자의 mos 트랜지스터 제조방법
US20040256671A1 (en) * 2003-06-17 2004-12-23 Kuo-Tai Huang Metal-oxide-semiconductor transistor with selective epitaxial growth film
US7521368B2 (en) * 2004-05-07 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
KR100792394B1 (ko) * 2005-09-28 2008-01-09 주식회사 하이닉스반도체 반도체 소자 제조 방법
FR2915023B1 (fr) * 2007-04-13 2009-07-17 St Microelectronics Crolles 2 Realisation de contacts auto-positionnes par epitaxie
US7838373B2 (en) * 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US7745275B2 (en) * 2008-09-10 2010-06-29 Arm Limited Integrated circuit and a method of making an integrated circuit to provide a gate contact over a diffusion region
US8946828B2 (en) * 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US8373239B2 (en) 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US8785322B2 (en) * 2011-01-31 2014-07-22 International Business Machines Corporation Devices and methods to optimize materials and properties for replacement metal gate structures
DE102011004323B4 (de) * 2011-02-17 2016-02-25 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit selbstjustierten Kontaktelementen und Verfahren zu seiner Herstellung
US8846513B2 (en) 2011-09-23 2014-09-30 Globalfoundries Inc. Semiconductor device comprising replacement gate electrode structures and self-aligned contact elements formed by a late contact fill
CN105448683B (zh) * 2014-05-26 2019-10-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法和电子装置
US10510613B2 (en) 2018-01-23 2019-12-17 Globalfoundries Inc. Contact structures
US10347541B1 (en) 2018-04-25 2019-07-09 Globalfoundries Inc. Active gate contacts and method of fabrication thereof
US10553486B1 (en) 2018-07-27 2020-02-04 Globalfoundries Inc. Field effect transistors with self-aligned metal plugs and methods
US10573753B1 (en) 2018-09-10 2020-02-25 Globalfoundries Inc. Oxide spacer in a contact over active gate finFET and method of production thereof
US10818548B1 (en) 2019-05-30 2020-10-27 International Business Machines Corporation Method and structure for cost effective enhanced self-aligned contacts

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01270260A (ja) * 1988-04-21 1989-10-27 Fujitsu Ltd 半導体装置およびその製造方法
JP3029653B2 (ja) * 1990-09-14 2000-04-04 株式会社東芝 半導体装置の製造方法
JP3474589B2 (ja) * 1992-04-17 2003-12-08 株式会社デンソー 相補型misトランジスタ装置
US5571738A (en) * 1992-09-21 1996-11-05 Advanced Micro Devices, Inc. Method of making poly LDD self-aligned channel transistors
US5455444A (en) * 1994-04-22 1995-10-03 United Microelectronics Corporation Double polysilicon electrostatic discharge protection device for SRAM and DRAM memory devices
US5491099A (en) * 1994-08-29 1996-02-13 United Microelectronics Corporation Method of making silicided LDD with recess in semiconductor substrate
JPH0955499A (ja) * 1995-08-11 1997-02-25 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5567631A (en) * 1995-11-13 1996-10-22 Taiwan Semiconductor Manufacturing Company Method of forming gate spacer to control the base width of a lateral bipolar junction transistor using SOI technology
US5804846A (en) * 1996-05-28 1998-09-08 Harris Corporation Process for forming a self-aligned raised source/drain MOS device and device therefrom
JP2964960B2 (ja) * 1996-09-27 1999-10-18 日本電気株式会社 半導体装置およびその製造方法
US5866459A (en) * 1997-02-20 1999-02-02 National Semiconductor Corporation Method of fabricating a contact structure for an MOS transistor entirely on isolation oxide
US5851883A (en) * 1997-04-23 1998-12-22 Advanced Micro Devices, Inc. High density integrated circuit process

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999023694A1 (en) * 1997-11-05 1999-05-14 Tokyo Electron Limited Wiring structure of semiconductor device, electrode, and method for forming them
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6838376B2 (en) 1997-11-05 2005-01-04 Tokyo Electron Limited Method of forming semiconductor wiring structures
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR20010003682A (ko) * 1999-06-24 2001-01-15 김영환 자기정렬식 게이트전극 형성방법
KR100345069B1 (ko) * 1999-06-30 2002-07-19 주식회사 하이닉스반도체 반도체 소자의 폴리실리콘 플러그 형성방법
US6607979B1 (en) 1999-09-30 2003-08-19 Nec Corporation Semiconductor device and method of producing the same
US6548871B1 (en) 1999-10-27 2003-04-15 Mitsubishi Denki Kabushiki Kaisha Semiconductor device achieving reduced wiring length and reduced wiring delay by forming first layer wiring and gate upper electrode in same wire layer
KR100433093B1 (ko) * 1999-12-31 2004-05-27 주식회사 하이닉스반도체 반도체소자의 제조방법
US6946376B2 (en) 2000-02-08 2005-09-20 International Business Machines Corporation Symmetric device with contacts self aligned to gate
KR100400030B1 (ko) * 2000-06-05 2003-09-29 삼성전자주식회사 금속막의 화학 및 기계적 연마용 슬러리 및 그 제조방법과상기 슬러리를 이용한 반도체 소자의 금속 배선 형성 방법
US6759720B2 (en) 2000-07-21 2004-07-06 Renesas Technology Corp. Semiconductor device with transfer gate having gate insulating film and gate electrode layer
KR100643571B1 (ko) * 2000-12-30 2006-11-10 주식회사 하이닉스반도체 금속 대머신 게이트 형성방법
JP2003068731A (ja) * 2001-08-29 2003-03-07 Tokyo Electron Ltd 絶縁膜の形成方法および形成システム
JP2006332584A (ja) * 2005-05-25 2006-12-07 Hynix Semiconductor Inc 半導体素子の製造方法
JP2007110077A (ja) * 2005-10-12 2007-04-26 Hynix Semiconductor Inc 半導体素子のコンタクトホール形成方法
WO2007066937A1 (en) * 2005-12-06 2007-06-14 Electronics And Telecommunications Research Institute Method of manufacturing semiconductor device
US7947585B2 (en) 2005-12-06 2011-05-24 Electronics And Telecommunications Research Institute Method of manufacturing semiconductor device
US8674404B2 (en) 2006-01-13 2014-03-18 Micron Technology, Inc. Additional metal routing in semiconductor devices
KR101373918B1 (ko) * 2006-01-13 2014-03-12 마이크론 테크놀로지, 인크. 반도체 디바이스에서 추가의 금속 라우팅을 형성하기 위한 시스템 및 방법
JP2009524215A (ja) * 2006-01-13 2009-06-25 マイクロン テクノロジー, インク. 半導体デバイスにおいて付加的金属ルーティングを形成するためのシステムおよび方法
US7645653B2 (en) 2006-08-25 2010-01-12 Elpida Memory, Inc. Method for manufacturing a semiconductor device having a polymetal gate electrode structure
JP2009099738A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置、半導体装置の製造方法及び半導体記憶装置の製造方法
US9559060B2 (en) 2008-06-30 2017-01-31 Intel Corporation Method of forming stacked trench contacts and structures formed thereby
US8803245B2 (en) 2008-06-30 2014-08-12 Mcafee, Inc. Method of forming stacked trench contacts and structures formed thereby
US9293579B2 (en) 2008-06-30 2016-03-22 Intel Corporation Method of forming stacked trench contacts and structures formed thereby
US9437546B2 (en) 2008-06-30 2016-09-06 Intel Corporation Method of forming stacked trench contacts and structures formed thereby
JP2011520297A (ja) * 2008-06-30 2011-07-14 インテル・コーポレーション 積層トレンチコンタクトを形成する方法および当該方法によって形成される構造
US9922930B2 (en) 2008-06-30 2018-03-20 Intel Corporation Method of forming stacked trench contacts and structures formed thereby
US10297549B2 (en) 2008-06-30 2019-05-21 Intel Corporation Method of forming stacked trench contacts and structures formed thereby
US10784201B2 (en) 2008-06-30 2020-09-22 Intel Corporation Method of forming stacked trench contacts and structures formed thereby
US11335639B2 (en) 2008-06-30 2022-05-17 Intel Corporation Method of forming stacked trench contacts and structures formed thereby
US11721630B2 (en) 2008-06-30 2023-08-08 Intel Corporation Method of forming stacked trench contacts and structures formed thereby
JP2013089712A (ja) * 2011-10-17 2013-05-13 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
US6072221A (en) 2000-06-06
KR100307124B1 (ko) 2001-10-19
KR19990007474A (ko) 1999-01-25

Similar Documents

Publication Publication Date Title
JPH1126757A (ja) 半導体装置及びその製造方法
KR100296004B1 (ko) 반도체장치및그제조방법
US7511331B2 (en) Semiconductor device having side wall spacers
JP3669919B2 (ja) 半導体装置の製造方法
JP4931267B2 (ja) 半導体装置
US6214656B1 (en) Partial silicide gate in sac (self-aligned contact) process
JPH11150268A (ja) 半導体装置及びその製造方法
US6580117B2 (en) Non-volatile semiconductor memory device and method of manufacturing the same
JP3530026B2 (ja) 半導体装置及びその製造方法
US6627484B1 (en) Method of forming a buried interconnect on a semiconductor on insulator wafer and a device including a buried interconnect
US7338871B2 (en) Method for fabricating semiconductor device
JP2007005575A (ja) 半導体装置およびその製造方法
JP2001077209A (ja) 半導体装置の製造方法
JP4759819B2 (ja) 半導体装置の製造方法
US6352899B1 (en) Raised silicide source/drain MOS transistors having enlarged source/drain contact regions and method
JP4639524B2 (ja) 半導体装置の製造方法
JP3367480B2 (ja) 半導体集積回路装置の製造方法
JPH11243195A (ja) 半導体装置およびその製造方法
US7427796B2 (en) Semiconductor device and method of manufacturing a semiconductor device
JP2001196549A (ja) 半導体装置および半導体装置の製造方法
US6204539B1 (en) Semiconductor apparatus and manufacturing method therefor
JP3116889B2 (ja) 半導体装置の製造方法
US6313006B1 (en) Method of field implantation
JPH11274486A (ja) 半導体装置およびその製造方法
JPH11317448A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040621

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040621

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080603