KR100456319B1 - 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체소자의 게이트 형성 방법 - Google Patents

폴리머와 산화막의 연마 선택비 차이를 이용한 반도체소자의 게이트 형성 방법 Download PDF

Info

Publication number
KR100456319B1
KR100456319B1 KR10-2000-0026895A KR20000026895A KR100456319B1 KR 100456319 B1 KR100456319 B1 KR 100456319B1 KR 20000026895 A KR20000026895 A KR 20000026895A KR 100456319 B1 KR100456319 B1 KR 100456319B1
Authority
KR
South Korea
Prior art keywords
film
forming
gate
opening
polymer
Prior art date
Application number
KR10-2000-0026895A
Other languages
English (en)
Other versions
KR20010105866A (ko
Inventor
이상익
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2000-0026895A priority Critical patent/KR100456319B1/ko
Priority to JP2001139510A priority patent/JP4992150B2/ja
Priority to US09/855,849 priority patent/US6391697B2/en
Publication of KR20010105866A publication Critical patent/KR20010105866A/ko
Application granted granted Critical
Publication of KR100456319B1 publication Critical patent/KR100456319B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Weting (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 발명은 대머신 공정을 이용한 게이트 전극 형성 방법에 있어서 균일한 높이의 게이트 전극을 형성할 수 있는, 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체 소자의 게이트 형성 방법에 관한 것으로, 반도체 기판 상에 형성된 폴리머층을 선택적으로 식각하여 폴리머 패턴을 형성하고, 전체 구조 상에 평탄화를 위한 산화막을 형성하고, 폴리머 패턴을 연마정지층으로 절연산화막을 화학기계연마하고, 폴리머 패턴을 제거하여 개구부를 형성하고, 개구부 바닥에 게이트 절연막을 형성하고, 전체 구조 상에 전도막을 증착하여 개구부를 매립하고, 절연산화막을 연마정지층으로 전도막을 화학기계연마하여 개구부 내에만 전도막을 잔류시키고, 개구부 내의 전도막 일부를 식각하여 제거한 다음, 개구부 내의 전도막 상에 마스크 질화막을 형성하는 과정으로 이루어지는 반도체 소자의 게이트 형성 방법을 제공한다.

Description

폴리머와 산화막의 연마 선택비 차이를 이용한 반도체 소자의 게이트 형성 방법{Method for forming gate of semiconductor device by using polishing selectivity difference between polymer and oxide layer}
본 발명은 반도체 소자 제조 분야에 관한 것으로, 특히 균일한 두께의 게이트 전극을 형성할 수 있는, 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체 소자의 게이트 형성 방법에 관한 것이다.
일반적인 대머신(damascene) 게이트 형성 방법을 이용한 종래의 게이트 형성 방법을 첨부된 도면 도1a 내지 도1e를 참조하여 설명한다.
도1a는 반도체 기판(10) 상에 패드 산화막(11)과 폴리실리콘막(12)을 증착한 다음 폴리실리콘막(12)과 패드산화막(11)을 선택적으로 식각하여 더미 패턴으로서 역할하는 폴리실리콘막(12) 패턴을 형성하고, 질화막 또는 산화막 등의 절연막을 증착하고 전면식각을 실시하여 폴리실리콘막(12) 패턴 측벽에 절연막 스페이서(13)를 형성하고, 전체 구조 상에 평탄화 대상인 절연산화막(14)을 증착하고 열처리한 상태를 보이고 있다.
도1b는 산화막 연마용 슬러리를 이용하여 폴리실리콘막(12) 패턴이 노출될 때까지 절연산화막(14)을 화학기계연마(chemical mechanical polishing)한 것을 도시한 것으로서, 폴리실리콘막(12)과 절연산화막(14)에 대한 연마 선택비가 아주 작은 일반적인 산화막 연마용 슬러리를 이용하여 절연산화막(14)을 화학기계연마하는 과정에서 폴리실리콘막(12) 패턴이 드러나게 될 경우 웨이퍼 영역에 따른 화학기계연마의 불균일도로 인하여 폴리실리콘막(12) 패턴의 두께가 위치에 따라 달라지게되는 것을 보이고 있다.
도1b와 같은 공정이 완료된 상태에서 폴리실리콘막(12) 및 패드산화막(11)을 제거하면 도1c와 같이 그 측벽에 절연막 스페이서(13)가 노출되며 웨이퍼 영역별로 깊이가 다른 개구부(100)가 형성된다.
도1d는 상기 개구부 내에 게이트 산화막(15), 장벽금속막(barrier metal, 16) 및 텅스텐막(17)을 매립하고, 절연산화막(14)이 노출될 때까지 화학기계연마 공정을 진행한 것을 보이는 단면도로서, 웨이퍼 영역에 따른 화학기계연마의 불균일로 인하여 개구부 내에 매립되는 텅스텐막(17)의 두께가 일정하지 않은 것을 나타내고 있다.
도1e는 개구부 내의 텅스텐막(17) 일부를 식각으로 제거하고, 잔류하는 텅스텐막(17) 상에 마스크 질화막(18)을 증착하고, 절연산화막(14)이 노출될 때까지 마스크 질화막(18)을 연마하여 게이트 전극을 완성한 상태를 보이고 있는 단면도이다.
도1e에 보이는 바와 같이 게이트를 이루는 텅스텐막(17)의 높이가 웨이퍼 영역에 따라 다르게 형성된 이유는, 전술한 바와 같이 개구부 내에 폴리실리콘막(12)이 매립된 상태에서 통상의 산화막 연마용 슬러리를 이용하여 절연산화막(14)을 화학기계연마하는 과정에서 웨이퍼 영역에 따라 폴리실리콘막(12)까지 불균일하게 연마되고, 그에 따라 폴리실리콘막(12)에 제거되며 형성된 개구부(100)를 채우는 텅스텐막(17) 역시 웨이퍼 영역에 따라 불균일한 두께를 갖게 되고, 이후 균일한 두께를 식각해내기 때문이다.
결과적으로 이상에서 설명한 종래의 대머신 게이트 형성 방법은 웨이퍼 영역에 따라 게이트 높이가 다르게 형성되기 때문에 게이트의 전기적 특성을 불안정하게 하는 단점이 있다.
이러한 문제점을 해결하기 위하여 절연막 스페이서 및 산화막 두께를 증가시키는 방법이 있으나, 이 경우 연마대상 두께를 증가시켜야 부담이 있다.
상기와 같은 문제점을 해결하기 위한 본 발명은 대머신 공정을 이용한 게이트 전극 형성 방법에 있어서 균일한 높이의 게이트 전극을 형성할 수 있는, 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체 소자의 게이트 형성 방법을 제공하는데 그 목적이 있다.
도1a 내지 도1e는 종래 기술에 따른 반도체 소자의 게이트 형성 공정 단면도,
도2a 내지 도2g는 본 발명의 실시예에 따른 반도체 소자의 게이트 형성 공정 단면도.
*도면의 주요부분에 대한 도면 부호의 설명*
20: 반도체 기판 21: 패드산화막
22: 폴리머 23: 절연막 스페이서
24: 절연산화막 25: 게이트 절연막
26: 장벽금속막 27: 금속막
28: 마스크 질화막 200: 개구부
상기와 같은 목적을 달성하기 위한 본 발명은 반도체 기판 상에 폴리머층을 형성하는 제1 단계; 상기 폴리머층을 선택적으로 식각하여 폴리머 패턴을 형성하는 제2 단계; 상기 제2 단계가 완료된 전체 구조 상에 평탄화를 위한 산화막을 형성하는 제3 단계; 상기 폴리머 패턴을 연마정지층으로 상기 절연산화막을 화학기계연마하는 제4 단계; 상기 폴리머 패턴을 제거하여 그 바닥에 상기 반도체 기판을 노출시키는 개구부를 형성하는 제5 단계; 상기 개구부 바닥의 상기 반도체 기판 상에 게이트 절연막을 형성하는 제6 단계; 상기 제6 단계가 완료된 전체 구조 상에 전도막을 증착하여 상기 개구부를 매립하는 제7 단계; 상기 절연산화막을 연마정지층으로 상기 전도막을 화학기계연마하여 상기 개구부 내에만 상기 전도막을 잔류시키는 제8 단계; 상기 개구부 내의 상기 전도막 일부를 식각하여 제거하는 제9 단계; 상기 제9 단계가 완료된 전체 구조 상에 마스크 질화막을 증착하여 상기 전도막 상부의 개구부를 매립하는 제10 단계; 및 상기 절연산화막이 노출될 때까지 상기 마스크 질화막을 화학기계연마하는 제11 단계를 포함하는 반도체 소자의 게이트 형성 방법을 제공한다.
이하, 첨부된 도면 도2a 내지 도2g를 참조하여 본 발명의 실시예에 따른 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체 소자의 게이트 형성 방법을 설명한다.
먼저 도2a에 도시한 바와 같이, 반도체 기판(20) 상에 패드산화막(21)과 폴리머(22)를 적층한다.
상기 패드산화막(21)은 저압화학기상증착법(low pressure chemical vapor deposition, 이하 LPCVD라 함) 또는 플라즈마 화학기상증착법(plasma enhanced chemical vapor deposition, 이하 PECVD라 함)으로 400 ℃ 내지 1300 ℃에서 40 Å 내지 100 Å 두께로 형성한다. 그리고 상기 폴리머(22)는 실크(SiLK), 프레어(FLARE) 또는 비씨비(BCB)로 형성한다.
폴리머(22) 형성 후에는 90 ℃ 내지 350 ℃에서 1분 이상 베이킹(baking)을 실시한다. 베이킹은, 90 ℃ 내지 150 ℃ 온도에서 실시되는 1단계, 200 ℃ 내지250 ℃ 온도에서 실시되는 2단계 그리고 300 ℃ 내지 350 ℃ 온도에서 실시되는 3단계로 이루어진다. 베이킹 후에는, 300 ℃ 내지 500 ℃에서 30분 내지 1시간 동안 N2분위기로 큐어링(curing)을 실시한다. 상기 큐어링은, 400 ℃ 내지 500 ℃ 온도에서 실시하는 1단계, 350 ℃ 내지 450 ℃ 온도에서 실시하는 2단계 그리고 300 ℃ 내지 400 ℃ 온도에서 실시하는 3단계로 이루어지며, 큐어링 과정에서 산소의 농도는 수백 PPM 이하가 되도록 한다. 한편, 상기 폴리머(22) 상에 SiN, SiO2, SiON 등으로 하드마스크층을 형성할 수도 있다. 이 경우 하드마스크층은 PECVD 방법으로 200 ℃ 내지 550 ℃ 온도에서 100 Å 내지 1000 Å 두께로 형성한다.
이어서 도2b에 도시한 바와 같이 폴리머(22)와 패드산화막(21)을 선택적으로 식각하여 더미 패턴으로서 역할하는 폴리머(22) 패턴을 형성하고, 상기 반도체 기판(20) 내에 불순물을 이온주입하여 소오스 드레인(도시하지 않음)을 형성한 다음, 전체 구조 상에 질화막 또는 산화막 등의 절연막을 증착하고 전면식각을 실시하여 폴리머(22) 패턴 측벽에 절연막 스페이서(23)를 형성하고, 불순물 이온주입 공정을 실시하여 고농도 소오스 드레인(도시하지 않음)을 형성한 후, 전체 구조 상에 평탄화 대상인 절연산화막(24)을 증착하고 열처리를 실시한다.
상기 절연막 스페이서(23) 형성을 위한 질화막 또는 산화막 각각은 LPCVD 또는 PECVD 방법을 이용하여 300 ℃ 내지 1300 ℃에서 100 Å 내지 1000 Å 두께로 형성한다. 그리고 상기 절연산화막(24)은 BPSG(borophospho silicate glass), PSG(phospho silicate glass), FSG(fluorinated silica glass), 각각 PECVD 방법으로 형성된 TEOS(tetraethyl orthosilicate) 또는 SiH4, 각각 고밀도 플라즈마를 이용하여 형성한 PSG 또는 USG(undoped silicate glass), APL(advanced planarization layer) 중 어느 하나를 3000 Å 내지 10000 Å 두께 증착하여 형성한다. 절연산화막(24) 형성 후에는, 막의 종류에 따라 300 ℃ 내지 1000 ℃ 온도에서 열처리를 실시한다.
다음으로 도2c에 도시한 바와 같이 산화막 연마용 슬러리를 이용하여 폴리머 (22) 패턴을 연마정지층으로 절연산화막(24)을 화학기계연마한다.
상기 화학기계연마 공정에서 산화막 연마용 슬러리는 10 ㎚ 내지 500 ㎚ 크기의 SiO2, CeO2, Al2O3또는 ZrO2계열 슬러리를 이용하고, 슬러리의 수소이온농도(pH)를 3 내지 12로 유지하며 슬러리내 유기물 농도는 전체 무게 대비 0.01 Wt % 내지 5 wt %가 되도록 한다. 산화막 연마용 슬러리는 폴리머(22)에 대한 산화막의 연마선택비가 크기 때문에 화학기계연마의 균일도를 향상시킬 수 있다.
이어서 도2d에 도시한 바와 폴리머(22) 및 패드산화막(21)을 제거하여 그 측벽에 절연막 스페이서(23)가 노출되고 그 바닥에 상기 반도체 기판(20)이 노출되는 개구부(200)를 형성한다. 상기 폴리머(22)는 O2와 Ar의 혼합가스 또는 O2와 N2의 혼합가스를 이용한 플라즈마 방식으로 제거하거나 습식식각으로 제거한다.
전술한 바와 같이 상기 절연산화막(24)의 화학기계연마가 균일하게 이루어지기 때문에 웨이퍼 영역별로 균일한 깊이의 개구부(200)를 형성할 수 있다.
다음으로 도2e에 도시한 바와 같이 상기 개구부 내에 열산화막(thermaloxide), 고온산화막(high temperature oxide), Al2O3또는 Ta2O5으로 40 Å 내지 100 Å 두께의 게이트 절연막(25)을 형성하고, 스퍼터링(sputtering) 등과 같은 물리기상증착법(physical vapor deposition), 화학기상증착법(chemical vapor deposition) 또는 전기도금법(electroplating)으로 장벽금속막(26) 형성을 위해 Ti, TiN, TiAlN, TiSiN, TaN, WN 또는 TiSi2를 50 Å 내지 800 Å 두께로 증착한 다음, 장벽금속막(26) 상에 게이트를 이룰 금속막(27)을 형성하여 개구부를 매립한다.
상기 금속막(27)은 W, Cu 등을 스퍼터링 등과 같은 물리기상증착법 또는 화학기상증착법으로 상온 내지 1000 ℃ 에서 2000 Å 내지 5000 Å 두께로 증착하여 형성한다. 한편, 상기 장벽금속막(26) 형성 후 막의 종류에 따라 선택적으로 N2분위기에서 400 ℃ 내지 800 ℃ 온도로 열처리를 실시할 수도 있다.
이어서, 도2f에 도시한 바와 같이 절연산화막(24)을 연마정지막으로 금속막(27)을 화학기계연마하여 개구부에만 금속막(27)이 남도록 한다.
이때, 연마제로서 실리카 또는 알루미나(alumina) 중 적어도 어느 하나를 포함하며 0.5 wt% 내지 10 wt% 농도의 과산화수소수가 첨가된 슬러리를 이용한다.
다음으로 도2g에 도시한 바와 같이, 개구부 내의 금속막(27) 일부를 식각으로 제거하고, 잔류하는 금속막(27) 상에 마스크 질화막(28)을 증착하고, 절연산화막(24)이 노출될 때까지 마스크 질화막(28)을 화학기계연마하여 게이트 전극을 형성한다.
상기 마스크 질화막(29)은 LPCVD 또는 PECVD 방법으로 400 ℃ 내지 1300 ℃ 온도에서 200 Å 내지 2000 Å 두께로 증착한다. 마스크 질화막(28) 연마시 SiO2, CeO2, Al2O3또는 ZrO2계열 연마제가 포함된 슬러리의 수소이온농도(pH)를 3 내지 12로 유지한다.
전술한 본 발명에 따라 웨이퍼 각 영역에 균일한 높이의 게이트를 형성할 수 있다.
이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
상기와 같이 이루어지는 본 발명은 산화막과 더미 폴리머 패턴의 연마 선택비에 따라 일반적인 산화막용 슬러리를 이용한 CMP 공정으로도 균일한 높이의 게이트(워드라인)를 형성할 수 있어 소자의 전기적 특성을 안정화시킬 수 있다.

Claims (11)

  1. 반도체 소자의 게이트 형성 방법에 있어서,
    반도체 기판 상에 폴리머층을 형성하는 제1 단계;
    상기 폴리머층을 선택적으로 식각하여 폴리머 패턴을 형성하는 제2 단계;
    상기 제2 단계가 완료된 전체 구조 상에 평탄화를 위한 산화막을 형성하는 제3 단계;
    상기 폴리머 패턴을 연마정지층으로 상기 절연산화막을 화학기계연마하는 제4 단계;
    상기 폴리머 패턴을 제거하여 그 바닥에 상기 반도체 기판을 노출시키는 개구부를 형성하는 제5 단계;
    상기 개구부 바닥의 상기 반도체 기판 상에 게이트 절연막을 형성하는 제6 단계;
    상기 제6 단계가 완료된 전체 구조 상에 전도막을 증착하여 상기 개구부를 매립하는 제7 단계;
    상기 절연산화막을 연마정지층으로 상기 전도막을 화학기계연마하여 상기 개구부 내에만 상기 전도막을 잔류시키는 제8 단계;
    상기 개구부 내의 상기 전도막 일부를 식각하여 제거하는 제9 단계;
    상기 제9 단계가 완료된 전체 구조 상에 마스크 질화막을 증착하여 상기 전도막 상부의 개구부를 매립하는 제10 단계; 및
    상기 절연산화막이 노출될 때까지 상기 마스크 질화막을 화학기계연마하는 제11 단계
    를 포함하는 반도체 소자의 게이트 형성 방법.
  2. 제 1 항에 있어서,
    상기 제1 단계 후,
    베이킹 실시하는 제12 단계; 및
    큐어링을 실시하는 제13 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  3. 제 2 항에 있어서,
    상기 제12 단계는,
    90 ℃ 내지 150 ℃ 온도에서 실시하는 1차 베이킹;
    200 ℃ 내지 250 ℃ 온도에서 실시하는 2차 베이킹; 및
    300 ℃ 내지 350 ℃ 온도에서 실시하는 3차 베이킹을 포함하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  4. 제 2 항에 있어서,
    상기 제13 단계는,
    N2를 포함하는 가스 분위기에서 실시하며,
    400 ℃ 내지 500 ℃ 온도에서 실시하는 1차 큐어링;
    350 ℃ 내지 450 ℃ 온도에서 실시하는 2차 큐어링; 및
    300 ℃ 내지 400 ℃ 온도에서 실시하는 3차 큐어링을 포함하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  5. 제 1 항에 있어서,
    상기 제1 단계 후,
    상기 폴리머 상에 SiN, SiO2또는 SiON으로 하드마스크층을 형성하는 제14 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 2 단계 후,
    상기 폴리머 패턴 측벽에 절연막 스페이서를 형성하는 제15 단계를 더 포함하고,
    상기 제5 단계에서,
    그 측벽에 상기 절연막 스페이서를 노출시키며 그 바닥에 상기 반도체 기판을 노출시키는 개구부를 형성하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  7. 제 6 항에 있어서,
    상기 제4 단계는,
    10 ㎚ 내지 500 ㎚ 크기의 SiO2, CeO2, Al2O3또는 ZrO2계열 슬러리를 이용하고, 상기 슬러리의 수소이온농도(pH)를 3 내지 12로 유지하며, 상기 슬러리내 유기물 농도는 0.01 Wt % 내지 5 wt %인 조건에서 실시하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  8. 제 7 항에 있어서,
    상기 제5 단계에서,
    상기 폴리머는 O2와 Ar의 혼합가스 또는 O2와 N2의 혼합가스를 이용한 플라즈마 방식으로 제거하거나 습식식각으로 제거하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  9. 제 6 항에 있어서,
    상기 전도막은,
    상기 게이트 산화막 상에 형성되며 Ti, TiN, TiAlN, TiSiN, TaN, WN 또는 TiSi2으로 이루어지는 장벽금속막; 및
    상기 장벽금속막 상에 형성되며 W 또는 Cu으로 이루어지는 금속막인 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  10. 제 9 항에 있어서,
    상기 제8 단계에서,
    실리카 또는 알루미나 중 적어도 어느 하나를 포함하며, 0.5 wt% 내지 10 wt% 농도의 과산화수소수가 첨가된 슬러리를 이용한 화학기계연마를 실시하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
  11. 제 10 항에 있어서,
    상기 제11 단계는,
    SiO2, CeO2, Al2O3또는 ZrO2계열 연마제가 포함된 슬러리의수소이온농도(pH)를 3 내지 12로 유지하며 실시하는 것을 특징으로 하는 반도체 소자의 게이트 형성 방법.
KR10-2000-0026895A 2000-05-19 2000-05-19 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체소자의 게이트 형성 방법 KR100456319B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR10-2000-0026895A KR100456319B1 (ko) 2000-05-19 2000-05-19 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체소자의 게이트 형성 방법
JP2001139510A JP4992150B2 (ja) 2000-05-19 2001-05-10 半導体素子のゲート電極形成方法
US09/855,849 US6391697B2 (en) 2000-05-19 2001-05-16 Method for the formation of gate electrode of semiconductor device using a difference in polishing selection ratio between polymer and oxide film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0026895A KR100456319B1 (ko) 2000-05-19 2000-05-19 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체소자의 게이트 형성 방법

Publications (2)

Publication Number Publication Date
KR20010105866A KR20010105866A (ko) 2001-11-29
KR100456319B1 true KR100456319B1 (ko) 2004-11-10

Family

ID=19669200

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0026895A KR100456319B1 (ko) 2000-05-19 2000-05-19 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체소자의 게이트 형성 방법

Country Status (3)

Country Link
US (1) US6391697B2 (ko)
JP (1) JP4992150B2 (ko)
KR (1) KR100456319B1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100444301B1 (ko) * 2001-12-29 2004-08-16 주식회사 하이닉스반도체 질화막 cmp를 이용한 다마신 금속 게이트 형성 방법
US6734072B1 (en) * 2003-03-05 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a MOSFET device using a spike rapid thermal oxidation procedure
US6846740B2 (en) * 2003-06-14 2005-01-25 Intel Corporation Wafer-level quasi-planarization and passivation for multi-height structures
JP4091530B2 (ja) * 2003-07-25 2008-05-28 株式会社東芝 半導体装置の製造方法
US20050056881A1 (en) * 2003-09-15 2005-03-17 Yee-Chia Yeo Dummy pattern for silicide gate electrode
KR100704380B1 (ko) * 2005-12-06 2007-04-09 한국전자통신연구원 반도체 소자 제조 방법
KR100824152B1 (ko) * 2006-09-29 2008-04-21 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
CN102800578A (zh) * 2011-05-27 2012-11-28 中国科学院微电子研究所 一种半导体结构的制造方法
CN103137452B (zh) * 2011-11-25 2015-10-14 中芯国际集成电路制造(上海)有限公司 控制替代栅极结构高度的方法
US20150021513A1 (en) * 2013-07-17 2015-01-22 Yun-jeong Kim Cmp slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
CN108989649B (zh) 2013-08-01 2021-03-19 核心光电有限公司 具有自动聚焦的纤薄多孔径成像系统及其使用方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990007474A (ko) * 1997-06-30 1999-01-25 니시무로 다이조 반도체 장치 및 그 제조 방법
JP2000031291A (ja) * 1998-07-13 2000-01-28 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2000077658A (ja) * 1998-08-28 2000-03-14 Toshiba Corp 半導体装置の製造方法
KR20000041439A (ko) * 1998-12-22 2000-07-15 김영환 상감형 금속 워드라인 형성방법
KR20010057016A (ko) * 1999-12-17 2001-07-04 박종섭 다마신공정을 이용한 게이트전극의 제조 방법

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033963A (en) * 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
JP3906005B2 (ja) * 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990007474A (ko) * 1997-06-30 1999-01-25 니시무로 다이조 반도체 장치 및 그 제조 방법
JP2000031291A (ja) * 1998-07-13 2000-01-28 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2000077658A (ja) * 1998-08-28 2000-03-14 Toshiba Corp 半導体装置の製造方法
KR20000041439A (ko) * 1998-12-22 2000-07-15 김영환 상감형 금속 워드라인 형성방법
KR20010057016A (ko) * 1999-12-17 2001-07-04 박종섭 다마신공정을 이용한 게이트전극의 제조 방법

Also Published As

Publication number Publication date
JP4992150B2 (ja) 2012-08-08
KR20010105866A (ko) 2001-11-29
US20020001914A1 (en) 2002-01-03
JP2002033478A (ja) 2002-01-31
US6391697B2 (en) 2002-05-21

Similar Documents

Publication Publication Date Title
US7670946B2 (en) Methods to eliminate contact plug sidewall slit
KR100456319B1 (ko) 폴리머와 산화막의 연마 선택비 차이를 이용한 반도체소자의 게이트 형성 방법
US8324061B2 (en) Method for manufacturing semiconductor device
US6103569A (en) Method for planarizing local interconnects
US6569770B2 (en) Method for improving oxide erosion of tungsten CMP operations
KR20010065192A (ko) 반도체 소자의 트랜지스터 제조방법
KR100214268B1 (ko) 반도체 소자의 층간절연막 형성방법
US20020119618A1 (en) Method for forming contacts of memory devices using an etch stop layer
KR100479816B1 (ko) 반도체소자의제조방법
KR100745951B1 (ko) 금속 게이트 제조 방법
KR100372642B1 (ko) 다마신 공정을 이용한 반도체 소자의 제조방법
KR100240927B1 (ko) 반도체 기판의 평탄화 방법
KR100458475B1 (ko) 반도체소자의평탄화방법
KR100389041B1 (ko) 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법
KR100532968B1 (ko) 반도체 장치의 패턴 형성 방법
KR100571415B1 (ko) 반도체 소자 및 이의 제조 방법
KR20010005107A (ko) 반도체 소자의 플러그 형성 방법
KR0156143B1 (ko) 반도체장치의 평탄화방법
TW399250B (en) A planarization method for the inter-polysilicon layer of semiconductor structure
KR20010059057A (ko) 반도체 소자의 대머신 전도라인 형성 방법
KR20010045420A (ko) 반도체 소자의 층간절연막 형성 방법
KR20010058986A (ko) 세리아계 슬러리를 이용한 연마공정으로 플러그 간의연결을 방지할 수 있는 반도체 소자 제조 방법
KR20030049551A (ko) 반도체소자의 평탄화방법
KR20030049550A (ko) 반도체소자의 평탄화방법
KR20010063766A (ko) 반도체소자의 평탄화방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120921

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee