JP3669919B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP3669919B2
JP3669919B2 JP2000368661A JP2000368661A JP3669919B2 JP 3669919 B2 JP3669919 B2 JP 3669919B2 JP 2000368661 A JP2000368661 A JP 2000368661A JP 2000368661 A JP2000368661 A JP 2000368661A JP 3669919 B2 JP3669919 B2 JP 3669919B2
Authority
JP
Japan
Prior art keywords
film
insulating film
dummy gate
gate pattern
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000368661A
Other languages
English (en)
Other versions
JP2002170953A (ja
Inventor
信明 徳重
誠二 金子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2000368661A priority Critical patent/JP3669919B2/ja
Priority to US09/986,059 priority patent/US20020068394A1/en
Priority to TW090127686A priority patent/TW512534B/zh
Priority to DE60132748T priority patent/DE60132748T2/de
Priority to EP01127063A priority patent/EP1211716B1/en
Priority to KR10-2001-0074548A priority patent/KR100465380B1/ko
Publication of JP2002170953A publication Critical patent/JP2002170953A/ja
Application granted granted Critical
Publication of JP3669919B2 publication Critical patent/JP3669919B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造方法に関し、より詳細には、本発明は、半導体プロセスにおけるゲート電極と配線のショートを防止する半導体装置の製造方法に関する。
【0002】
【従来の技術】
シリコン酸化膜をゲート絶縁膜として用いるMOSトランジスタでは、ゲート絶縁膜に対する信頼性はトランジスタの性能を向上させる上で重要な役割を果たしている。
しかし、ゲート絶縁膜を、例えば、4nm程度以下と薄膜化すると、ゲート電極への不純物のドーピング時やゲート電極加工時等のプラズマダメージ、チャネル領域及びソース/ドレイン領域へのイオン注入時等のイオンダメージ等、プロセス中のゲート絶縁膜の信頼性劣化(TDDB劣化、リーク電流の増大、耐圧の劣化など)を引き起こす原因となる。
このような問題に対する解決策の一つとして、ダミーゲートパターンを用いたゲート電極の形成方法が提案されている(例えば、特開平11−74508号公報)。
【0003】
この方法では、まず、例えば、反応イオンエッチング(RIE)法を用いてシリコン基板41に溝を掘り、その溝に絶縁膜を埋め込んで、いわゆるトレンチ素子分離層42(トレンチ深さ約0.2μm程度のSTI(Shallow Trench Isolarion))を形成する。続いて、厚さ5nm程度のSiO2からなるパッド酸化膜(ダミー絶縁膜)43を熱酸化により、さらにパッド酸化膜43上にダミーゲートパターン用のアモルファスシリコン膜を300nm程度の膜厚で堆積する。アモルファスシリコン膜を、通常のリソグラフィ工程で形成したレジストをマスクとして用いてRIE法などによりエッチングし、後の工程でゲート電極を形成するために除去されるダミーゲートパターン44を形成する(図11(a))。
【0004】
次に、図11(b)に示すように、ダミーゲートパターン44の表面を、例えば850℃の酸素雰囲気で熱酸化して、約10nm程度の膜厚の酸化膜45を形成する。LDD(Lightly Doped Drain)構造を形成するため、ダミーゲートパターン44及び熱酸化膜45をマスクとして用いて、nチャネルトランジスタの場合には、例えばリン(P+)イオンの注入を70keV、4×1013cm-2程度イオン注入してn-型拡散領域47aを形成する。
【0005】
続いて、図11(c)に示すように、得られたシリコン基板41上全面に、Si34膜(又はSiO2膜)を堆積し、RIE法によりエッチバックしてダミーゲートパターン44の側壁にサイドウォール絶縁膜46を形成する。このサイドウォール絶縁膜46は、ダミーゲートパターン44の側壁にある熱酸化膜45上に膜厚20nm程度とする。ダミーゲートパターン44及びサイドウォール絶縁膜46をマスクとして用いて、例えば砒素(As+)イオンの注入を30keV、5×1015cm-2程度イオン注入して、n+型拡散領域47bを形成する。その後、シリコン基板41上全面にSiO2からなる層間絶縁膜48を形成し、層間絶縁膜48の表面をCMP(Chemical Mechanical Polishing)により平坦化し、ダミーゲートパターン44の表面を露出させる。
【0006】
次に、図11(d)に示すように、ダミーゲートパターン44を、CDE(Chemical Dry Etching)法やKOH溶液を用いたウェットエッチング法などにより選択的に除去し、溝部50を形成する。その後、所望の領域に形成したレジストパターン(図示せず)、層間絶縁膜48、サイドウォール絶縁膜46及び熱酸化膜45をマスクとして用いて、所望のチャネル領域にのみチャネル・イオン注入を行う。nチャネルトランジスタの場合、例えば0.7V程度のしきい値電圧(Vth)を設定するためには、ボロン(B+)を10keV、5×1012cm-2程度イオン注入し、チャネル領域にのみ選択的にp型チャネル不純物領域(図示せず)を形成する。
【0007】
次いで、図12(e)に示すように、溝部50の底部のパッド酸化膜43を除去する。
さらに、図12(f)に示すように、全面にCVD−SiO2膜(膜厚は約3nm程度)や高誘電体膜(例えばTa25膜、膜厚は20nm程度)からなるゲート絶縁膜49を堆積する。
【0008】
次に、図12(g)に示すように、例えばメタル膜(Ru膜、TiN膜、W膜、タングステンナイトライド膜(WNx)又はW膜/TiN膜のような単層膜又は積層膜)を全面に堆積する。その後、CMP法によりメタル膜及び層間絶縁膜48上に配置するゲート絶縁膜49を除去し、溝部50内にゲート電極50を形成する。
【0009】
続いて、図12(h)に示すように、シリコン基板41上全面にSiO2からなる層間絶縁膜51を約200nm程度の厚さに堆積し、ソース/ドレイン領域47及びゲート電極50へのコンタクト孔を開口し、さらにAl層を堆積してコンタクト孔を埋め、パターニングして配線52を形成する。
その後、全面にパッシベーション膜(図示せず)を堆積し、トランジスタの基本構造を作製する。
【0010】
【発明が解決しようとする課題】
しかし、上記のように形成されたトランジスタのソース/ドレイン領域47を、コンタクト孔を介して配線52に接続する場合、コンタクト孔は、通常、フォトリソグラフィ工程を用いて形成されるため、ゲート電極50との間でアライメントずれが生じ、配線52とゲート電極50とがショートすることがある。つまり、ダミーゲートパターンとコンタクト孔との形成のためのアライメントが別工程なので、半導体装置の微細化によりゲート電極とコンタクト孔との間隔がより狭くなり、少しのアライメントずれでもショートを引き起こす。その結果、形成されたトランジスタは、特性劣化を招くのみならず、本来の動作をしなくなる。
【0011】
【課題を解決するための手段】
本発明によれば、(a)半導体基板上にゲート絶縁膜を介してダミーゲートパターンを形成する工程と、(b)該ダミーゲートパターンの側壁にサイドウォール絶縁膜を形成する工程と、(c)少なくともコンタクトプラグ形成領域に前記ダミーゲートパターンと同一材料の膜を形成する工程と、(d)該ダミーゲートパターンと同一材料の膜の周囲の前記半導体基板上に層間絶縁膜を形成する工程と、(e)ダミーゲートパターンと、前記コンタクト形成領域上に配置されるダミーゲートパターンと同一材料の膜とを除去して前記層間絶縁膜に溝を形成する工程と、(f)導電材を、溝を含む半導体基板上全面に形成し、導電材の表面を、層間絶縁膜が露出するまで平坦化して、導電材を溝内に埋め込んでゲート電極とコンタクトプラグとを形成する工程を順次、独立して実施することを特徴とする半導体装置の製造方法が提供される。
【0012】
また、本発明によれば、(a’)半導体基板上にゲート絶縁膜を介して所定形状の導電膜と、該導電膜上にダミーゲートパターンとを形成する工程と、(b’)該導電膜及びダミーゲートパターンの側壁にサイドウォール絶縁膜を形成する工程と、(c)少なくともコンタクトプラグ形成領域に前記ダミーゲートパターンと同一材料の膜を形成する工程と、(d)該ダミーゲートパターンと同一材料の膜の周囲の前記半導体基板上に層間絶縁膜を形成する工程と、(e)ダミーゲートパターンと、前記コンタクト形成領域上に配置されるダミーゲートパターンと同一材料の膜とを同時に除去して前記層間絶縁膜に溝を形成する工程と、(f’)導電材を、溝を含む半導体基板上全面に形成し、層間絶縁膜が露出するまで平坦化して、該溝内に導電材を埋め込んで、コンタクトプラグと、前記導電膜及び導電材からなるゲート電極とを形成する工程を順次、独立して実施することを特徴とする半導体装置の製造方法が提供される。
【0017】
【発明の実施の形態】
本発明の半導体装置の製造方法は、工程(a)において、半導体基板上にゲート絶縁膜を介してダミーゲートパターンを形成する。ここで、本発明で使用することができる半導体基板としては、通常、半導体装置に使用されるものであれば特に限定されるものではなく、例えば、シリコン、ゲルマニウム等の元素半導体、GaAs、InGaAs、ZnSe等の化合物半導体が挙げられる。また、SOI基板又は多層SOI基板等の種々の基板を用いてもよい。さらに、エピタキシャル半導体層を表面に成長させたいわゆるエピタキシャル基板でもよい。なかでもシリコン基板が好ましい。この半導体基板上には、素子分離領域が形成されていることが好ましく、さらにトランジスタ、キャパシタ、抵抗等の素子、層間絶縁膜、これらによる回路、半導体装置等が組み合わせられて、シングル又はマルチレイヤー構造で形成されていてもよい。なお、素子分離領域は、LOCOS膜、トレンチ酸化膜、STI膜等種々の素子分離膜により形成することができる。なかでもSTI膜が好ましい。また、この半導体基板の表面には、1以上のn型又はp型の高濃度不純物領域(ウェル)が形成されていてもよい。
【0018】
ゲート絶縁膜は、通常トランジスタのゲート絶縁膜として機能し得る絶縁膜、例えば、シリコン酸化膜(CVD−SiO2膜、熱−SiO2膜)又はシリコン窒化膜等の絶縁膜、Ta25等の高誘電体膜、又はこれらの積層膜等を用いることができる。膜厚は、特に限定されるものではなく、例えば、絶縁膜は0.1〜20nm程度、高誘電体膜は5〜50nm程度が挙げられる。ゲート絶縁膜は、熱酸化法、CVD法、スパッタ法、蒸着法、陽極酸化法等又はこれらの組み合わせにより形成することができる。
【0019】
ダミーゲートパターンは、ゲート電極を形成する領域に予備的に形成しておくパターンを意味し、その形状、膜厚等は、得ようとするゲート電極の機能、このゲート電極から構成される半導体装置の特性及び機能等により適宜調整することができる。例えば、ダミーゲートパターンの膜厚としては、200〜600nm程度が挙げられる。また、ダミーゲートパターンは、ゲート電極を形成する前に、除去されるパターンであるため、除去する条件等に応じてその材料を適宜選択することができる。例えば、ポリシリコン、アモルファスシリコン等の半導体;アルミニウム、ニッケル等の金属又は合金;タンタル、タングステン等の高融点金属;シリコン酸化膜(熱酸化膜、低温酸化膜:LTO膜等、高温酸化膜:HTO膜)、シリコン窒化膜、SOG膜、PSG膜、BSG膜、BPSG膜等の絶縁膜;PZT、PLZT、強誘電体膜又は反強誘電体膜等の誘電体;の単層膜又は積層膜等が挙げられる。なかでも、絶縁膜、とくにシリコン窒化膜、シリコン酸化膜が好ましく、シリコン窒化膜がより好ましい。
ダミーゲートパターンの形成は、半導体基板上全面にダミーゲートパターンを形成する材料膜を堆積し、公知の方法、例えばフォトリソグラフィ及びエッチング工程により、所望の形状にパターニングすることにより形成することができる。
【0020】
工程(b)において、ダミーゲートパターンの側壁にサイドウォール絶縁膜を形成する。サイドウォール絶縁膜は、例えば、上述した絶縁膜の単層膜又は積層膜により形成することができる。なかでも、ダミーゲートパターンを構成する膜とは異なる膜、特に、後工程でダミーコンタクトパターンを除去する際に、ダミーゲートパターンとの選択比が大きくなる材料を選択することが好ましい。具体的には、ダミーゲートパターンがシリコン窒化膜の場合には、シリコン酸化膜等が挙げられる。サイドウォール絶縁膜は、公知の方法、例えば、絶縁膜を、得られた半導体基板上全面に形成し、RIE等の異方性エッチングによってエッチバックして形成することができる。
【0021】
なお、サイドウォール絶縁膜の膜厚は、後に形成されるゲート電極とコンタクトプラグとを電気的に分離することができる程度で、かつ所望の機能が得られるLDD領域を形成することができるように設定することが好ましい。例えば、ダミーゲートパターン側壁上のサイドウォール絶縁膜の最も厚膜部分での膜厚は、10〜50nm程度が挙げられる。
【0022】
工程(c)少なくともコンタクトプラグ形成領域にダミーゲートパターンと同一材料の膜を形成する。
コンタクトプラグ形成領域とは、半導体基板、つまりソース/ドレイン領域とゲート電極より上層の配線とを接続するための領域であり、通常、ソース/ドレイン領域上の領域を意味する。
ダミーゲートパターンと同一材料の膜は、材料が同一であるのみならず、同一の方法で形成した同質の膜であることが好ましい。この膜の膜厚は、ダミーゲートパターンよりも薄膜であってもよいが、ダミーゲートパターンと同程度又はそれ以上であることが好ましい。
【0023】
例えば、この膜は、ダミーゲートパターン及びサイドウォール絶縁膜に隣接してこれらの外周を囲む領域のみに;このような外周を囲む領域を含む領域に;あるいは後述する工程(d)で形成する層間絶縁膜をかねて、得られた半導体基板上全面に形成してもよい。なお、この膜は、ダミーゲートパターンを完全に被覆しないように、好ましくは、ダミーゲートパターンの表面が露出するように、ダミーゲートパターンの上面と面一になるように平坦化することが好ましい。このような領域への膜の形成は、得られた半導体基板上全面に膜を堆積し;任意にフォトリソグラフィ及びエッチング工程によりパターニングし;任意にCMP法等により表面を平坦化することにより形成することができる。
【0024】
工程(d)において、ダミーゲートパターンと同一材料の膜の周囲の半導体基板上に層間絶縁膜を形成する。層間絶縁膜としては、上層配線と下層配線とを電気的に分離することができる膜であれば、その材料及び膜厚は特に限定されるものではなく、例えば、上述の絶縁膜の中から選択することができ、ダミーゲートパターンの膜厚と同等又は厚膜とすることができる。層間絶縁膜は、得られた半導体基板上全面に形成し、CMP法等により層間絶縁膜の表面を、ダミーゲートパターン及びその外周の同一材料の膜の表面が露出するまで平坦化することが好ましく、さらに、サイドウォール絶縁膜の上が平面状になるまで、層間絶縁膜、ダミーゲートパターン、同一材料の膜及びサイドウォール絶縁膜を平坦化することが好ましい
【0025】
工程(e)において、ダミーゲートパターンとコンタクト形成領域上に配置されるダミーゲートパターンと同一材料の膜とを除去して層間絶縁膜に溝を形成する。ダミーゲートパターン及びこれと同一材料の膜を除去する方法としては、例えば、ふっ酸、熱リン酸、硝酸、硫酸等を用いたウェットエッチング、スパッタリング法、反応性イオンエッチング法、プラズマエッチング法等のドライエッチング法等種々の方法が挙げられる。
【0026】
なお、層間絶縁膜が、ダミーゲートパターンと同一材料の膜と、1工程で一体的に形成されている場合には、ダミーゲートパターン、サイドウォール絶縁膜及びコンタクトプラグ形成領域であり、かつサイドウォール絶縁膜に隣接するこれらを囲む外周領域に開口を有するレジストパターンをマスクとして用いて、上述のウェットエッチング又はドライエッチングにより除去することができる。
また、先の工程においてサイドウォール絶縁膜の上が平坦化されていない場合は、溝を形成した後、サイドウォール絶縁膜の上を平坦化してもよい。
【0027】
工程(f)において、溝内に導電材を埋め込んでゲート電極とコンタクトプラグとを形成する。ここで使用することができる導電材は、アルミニウム、ルテニウム、銅、金、銀、ニッケル等の金属、タンタル、タングステン、チタン等の高融点金属、TiN、WNx等の窒化物、ポシリコン、高融点金属のシリサイド又はポリサイド、等の単独又は合金の単層膜又は積層膜等が挙げられる。これらは、蒸着法、スパッタ法、CVD法、EB法等の種々の方法で形成することができる。導電材の膜厚は特に限定されるものではないが、溝を完全に埋め込むことができる膜厚が好ましく、例えば、100〜600nm程度が挙げられる。
【0028】
導電材を溝内に埋め込んでゲート電極とコンタクトプラグとを形成する方法としては、導電材を、溝を含む半導体基板上全面に形成し、導電材の表面を、例えば、CMP法により層間絶縁膜が露出するまで平坦化する方法が挙げられる。
また、本発明の半導体装置の別の製造方法として、工程(a’)において、まず、半導体基板上にゲート絶縁膜を介して所定形状の導電膜と、この導電膜上にダミーゲートパターンを形成する。この工程でのゲート絶縁膜の形成は、実質的に工程(a)と同様に行うことができる。ここで使用することができる導電膜としては、導電材として上記したものと同様のものが挙げられる。なかでもポリシリコン膜が好ましい。導電膜の膜厚は特に限定されるものではなく、得ようとするゲート電極の膜厚等を考慮して適宜調整することができる。この工程におけるダミーゲートパターンは、工程(a)に準じて、導電膜上に形成し、導電膜と同時にパターニングすることにより形成することができる。
【0029】
工程(b’)において、導電膜及びダミーゲートパターンの側壁にサイドウォール絶縁膜を形成する。この工程は、工程(b)と同様に行うことができる。
工程(f’)において、溝内に導電材を埋め込む。導電材を埋め込む方法は、工程(f)と同様に行うことができる。これにより、コンタクトプラグと、導電材/導電膜の積層構造からなるゲート電極とを形成することができる。
さらに、本発明の半導体装置の別の製造方法では、工程(a”)において、半導体基板上にゲート絶縁膜を介して複数のダミーゲートパターンを形成する。この工程は、工程(a)と実質的に同様に行うことができる。
【0030】
工程(c”)において、ダミーゲートパターン間であって、コンタクトプラグ形成領域に、ダミーコンタクトパターンをセルフアラインで形成する。ここで、ダミーコンタクトパターンとは、コンタクトプラグを形成する領域に予備的に形成しておくパターンを意味し、その形状、膜厚等は、得ようとするコンタクトプラグの機能等により適宜調整することができる。また、ダミーコンタクトパターンは、コンタクトプラグを形成する前に除去されるパターンであるため、除去する条件等によって、ダミーゲートパターンとして上述した材料の中から適宜選択することができる。例えば、ダミーコンタクトパターンがダミーゲートパターンと同様の膜厚とする場合には、同一材料であることが好ましい。また、ダミーコンタクトパターンとして、ダミーゲートパターンと異なる材料、具体的には、エッチングされにくい材料を選択する場合には、ダミーゲートパターンとの選択比を考慮して、ダミーゲートパターンよりも薄膜で形成することが好ましい。ダミーコンタクトパターンがダミーゲートパターンと同様の膜厚、同一材料で形成されることがより好ましい。
【0031】
ダミーコンタクトパターンは、半導体基板上全面にダミーコンタクトパターンを形成する材料膜を堆積し、ダミーゲートパターンの表面が露出するまでCMP法等によって平坦化することにより、ダミーゲートパターンの間にサイドウォール絶縁膜を介して自己整合的に埋め込み、形成することができる。
【0032】
工程(e”)は、工程(e)と実質的に同様の方法で行うことができる。
さらに、本発明の半導体装置の別の製造方法では、上記の各製造方法中の工程を任意の組み合わせとする、すなわち、工程(a’)、(b’)、(c”)、(d”)及び(f’)を組み合わせることにより、実現することができる。
また、本発明の半導体装置のさらに別の製造方法では、工程(aa)において、半導体基板上に複数のダミーゲートパターンを形成する。ここでのダミーゲートパターンは、工程(a)でのダミーゲートパターンの形成と同様に行うことができる。
【0033】
工程(cc)において、ダミーゲートパターン間であって、コンタクトプラグ形成領域に導電材を埋め込んでコンタクトプラグを形成する。ここでの導電材の種類及び埋め込み方法は、実質的に工程(f)と同様に行うことができる。
工程(dd)において、ダミーゲートパターンを除去して溝を形成する。この工程は、実質的に工程(e)及び(e”)と同様に行うことができる。
工程(ee)において、少なくとも溝底面にゲート絶縁膜を形成する。ここでゲート絶縁膜の形成は、工程(a)におけるゲート絶縁膜の形成と実質的に同様に行うことができる。なお、ゲート絶縁膜は、溝底面のみならず、コンタクトプラグ、サイドウォール絶縁膜を含む基板上全面に形成してもよい。
【0034】
工程(ff)において、溝内に導電材を埋め込んでゲート電極を形成する。この工程は、実質的に(f)と同様に行うことができる。
なお、本発明の半導体記憶装置の製造方法においては、所望の工程前、中、後に、低濃度及び/又は高濃度不純物層を形成するためのイオン注入を行うことが好ましい。イオン注入は、不純物層の形成位置、不純物濃度、イオン注入方法等に応じて、基板に対して垂直な方向から又は所定角度傾斜させて行ってもよい。このようなイオン注入により、シングル構造、LDD構造、DDD構造等のソース/ドレイン領域等を形成することができる。また、半導体装置を形成するための公知の方法により、任意に、閾値調整のためのイオン注入、熱処理、サリサイド工程、絶縁膜の形成、絶縁膜の緻密化、コンタクトホールの形成及び/又は配線層の形成等を行うことが好ましい。例えば、熱処理は、大気中、酸素雰囲気又は窒素雰囲気下で、600〜900℃程度の温度範囲で、1秒間〜5分間程度、炉アニール、RTA(Rapid Thermal Anneal)法等により、不純物の活性化、絶縁膜の緻密化又は平坦化等として行うことができる。
以下、本発明の半導体装置及びその製造方法の実施の形態を図面を参照して詳細に説明する。
【0035】
実施の形態1
まず、図1(a)に示すように、不純物濃度5×1015cm-3程度のp型シリコン基板11の(100)面に、nチャネルトランジスタ形成領域にはpウエル(図示せず)を、pチャネルトランジスタ形成領域にはnウエル(図示せず)をそれぞれ形成する。その後、RIE法によりシリコン基板11に溝を掘り、その溝に絶縁膜を埋め込んで、いわゆるトレンチ素子分離層12(トレンチ深さ約0.2μm程度のSTI)を形成する。得られたシリコン基板11上全面にCVD−SiO2膜もしくは熱−SiO2膜(膜厚は約3nm程度)、又は高誘電体膜(例えばTa25膜、膜厚は20nm程度)からなるゲート絶縁膜13を堆積する。
【0036】
次に、図1(b)に示すように、このゲート絶縁膜13上にダミーゲートパターン用のシリコン窒化膜を400nm程度の膜厚に堆積し、これをリソグラフィ工程で形成したレジストパターン(図示せず)をマスクとして用いてRIE法によりエッチングし、ダミーゲートパターン14を形成する。
LDD構造を形成するため、ダミーゲートパターン14をマスクとして用いて、nチャネルトランジスタの場合には、例えばリン(P+)イオンを70keV、4×1013cm-2程度注入し、n-型拡散領域15aを形成する。
【0037】
続いて、SiO2膜を全面に堆積し、全面のRIE法によるエッチバックを行い、ダミーゲートパターン14の側壁に膜厚20nm程度のサイドウォール絶縁膜16を形成する。
その後、ダミーゲートパターン14及びサイドウォール絶縁膜16をマスクとして用いて、例えば砒素(As+)イオンを30keV、5×1015cm-2程度注入し、n+型拡散領域15bを形成する。
【0038】
次に、図1(c)に示すように、シリコン基板11上にシリコン窒化膜を400nm程度の膜厚に堆積し、平坦化を行った後、シリコン窒化膜をリソグラフィ工程で形成したレジストをマスクとして用いてRIE法によりエッチングし、サイドウォール絶縁膜16を囲み、後の工程でコンタクト孔を形成するために除去されるダミーコンタクトパターン17を形成する。
【0039】
次いで、図1(d)に示すように、シリコン基板11上全面にCVD−SiO2膜からなる層間絶縁膜18を、例えば400nm程度堆積し、800℃程度のN2雰囲気下で30分間程度、緻密化する。ここでの熱処理は、ソース/ドレイン領域におけるイオン注入領域の活性化をも兼ねている。
【0040】
その後、図1(e)に示すように、層間絶縁膜18の表面をCMP法により平坦化し、さらに、ダミーゲートパターン14の表面を露出させる。この際、ゲート電極とコンタクトとのショートを防ぐため、サイドウォール絶縁膜16の上部も平坦化してもよい。この場合、ダミーゲートパターン14及びダミーコンタクトパターン17の表面が露出した時点で熱燐酸あるいはRIEによりダミーゲートパターン14及びダミーコンタクトパターン17の表面をエッチングすることでシリコン窒化膜を後退させ、再度CMPによりサイドウォール絶縁膜16の上部も平坦化する。
【0041】
続いて、図2(f)に示すように、熱燐酸あるいはRIEによりダミーゲートパターン14及びダミーコンタクトパターン17を選択的に除去する。次いで、チャネル・イオン注入を行う。nチャネルトランジスタの場合、例えば0.7V程度のしきい値電圧(Vth)を設定するためには、例えば、ボロン(B+)を10keV、5×1012cm-2程度イオン注入し、p型チャネル不純物領域(図示せず)を形成する。その後、例えばRTAを用いて800℃、10秒間程度の熱処理を行う。これにより、この後工程では高温の熱処理工程がないので、トランジスタのショート・チャネル効果を抑えることができるようにチャネル領域の不純物プロファイルを最適化できる。
【0042】
さらに、図2(g)に示すように、例えば、Al膜を全面に堆積し、CMP法により表面を平坦化し、ゲート電極19及びコンタクトプラグ20を形成する。この際、サイドウォール絶縁膜16及び層間絶縁膜18がCMPのストッパーとしての役割を果たす。
【0043】
次に、図2(h)に示すように、得られたシリコン基板11上全面に、プラズマTEOS法によるSiO2膜からなる層間絶縁膜22を、約300nm程度の膜厚で堆積し、リソグラフィ工程により形成したレジストパターン23をマスクとして用いてRIE法により、コンタクトプラグ20へのコンタクト孔24及びゲート電極19へのコンタクト孔(図示せず)を形成する。
次いで、レジストパターン23を除去し、図2(i)に示すように、いわゆるデュアルダマシン法で配線を形成する。つまり、リソグラフィ工程により、配線パターンを形成する領域に開口を有するレジストパターン26を形成し、RIE法により、層間絶縁膜22に深さ0.25μm程度の溝25を形成する。
【0044】
その後、レジストパターン26を除去する。図2(j)に示すように、コンタクト孔24及び溝25に、例えばAl−Cu膜を高温スパッタなどでリフローして埋め込み、CMP法で平坦化し、配線27を形成する。
このような半導体装置の製造方法により、コンタクトプラグ20をゲート電極19に隣接して自己整合的に形成することができ、配線のコンタクトとゲート電極とをショートさせないように確実に分離することができ、しかも、サイドウォール絶縁膜16の膜厚を調整することにより、所望の間隔、つまり、最小限の間隔を有して形成することができる。よって、実質的なゲート電極−コンタクト間の距離を近づけることができ、ソース/ドレイン領域における寄生抵抗を低減できるとともに、トランジスタの素子特性を向上させることができる。
【0045】
実施の形態2
まず、実施の形態1と実質的に同様に、図3(a)に示すように、トレンチ素子分離層12を有するシリコン基板11上に、TaO2からなるゲート絶縁膜13、CVD−SiO2膜からなるダミーゲートパターン14を形成し、さらに、n-型拡散領域15a、シリコン窒化膜からなるサイドウォール絶縁膜16及びn+型拡散領域15bを形成する。
次に、図3(b)に示すように、実施の形態1と実質的に同様に、シリコン基板11上にCVD−SiO2膜からなる層間絶縁膜18を形成し、緻密化する。
続いて、図3(c)に示すように、層間絶縁膜18の表面をCMP法により平坦化し、ダミーゲートパターン14の表面を露出させる。
【0046】
次いで、図3(d)に示すように、リソグラフィ工程で形成したレジストパターン28をマスクとして用いて、サイドウォール絶縁膜16を囲み、後の工程でコンタクトを形成する領域の層間絶縁膜18と、ダミーゲートパターン14とを選択的に除去する。
その後の工程は、実施の形態1と同様に行うことができる。
このような半導体装置の製造方法により、実施の形態1と同様の効果を得ることができる。
【0047】
実施の形態3
まず、実施の形態1と同様に、トレンチ素子分離層12を有するシリコン基板11上にゲート絶縁膜13を堆積する。
次いで、図4(a)に示すように、ゲート絶縁膜13上にゲート電極となるポリシリコン膜32を200nm堆積し、ドーピングを行い、さらにダミーゲートパターン用のシリコン窒化膜を200nm程度の膜厚に堆積し、これらをリソグラフィ工程で形成したレジストパターン(図示せず)をマスクとして用いてRIE法などによりエッチングしてポリシリコン膜32をパターニングするとともに、後の工程でゲート電極を形成するために除去されるダミーゲートパターン33を形成する。
【0048】
次に、ダミーゲートパターン33をマスクとして用いて、実施の形態1と同様に、n-型拡散領域15aを形成する。続いて、実施の形態1と同様に、サイドウォール絶縁膜16を形成する。その後、ダミーゲートパターン13及び側壁膜16をマスクとして用いて、実施の形態1と同様に、n+型拡散領域15bを形成する。
次いで、図4(b)に示すように、サイドウォール絶縁膜16を囲むように、実施の形態1と同様に、ダミーコンタクトパターン17を形成する。
続いて、図4(c)に示すように、実施の形態1と同様に、全面に層間絶縁膜18を形成し、図4(d)に示すように、実施の形態1と同様に、その表面を平坦化してダミーゲートパターン33の表面を露出させる。
【0049】
その後、図4(e)に示すように、実施の形態1と同様に、ダミーゲートパターン33及びダミーコンタクトパターン17を選択的に除去する。この際、ダミーゲートパターン33下のポリシリコン膜32は除去されずに残存する。
次いで、Ti、Ta、TaN、W、TiNなどのバリアメタルを形成した後(図示せず)、図4(f)に示すように、実施の形態1と同様に、例えばAl膜35を形成し、その表面を平坦化して、ポリシリコン膜32とAl膜35とからなるゲート電極36及びAl膜35からなるコンタクトプラグ20を形成する。
【0050】
その後、実施の形態1と同様に、デュアルダマシン法により、配線27を形成する。
この半導体装置の製造方法によれば、ゲート絶縁膜13上にはポリシリコン膜32が存在するので、オーバーエッチされることがなく、ゲート絶縁膜13の劣化を防止することができる。
【0051】
実施の形態4
まず、実施の形態1と同様に、トレンチ素子分離層12を有するシリコン基板11上にゲート絶縁膜13を堆積する。
次に、図5(a)に示すように、ゲート絶縁膜13上にダミーゲートパターン用のシリコン窒化膜を300nm程度の膜厚に堆積し、これをリソグラフィ工程で形成したレジストをマスクとして用いてRIE法によりエッチングし、ダミーゲートパターン14を形成する。この際、同時に素子分離層12上にダミーゲートパターン14aを形成し、後工程におけるセルフアラインのダミーコンタクトパターンを形成する領域に溝37を形成する。
【0052】
次に、ダミーゲートパターン14、14aをマスクとして用いて、実施の形態1と同様に、n-型拡散領域15aを形成する。続いて、実施の形態1と同様に、ダミーゲートパターン14、14aの側壁にサイドウォール絶縁膜16を形成する。その後、ダミーゲートパターン14、14a及びサイドウォール絶縁膜16をマスクとして用いて、実施の形態1と同様に、n+型拡散領域15bを形成する。
【0053】
次いで、図5(b)に示すように、溝37を含むシリコン基板11上に、シリコン窒化膜38aを膜厚400nm程度で形成し、例えば、750℃程度のN2雰囲気下、60分間程度のアニール処理を行い、ソース/ドレイン注入によるダメージの回復を行い、さらに1000℃程度のN2雰囲気下、10秒間程度のRTA処理を行う。
続いて、図5(c)に示すように、CMP法によってシリコン窒化膜38aを平坦化し、ダミーゲートパターン14、14aの表面を露出させることにより、溝37内にダミーコンタクトパターン38を形成する。
【0054】
次いで、図5(d)に示すように、実施の形態1と同様にダミーゲートパターン14、14a及びダミーコンタクトパターン38を選択的に除去し、実施の形態1と同様にチャネル・イオン注入を行う。
さらに、図5(e)に示すように、実施の形態1と同様に、例えばAl膜を全面に堆積し、CMP法により表面を平坦化してゲート電極19及びコンタクトプラグ20を形成する。
【0055】
次に、図6(f)に示すように、実施の形態1と同様に、得られたシリコン基板11上全面に層間絶縁膜22を形成し、レジストパターン23をマスクとして用いてコンタクト孔24及びゲート電極19へのコンタクト孔(図示せず)を形成する。
次いで、レジストパターン23を除去し、図6(g)に示すように、実施の形態1と同様に層間絶縁膜22に、深さ0.25μm程度の溝25を形成し、レジストパターン26を除去する。
【0056】
その後、図6(h)に示すように、実施の形態1と同様に、コンタクト孔24及び溝25に、例えばAl−Cu膜を埋め込み、平坦化して配線27を形成する。
このような半導体装置の製造方法により、実施の形態1と同様の効果を得ることができるとともに、さらに、ダミーコンタクトパターン38を、フォトリソグラフィ工程を利用してサイドウォール絶縁膜16を囲むようにパターニングする必要がなくなるため、フォト工程を1回省略することができ、実施の形態1よりもさら製造工程の簡略化を図ることができる。
【0057】
実施の形態5
まず、実施の形態1と同様に、トレンチ素子分離層12を有するシリコン基板11上にゲート絶縁膜13を堆積する。
次いで、図7(a)に示すように、実施の形態3と同様に、膜厚200nm程度のポリシリコン膜32及び膜厚200nm程度のシリコン窒化膜33を堆積し、これらをパターニングしてダミーゲートパターン33を形成する。この際、実施の形態4と同様に、溝37を形成する。
【0058】
次に、ダミーゲートパターン33をマスクとして用いて、実施の形態1と同様に、n-型拡散領域15aを形成する。続いて、実施の形態1と同様に、サイドウォール絶縁膜16を形成する。その後、ダミーゲートパターン13及びサイドウォール絶縁膜16をマスクとして用いて、実施の形態1と同様に、n+型拡散領域15bを形成する。
次いで、図7(b)に示すように、実施の形態4と同様に、溝37を含むシリコン基板11上に、シリコン窒化膜38aを形成し、熱処理を行う。
【0059】
続いて、図7(c)に示すように、実施の形態4と同様に、シリコン窒化膜38aを平坦化し、ダミーゲートパターン33、33aの表面を露出させることにより、溝37内にダミーコンタクトパターン38を形成する。
その後、図7(d)に示すように、実施の形態1と同様に、ダミーゲートパターン33、33a及びダミーコンタクトパターン38を選択的に除去する。
【0060】
次いで、図7(e)に示すように、実施の形態3と同様に、例えばAl膜35を形成し、その表面を平坦化して、ポリシリコン膜32とAl膜35とからなるゲート電極36及びAl膜35からなるコンタクトプラグ20を形成する。
その後、実施の形態1と同様に、デュアルダマシン法により、配線27を形成する。
この半導体装置の製造方法によれば、実施の形態4と同様の効果が得られるとともに、さらに、ゲート絶縁膜13上にはポリシリコン膜32が存在するので、オーバーエッチされることがなく、ゲート絶縁膜13の劣化を防止することができる。
【0061】
実施の形態6
図8(a)に示すように、実施の形態1及び4と同様に、トレンチ素子分離層12を有するシリコン基板11上に、ゲート絶縁膜13、膜厚300nm程度のダミーゲートパターン14、14a、溝37、n-型拡散領域15a、n+型拡散領域15b及びサイドウォール絶縁膜16を形成する。
【0062】
次いで、図8(b)に示すように、得られたシリコン基板11上に、ダミーゲートパターン14、14aを構成する膜とは異なる膜、例えば、シリコン酸化膜39を膜厚5nm程度で形成する。ここでのシリコン酸化膜39の膜厚は、後工程でのダミーゲートパターン14、14aのエッチング法によって調整することができ、この実施の形態では、ダミーゲートパターン14、14aを構成するシリコン窒化膜とシリコン酸化膜39との選択比が約60程度であることを想定して決定している。その後、実施の形態1又は4と同様に、熱処理を行う。
【0063】
その後、図8(c)に示すように、実施の形態1に準じて、シリコン酸化膜39をCMP法により平坦化し、ダミーゲートパターン14、14aの表面を露出させる。
次いで、図8(d)に示すように、実施の形態1と同様に、ダミーゲートパターンを除去し、チャネル注入、熱処理等を行う。この際、溝37内のシリコン酸化膜39も完全に除去される。
【0064】
続いて、図8(e)に示すように、実施の形態1及び4と同様に、ゲート電極19及びコンタクトプラグ20を形成し、実施の形態1と同様に、デュアルダマシン法により、配線27を形成する。
このような半導体装置の製造方法により、実施の形態4及び5を同様の効果を得ることができる。
【0065】
実施の形態7
図9(a)に示すように、実施の形態1と実質的に同様に、シリコン基板11上に、トレンチ素子分離層12を形成する。
次いで、図9(b)に示すように、実施の形態4と同様に、得られたシリコン基板11上に、ダミーゲートパターン14、14a、溝37、n-型拡散領域15a、n+型拡散領域15b及びサイドウォール絶縁膜16を形成する。
【0066】
続いて、図9(c)に示すように、得られたシリコン基板11上全面に、Cu膜を形成し、CMP法により平坦化し、ダミーゲートパターン14、14aの表面を露出させることにより、コンタクトプラグ29を形成する。その後、実施の形態1又は4と同様に熱処理を行う。
次いで、図9(d)に示すように、実施の形態1と実質的に同様に、ダミーゲートパターン14、14aを選択的に除去し、実施の形態1又は4と同様に、チャネル・イオン注入、熱処理等を行う。
【0067】
続いて、図9(e)に示すように、実施の形態1と実質的に同様に、得られたシリコン基板11上全面にゲート絶縁膜21を形成する。
ゲート絶縁膜21上に、図10(f)に示すように、実施の形態1と同様に、例えば、Al膜を全面に堆積し、平坦化することによりゲート電極19を形成する。
さらに、図10(g)に示すように、実施の形態1と同様に、得られたシリコン基板11上全面に層間絶縁膜22を堆積し、レジストパターン23をマスクとして用いてコンタクトプラグ29へのコンタクト孔24及びゲート電極19へのコンタクト孔(図示せず)を形成する。
【0068】
次いで、レジストパターン23を除去し、図10(h)に示すように、実施の形態1と同様に、いわゆるデュアルダマシン法で配線を形成する。つまり、レジストパターン26を利用して溝25を形成する。
その後、レジストパターン26を除去する。図10(i)に示すように、実施の形態1と同様に、コンタクト孔24及び溝25にAl−Cu膜を埋め込み、平坦化し、配線27を形成する。
このような半導体装置の製造方法により、実施の形態1と同様の効果を得ることができる。また、ゲート絶縁膜を、ゲート電極を形成する直前に形成するため、さらにゲート絶縁膜の劣化を防止することができ、信頼性の高いゲート絶縁膜を得ることができる。
【0069】
【発明の効果】
本発明の半導体装置の製造方法によれば、コンタクトプラグをゲート電極に対して自己整合的に形成できるため、コンタクトプラグとゲート電極とのアライメントずれに起因するショートを防止することができる。また、コンタクトプラグとゲート電極との距離は、実質的にサイドウォール絶縁膜の膜厚により制御することができるため、サイドウォール絶縁膜の膜厚を薄膜化することにより、素子の占有面積をより縮小化することができ、集積度を上げることができる。
【0070】
また、ダミーゲートパターンの形成の前に、予め導電膜を形成する場合には、ゲート絶縁膜がプロセス中導電膜に被覆され、露出することがないため、ゲート絶縁膜の劣化をより抑制することができる。
さらに、ダミーゲートパターンを複数形成する場合には、ダミーコンタクトパターンは、ダミーゲートパターン間に形成することができるため、ダミーコンタクトパターンを形成するためのフォトリソグラフィ工程を省略することができ、より製造方法の簡略化を図ることができる。
【0071】
さらに、ゲート絶縁膜をプロセスのより後工程とすることにより、ゲート絶縁膜の劣化をさらに防止することができ、非常に信頼性の高い半導体装置を製造することが可能となる。
また、溝を形成する前又は後にサイドウォール絶縁膜の上部を平坦化する場合には、ゲート電極とコンタクトプラグとの接触をより確実に防止することができ、ゲート電極とコンタクトプラグとのショートを回避することが可能となる。
【0072】
さらに、高温熱工程をゲート電極の形成前に行うため、融点が低い導電材をゲート電極として用いることができるため、高速化等、素子特性の向上を図ることが可能となる。
また、ダミーゲートパターンが又はダミーゲートとダミーコンタクトパラーンとがシリコン窒化膜であり、サイドウォール絶縁膜がシリコン酸化膜である場合には、溝を形成する際に、ダミーゲートパターンを容易に選択的に除去することができ、半導体装置を簡便に製造することが可能となる。
【0073】
さらに、ゲート電極とコンタクトプラグとの間に、サイドウォール絶縁膜とゲート絶縁膜とを配置し、ゲート電極の上面をコンタクトプラグの上面と同一高さとする場合には、ゲート電極とコンタクトプラグとの平坦性が保たれるため、後工程のメタル形成工程のプロセスマージン、特にフォトマージンを確保することができる。
さらに、本発明の半導体装置によれば、最小面積で確実に素子内又は素子間のショートを防止することができ、さらにゲート絶縁膜の劣化が抑制された信頼性の高い半導体装置を提供することが可能となる。
【図面の簡単な説明】
【図1】本発明における半導体装置の製造方法の第1の実施形態を示す概略工程断面図である。
【図2】本発明における半導体装置の製造方法の第1の実施形態を示す概略工程断面図である。
【図3】本発明における半導体装置の製造方法の第2の実施形態を示す概略工程断面図である。
【図4】本発明における半導体装置の製造方法の第3の実施形態を示す概略工程断面図である。
【図5】本発明における半導体装置の製造方法の第4の実施形態を示す概略工程断面図である。
【図6】本発明における半導体装置の製造方法の第5の実施形態を示す概略工程断面図である。
【図7】本発明における半導体装置の製造方法の第5の実施形態を示す概略工程断面図である。
【図8】本発明における半導体装置の製造方法の第6の実施形態を示す概略工程断面図である。
【図9】本発明における半導体装置の製造方法の第7の実施形態を示す概略工程断面図である。
【図10】本発明における半導体装置の製造方法の第7の実施形態を示す概略工程断面図である。
【図11】従来の半導体装置の製造方法を示す概略工程断面図である。
【図12】従来の半導体装置の製造方法を示す概略工程断面図である。
【符号の説明】
11 シリコン基板(半導体基板)
12 トレンチ素子分離層
13、21 ゲート絶縁膜
14、14a、33、33a ダミーゲートパターン
15a n-型拡散領域
15b n+型拡散領域
16 サイドウォール絶縁膜
17、38 ダミーコンタクトパターン
18 層間絶縁膜
19、36 ゲート電極
20、29 コンタクトプラグ
22 層間絶縁膜
23、26、28 レジストパターン
24 コンタクト孔
25、37 溝
27 配線
32 ポリシリコン膜
35 Al膜
38a シリコン窒化膜
39 シリコン酸化膜

Claims (5)

  1. (a)半導体基板上にゲート絶縁膜を介してダミーゲートパターンを形成する工程と、(b)該ダミーゲートパターンの側壁にサイドウォール絶縁膜を形成する工程と、(c)少なくともコンタクトプラグ形成領域に前記ダミーゲートパターンと同一材料の膜を形成する工程と、(d)該ダミーゲートパターンと同一材料の膜の周囲の前記半導体基板上に層間絶縁膜を形成する工程と、(e)ダミーゲートパターンと、前記コンタクト形成領域上に配置されるダミーゲートパターンと同一材料の膜とを同時に除去して前記層間絶縁膜に溝を形成する工程と、(f)導電材を、溝を含む半導体基板上全面に形成し、導電材の表面を、層間絶縁膜が露出するまで平坦化して、導電材を溝内に埋め込んでゲート電極とコンタクトプラグとを形成する工程を順次、独立して実施することを特徴とする半導体装置の製造方法。
  2. (a’)半導体基板上にゲート絶縁膜を介して所定形状の導電膜と、該導電膜上にダミーゲートパターンとを形成する工程と、(b’)該導電膜及びダミーゲートパターンの側壁にサイドウォール絶縁膜を形成する工程と、(c)少なくともコンタクトプラグ形成領域に前記ダミーゲートパターンと同一材料の膜を形成する工程と、(d)該ダミーゲートパターンと同一材料の膜の周囲の前記半導体基板上に層間絶縁膜を形成する工程と、(e)ダミーゲートパターンと、前記コンタクト形成領域上に配置されるダミーゲートパターンと同一材料の膜とを同時に除去して前記層間絶縁膜に溝を形成する工程と、(f’)導電材を、溝を含む半導体基板上全面に形成し、層間絶縁膜が露出するまで平坦化して、該溝内に導電材を埋め込んで、コンタクトプラグと、前記導電膜及び導電材からなるゲート電極とを形成する工程を順次、独立して実施することを特徴とする半導体装置の製造方法。
  3. 溝を形成する前に、サイドウォール絶縁膜の上部を平坦化する請求項1または2に記載の方法。
  4. 導電材が、金属又は高融点金属である請求項1〜のいずれか1つに記載の方法。
  5. ダミーゲートパターンがシリコン窒化膜であり、サイドウォール絶縁膜がシリコン酸化膜である請求項1〜4のいずれか1つに記載の方法。
JP2000368661A 2000-12-04 2000-12-04 半導体装置の製造方法 Expired - Fee Related JP3669919B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2000368661A JP3669919B2 (ja) 2000-12-04 2000-12-04 半導体装置の製造方法
US09/986,059 US20020068394A1 (en) 2000-12-04 2001-11-07 Semiconductor device and fabrication process therefor
TW090127686A TW512534B (en) 2000-12-04 2001-11-07 Semiconductor device and the manufacturing method thereof
DE60132748T DE60132748T2 (de) 2000-12-04 2001-11-14 Herstellungsverfahren für ein Halbleiterbauelement unter Benutzung eines Dummy-Gates
EP01127063A EP1211716B1 (en) 2000-12-04 2001-11-14 Fabrication process for semiconductor device using a dummy gate
KR10-2001-0074548A KR100465380B1 (ko) 2000-12-04 2001-11-28 반도체 장치 및 그의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000368661A JP3669919B2 (ja) 2000-12-04 2000-12-04 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2002170953A JP2002170953A (ja) 2002-06-14
JP3669919B2 true JP3669919B2 (ja) 2005-07-13

Family

ID=18838836

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000368661A Expired - Fee Related JP3669919B2 (ja) 2000-12-04 2000-12-04 半導体装置の製造方法

Country Status (6)

Country Link
US (1) US20020068394A1 (ja)
EP (1) EP1211716B1 (ja)
JP (1) JP3669919B2 (ja)
KR (1) KR100465380B1 (ja)
DE (1) DE60132748T2 (ja)
TW (1) TW512534B (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100408414B1 (ko) * 2001-06-20 2003-12-06 삼성전자주식회사 반도체 소자 및 그 제조방법
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6787836B2 (en) * 2002-08-21 2004-09-07 International Business Machines Corporation Integrated metal-insulator-metal capacitor and metal gate transistor
US7494894B2 (en) * 2002-08-29 2009-02-24 Micron Technology, Inc. Protection in integrated circuits
US7652359B2 (en) * 2002-12-27 2010-01-26 Semiconductor Energy Laboratory Co., Ltd. Article having display device
JP4178240B2 (ja) * 2003-10-28 2008-11-12 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100670400B1 (ko) * 2003-12-31 2007-01-16 동부일렉트로닉스 주식회사 듀얼 다마신 게이트를 구비한 반도체 소자 및 그 제조 방법
US7521368B2 (en) * 2004-05-07 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7138323B2 (en) * 2004-07-28 2006-11-21 Intel Corporation Planarizing a semiconductor structure to form replacement metal gates
JP3948472B2 (ja) 2004-11-09 2007-07-25 セイコーエプソン株式会社 半導体装置の製造方法
CN100413031C (zh) * 2006-02-24 2008-08-20 北京大学 金属栅/高k栅介质制备工艺及双金属栅cmos的制备方法
KR100787942B1 (ko) 2006-07-24 2007-12-24 삼성전자주식회사 선택 라인을 공유하는 엑스아이피 플래시 메모리 장치
KR101393308B1 (ko) * 2008-01-30 2014-05-12 삼성전자주식회사 실리사이드화 공정을 이용하는 반도체 소자의 제조 방법
WO2009128133A1 (ja) * 2008-04-14 2009-10-22 富士通株式会社 反強誘電体ゲートトランジスタおよびその製造方法、不揮発性メモリ素子
US8367508B2 (en) * 2010-04-09 2013-02-05 International Business Machines Corporation Self-aligned contacts for field effect transistor devices
CN102237311B (zh) * 2010-04-21 2015-06-17 中国科学院微电子研究所 半导体器件及其制作方法
DE102010028460B4 (de) * 2010-04-30 2014-01-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
US8329546B2 (en) * 2010-08-31 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Modified profile gate structure for semiconductor device and methods of forming thereof
KR20120097713A (ko) 2011-02-25 2012-09-05 에스케이하이닉스 주식회사 반도체 장치의 제조방법
US9269634B2 (en) * 2011-05-16 2016-02-23 Globalfoundries Inc. Self-aligned metal gate CMOS with metal base layer and dummy gate structure
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices
CN105529304B (zh) * 2014-09-30 2019-06-18 联华电子股份有限公司 半导体装置与其制造方法
KR102173638B1 (ko) * 2014-10-01 2020-11-04 삼성전자주식회사 반도체 소자 및 그 형성방법
CN106531684B (zh) * 2015-09-11 2019-07-16 中国科学院微电子研究所 一种形成自对准接触部的方法
CN108987362B (zh) 2017-05-31 2020-10-16 华邦电子股份有限公司 内连线结构、其制造方法与半导体结构
TWI659500B (zh) * 2017-05-31 2019-05-11 華邦電子股份有限公司 內連線結構、其製造方法與半導體結構
US10186599B1 (en) * 2017-07-20 2019-01-22 International Business Machines Corporation Forming self-aligned contact with spacer first

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5804846A (en) * 1996-05-28 1998-09-08 Harris Corporation Process for forming a self-aligned raised source/drain MOS device and device therefrom
JPH10189966A (ja) * 1996-12-26 1998-07-21 Toshiba Corp 半導体装置及びその製造方法
US6262451B1 (en) * 1997-03-13 2001-07-17 Motorola, Inc. Electrode structure for transistors, non-volatile memories and the like
JPH1126757A (ja) * 1997-06-30 1999-01-29 Toshiba Corp 半導体装置及びその製造方法
JP3530026B2 (ja) * 1997-06-30 2004-05-24 株式会社東芝 半導体装置及びその製造方法
US5960270A (en) * 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6093967A (en) * 1997-12-17 2000-07-25 Advanced Micro Devices, Inc. Self-aligned silicide contacts formed from deposited silicon
TW514992B (en) * 1999-12-17 2002-12-21 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
KR20010064326A (ko) * 1999-12-29 2001-07-09 박종섭 반도체장치의 모스트랜지스터 제조방법
KR20020002069A (ko) * 2000-06-29 2002-01-09 박종섭 반도체 소자의 제조방법
US6376885B1 (en) * 2000-09-25 2002-04-23 Vanguard International Semiconductor Corp. Semiconductor structure with metal silicide and method for fabricated the structure
US6465334B1 (en) * 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors

Also Published As

Publication number Publication date
EP1211716B1 (en) 2008-02-13
JP2002170953A (ja) 2002-06-14
KR20020043444A (ko) 2002-06-10
KR100465380B1 (ko) 2005-01-13
DE60132748D1 (de) 2008-03-27
EP1211716A2 (en) 2002-06-05
TW512534B (en) 2002-12-01
US20020068394A1 (en) 2002-06-06
DE60132748T2 (de) 2009-02-05
EP1211716A3 (en) 2005-06-22

Similar Documents

Publication Publication Date Title
JP3669919B2 (ja) 半導体装置の製造方法
JP4086926B2 (ja) 半導体装置及びその製造方法
US5950090A (en) Method for fabricating a metal-oxide semiconductor transistor
JP3941133B2 (ja) 半導体装置およびその製造方法
US6872627B2 (en) Selective formation of metal gate for dual gate oxide application
JP3025478B2 (ja) 半導体装置およびその製造方法
US7678692B2 (en) Fabrication method for a damascene bit line contact plug
JPH1126757A (ja) 半導体装置及びその製造方法
KR20010102168A (ko) 반도체 장치 제조 방법
JP3022744B2 (ja) 半導体装置及びその製造方法
US6580117B2 (en) Non-volatile semiconductor memory device and method of manufacturing the same
JP2925416B2 (ja) 半導体集積回路装置の製造方法
JP3545592B2 (ja) 半導体装置の製造方法
JPH09260655A (ja) 半導体装置の製造方法
US6174762B1 (en) Salicide device with borderless contact
JP5076168B2 (ja) 半導体装置の製造方法
JP2001237427A (ja) 拡張されたソース/ドレインコンタクト領域を有する隆起シリサイドソース/ドレイン型mosトランジスタおよび方法
KR20010051026A (ko) 반도체 장치 및 그 제조 방법
JP3394914B2 (ja) 半導体装置およびその製造方法
JP5735680B2 (ja) 半導体装置およびその製造方法
JP4296769B2 (ja) 半導体装置及びその製造方法
JPH08227935A (ja) 半導体装置の製造方法
JP3116889B2 (ja) 半導体装置の製造方法
JPH1187701A (ja) 半導体装置及び半導体装置の製造方法
US20030203568A1 (en) Semiconductor device manufacturing method and semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050111

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050310

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050405

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050412

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080422

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090422

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090422

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100422

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100422

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110422

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120422

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120422

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130422

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees