JPH10135203A - 成膜方法及び半導体装置の製造方法 - Google Patents

成膜方法及び半導体装置の製造方法

Info

Publication number
JPH10135203A
JPH10135203A JP8288787A JP28878796A JPH10135203A JP H10135203 A JPH10135203 A JP H10135203A JP 8288787 A JP8288787 A JP 8288787A JP 28878796 A JP28878796 A JP 28878796A JP H10135203 A JPH10135203 A JP H10135203A
Authority
JP
Japan
Prior art keywords
film
phosphorus
forming method
film forming
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8288787A
Other languages
English (en)
Other versions
JP2983476B2 (ja
Inventor
Toku Tokumasu
徳 徳増
Kazuo Maeda
和夫 前田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Process Laboratory Co Ltd
Canon Marketing Japan Inc
Original Assignee
Semiconductor Process Laboratory Co Ltd
Canon Marketing Japan Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Process Laboratory Co Ltd, Canon Marketing Japan Inc filed Critical Semiconductor Process Laboratory Co Ltd
Priority to JP8288787A priority Critical patent/JP2983476B2/ja
Priority to TW086105271A priority patent/TW345686B/zh
Priority to US08/842,425 priority patent/US5915200A/en
Priority to KR1019970016419A priority patent/KR100369427B1/ko
Priority to DE69705915T priority patent/DE69705915T2/de
Priority to EP97108763A priority patent/EP0840366B1/en
Publication of JPH10135203A publication Critical patent/JPH10135203A/ja
Application granted granted Critical
Publication of JP2983476B2 publication Critical patent/JP2983476B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02145Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing aluminium, e.g. AlSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】半導体集積回路装置の配線層等を被覆する平坦
化された層間絶縁膜の成膜方法に関し、平坦化のための
流動化温度を飛躍的に低下させる。 【解決手段】III 価のリンを有し、かつ少なくともリン
の結合手の一つに酸素が結合したリン含有化合物を含む
反応ガスを用いて、P2O3を含むシリコン含有絶縁膜14
を被堆積基板101上に形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、成膜方法及び半導
体装置の製造方法に関し、より詳しくは、半導体集積回
路装置の配線層等を被覆する平坦化された層間絶縁膜の
成膜方法及び半導体装置の製造方法に関する。近年、半
導体集積回路装置においては、更なる高密度化が進展
し、数層以上に及ぶ多層配線を形成する場合が増えつつ
ある。この場合、配線層として特にアルミニウム材料を
用いることが多いため500℃以下の低温で形成可能な
平坦化された層間絶縁膜の成膜方法の開発が強く望まれ
るようになってきている。
【0002】
【従来の技術】従来、絶縁膜の平坦化方法として、図1
1に示すように、熱CVD法やプラズマ励起CVD法等
により成膜した後、形成膜を加熱し、流動化させて平坦
化する方法や、図12に示すエッチバック法や図13に
示すCMP法(化学機械研磨法)のように絶縁膜の表面
の凹凸をエッチングや研磨により除去して平坦化する方
法がある。
【0003】前者の場合、下記の反応ガスのいずれかを
用いた熱CVD法により、 (1)SiH4+PH3 +B2H6+O2 (PH3 :phosphine ) (2)TEOS+TMOP+TMB 又はTEB +O2又はO3 (TEOS:tetraethylorthosilicate(Si(OC2H5)4) ,TMO
P:trimethylphosphate(PO(OCH3)3) ) 図11(a)に示すように、BPSG膜4を形成し、或
いは下記の反応ガスのいずれかを用いたプラズマ励起C
VD法により、 (1)SiH4+PH3 +B2H6+O2 (2)TEOS+TMOP+TMB 又はTEB +O2 図11(a)に示すように、BPSG膜4を形成する。
これについては文献 Williams,D.S.and Dein, E.A.:J.
Electrochem.Soc., 134,3,:657, 1987、Levin, R.M. an
d Evans-Lutterodt, K.:J. Vac.Sci.Technol., B1, 1:5
4, 1983 、Sato,J. and Maeda, K. : Extended Abstrac
t of Electrochem. Soc. Spring Meeting: 31,1971 等
がある。
【0004】その後、図11(b)に示すように、形成
したBPSG膜4を850℃程度の温度で加熱し、流動
化させて平坦化する。なお、PSG膜の場合、上記反応
ガスからボロン含有ガス(B2H6,TMB 又はTEB )を除い
た反応ガスを用いて熱CVD法やプラズマ励起CVD法
等により成膜した後、1000℃以下の温度で加熱し、流動
化させて平坦化する。
【0005】また、後者の場合、まず、図12(a)及
び図13(a)に示すように、下記の反応ガスを用いた
熱CVD法又はプラズマ励起CVD法等によりNSG膜
5を形成し、その後、平坦化する。 (1)SiH4+O2(熱CVD法又はプラズマ励起CVD法) (2)TEOS+O2又はO3(熱CVD法) (3)TEOS+O2(プラズマ励起CVD法) エッチバック法では、図12(b)に示すように、NS
G膜5上にレジスト膜6を塗布・形成し、表面を平坦に
した上で、図12(c)に示すように、上の方からエッ
チングし、平坦化NSG膜5aを形成する。また、CM
P法では、図13(b)に示すように、上記NSG膜5
を形成した後、研磨してNSG膜5b表面を平坦化す
る。
【0006】なお、上記図11〜図13において、1は
半導体基板、2は下地絶縁膜、3a,3bは下地絶縁膜
2の上に形成された配線層である。
【0007】
【発明が解決しようとする課題】ところで、上記のエッ
チバック法やCMP法による平坦化方法では、加熱・流
動化による平坦化方法と異なり、加熱しないので、特に
低温を要求される場合には有効であるが、図12及び図
13に示すように、もとの絶縁膜5の成膜直後に配線層
3a,3b間その他の凹部にボイドが形成されていると
平坦化後にもそれがそのまま残ってしまう。現在、埋込
み性の良好な絶縁膜の成膜方法として高密度プラズマC
VD法、プラズマ励起CVD法、常圧熱CVD法、SO
G塗布法等がある。しかし、この平坦化方法が熱的流動
性を用いた方法ではないため、特に、高密度化されて配
線層間が狭くなってきたとき、その凹部を完全に埋め込
むことは困難である。
【0008】一方、加熱・流動化による平坦化方法で
は、熱的流動性を用いているので、図11に示すよう
に、完全な埋め込みが期待できる。現在では、特に、こ
のような用途にBPSG膜(ボロンリンシリケートグラ
ス膜)4が用いられることが多いが、流動化のためには
低くとも温度850℃の加熱が必要であり、低温形成が
要求される配線層3a,3bの下地膜2や層間絶縁膜4
としての用途、特に、アルミニウム配線層を被覆する絶
縁膜としての用途には適用できない。この場合、リンや
ボロンの濃度を高くすれば、流動化温度はある程度下げ
られるが、まだ十分ではなく、その上絶縁膜2,4の安
定性や耐湿性が低下するという新たな問題を生じる。な
お、PSG膜についてもほぼBPSG膜と同じ程度の流
動化温度が必要であり、上記の問題が生ずる。
【0009】また、流動化温度の低い絶縁膜としてBP
SG膜にGeO2 を添加したGeBPSG膜も開発されている
が、精々750℃程度までであり、低温化が要求される
下地膜や層間絶縁膜への適用は困難である。本発明は、
上記の従来例の問題点に鑑みて創作されたものであり、
平坦化のための流動化温度を飛躍的に低下させることが
できる絶縁膜の成膜方法及び半導体装置の製造方法を提
供するものである。
【0010】
【課題を解決するための手段】上記課題は、第1の発明
である、III 価のリンを有し、かつ少なくともリンの結
合手の一つに酸素が結合したリン含有化合物を含む反応
ガスを用いて、P2O3を含むシリコン含有絶縁膜を被堆積
基板上に形成することを特徴とする成膜方法によって解
決され、第2の発明である、前記反応ガスを加熱により
又はプラズマ化により励起することを特徴とする第1の
発明に記載の成膜方法によって解決され、第3の発明で
ある、前記成膜中の被堆積基板を温度400℃以下に加
熱することを特徴とする第1又は第2の発明に記載の成
膜方法によって解決され、第4の発明である、前記P2O3
を含むシリコン含有絶縁膜は、リンシリケートグラス膜
(PSG膜)又はボロンリンシリケートグラス膜(BP
SG膜)であることを特徴とする第1乃至第3の発明の
いずれかに記載の成膜方法によって解決され、第5の発
明である、前記P2O3を含むシリコン含有絶縁膜を形成し
た後、さらに、前記形成膜を加熱し、流動化させて平坦
化することを特徴とする第1乃至第4の発明のいずれか
に記載の成膜方法によって解決され、第6の発明であ
る、前記形成膜を加熱する温度は500℃以下であるこ
とを特徴とする第5の発明に記載の成膜方法によって解
決され、第7の発明である、前記P2O3を含むシリコン含
有絶縁膜を形成した後、さらに、酸素を含む雰囲気中で
前記形成膜を加熱し、前記形成膜中のP2O3をP2O5に変換
することを特徴とする第1乃至第4の発明のいずれかに
記載の成膜方法によって解決され、第8の発明である、
前記形成膜を加熱し、流動化させて平坦化した後、さら
に、酸素を含む雰囲気中で前記形成膜を加熱し、前記形
成膜中のP2O3をP2O5に変換することを特徴とする第5又
は第6の発明に記載の成膜方法によって解決され、第9
の発明である、前記III 価のリンを有し、かつ少なくと
もリンの結合手の一つに酸素が結合したリン含有化合物
は、下記の構造式を有するTMP(Trimethylphosphite
(P(OCH3)3))、
【0011】
【化4】
【0012】下記の構造式を有するSi-O-P構造を有する
リン含有化合物
【0013】
【化5】
【0014】または、
【0015】
【化6】
【0016】のうちいずれかであることを特徴とする第
1乃至第7の発明のいずれかに記載の成膜方法によって
解決され、第10の発明である、第1乃至第9の発明の
いずれかに記載の成膜方法により、絶縁膜上の配線層を
被覆して、平坦化された前記P2O3を含むシリコン含有絶
縁膜を形成することを特徴とする半導体装置の製造方法
によって解決され、第11の発明である、前記配線層の
材料はアルミニウム又はアルミニウム合金であることを
特徴とする第10の発明に記載の半導体装置の製造方法
によって解決される。
【0017】本願発明者は、 従来例のBPSG膜或いはPSG膜がSiO2+P2O5+B2
O3からなる混合物或いはSiO2+P2O5からなる混合物であ
ること、(なお、従来例の反応ガスSiH4+PH3+B2H6+O
2のPH3 はIII 価のリンであるが、外部から供給された
酸素と結合し、P2O3ではなくP2O5を生成する。これは、
PH3 自体が酸素を含まないため、外部から供給された酸
素と結合したとき、容易に安定なP2O5が生成されるため
であると考えられる。) P2O5-SiO2 系のBPSG膜等においては、図10に示
すように理論的にはP2O520〜80%の組成で共融点が
850℃となっており、その流動化温度はP2O5自身の融
点が決め手になっていること、 及び下記のようにP2O3がP2O5よりも融点が極めて低い
ことに着目した。
【0018】
【表1】
【0019】従って、BPSG膜或いはPSG膜がP2O5
の代わりにP2O3を主として含むようにすれば、流動化温
度が下げられると考えた。P2O3濃度の高いBPSG膜或
いはPSG膜を形成するために、酸素不足の状態でリン
含有化合物を酸化することを考えた。その方法として、
PがIII 価の形で含まれるリン含有化合物を反応ガス
として用いること、酸素を含むシリコン含有化合物や
リン含有化合物を用い、酸素やオゾンを別に加えないで
成膜すること等が考えられる。
【0020】の方法に適用できる、III 価のPを含む
リン含有化合物として、例えば、下記に構造式を示すT
MP(Trimethylphosphite(P(OCH3)3))や、
【0021】
【化7】
【0022】下記に構造式を示すSi-O-P構造を有するリ
ン含有化合物がある。
【0023】
【化8】
【0024】または、
【0025】
【化9】
【0026】なお、TMPはの方法にも適用すること
ができる。上記リン含有化合物を含む反応ガスを用いて
熱CVD法やプラズマ励起CVD法によりPSG膜等を
形成し、蛍光X線分析(XRF)やフーリエ変換赤外分
光法(FTIR)により形成膜中の成分を分析したとこ
ろ、形成膜中に濃度の高いP2O3が存在することが確認で
きた。そして、成膜温度240〜430℃程度の流動化
温度を得た。
【0027】また、P2O3の濃度を調整することにより流
動化温度を調整することが可能であり、更に、成膜温
度、酸化性ガス(オゾン)の濃度又はリン含有化合物の
ガス流量を調整することでP2O3の濃度を容易に調整する
ことができることを見いだした。上記ことはBPSG膜
についても同じように成立する。
【0028】
【発明の実施の形態】以下に、本発明の実施の形態につ
いて図面を参照しながら説明する。 (1)第1の実施の形態 本発明の第1の実施の形態に係る、熱CVD法によりP
SG膜を形成する方法について説明する。
【0029】反応ガスとして、リン含有化合物とシリコ
ン含有化合物と酸化性ガスとの混合ガスを用いた。な
お、リン含有化合物として、下記に構造式を示すTMP
(Trimethylphosphite(P(OCH3)3))や、
【0030】
【化10】
【0031】下記に構造式を示すSi-O-P構造を有するリ
ン含有化合物(phosphorous acid dimethyl trimethyls
ilylester (以下、SOP-11(a) と称する。)、及びphos
phorous acid dimethoxy trimethylsilylester(以下、
SOP-11(b) と称する。)や、
【0032】
【化11】
【0033】または、
【0034】
【化12】
【0035】さらに上記の他の、III 価のリンを有し、
かつ少なくともリンの結合手の一つに酸素が結合したリ
ン含有化合物を用いることができる。ここでは、SOP-11
(b) を用いた。また、シリコン含有化合物として、アル
キルシラン又はアリールシラン(一般式R n SiH4-n(n
=1〜4)),アルコキシシラン(一般式(RO)n SiH4-n
(n=1〜4)),鎖状シロキサン(一般式R n H3-nSi
O(R k H2-kSiO)m SiH3-nR n (n=1〜3;k=0〜
2;m≧0)),鎖状シロキサンの誘導体(一般式(RO)
nH3-n SiOSiH3-n(OR) n(n=1〜3))又は環状シロ
キサン(一般式(R k H2-kSiO)m (k=1,2;m≧
2))等を用いることができる。Rはアルキル基,アリ
ール基又はその誘導体である。ここでは、アルコキシシ
ランのTMS(トリメトキシシラン((CH3O)3SiH)を用
いる。
【0036】さらに、酸化性ガスとして、オゾン
(O3 ),酸素(O2 ),N2 O,N2 O,NO2 ,C
O,CO2 又はH2 O等を用いることができる。ここで
は、オゾンを用いる。上記ガスのうち、シリコン含有化
合物(TEOS)とリン含有化合物(SOP-11(b))と酸化性
ガス(オゾン(O3 ))の混合ガスを反応ガスとして用
いた。比較データを取得するため種々の成膜パラメータ
について以下のようにその条件を種々変えた。
【0037】
【表2】
【0038】この場合、成膜条件を変えた成膜パラメー
タ以外の成膜パラメータの成膜条件は下記の標準の成膜
条件に設定した。各成膜パラメータについて標準の成膜
条件を以下に示す。
【0039】
【表3】
【0040】なお、SOP-11(b) は常温で液体であるた
め、キャリアガス(N2 )でバブリングしてキャリアガ
ス中に含ませ、キャリアガスの流量を調整することによ
りSOP-11(b) の含有量を調整した。また、オゾンはオゾ
ナイザーにより酸素の一部を変換して生成した。オゾン
濃度は酸素中に含まれるオゾン含有量のことである。ま
ず、図1(a)に示す被堆積基板101を熱CVD装置
のチャンバ内に入れる。次いで、基板加熱を行い、所定
の基板温度に保持する。なお、被堆積基板101は、シ
リコン基板(半導体基板)11上に、例えばシリコン酸
化膜等の下地絶縁膜12が形成され、更に、下地絶縁膜
12上に例えばアルミニウム膜等からなる配線層13が
形成されている。
【0041】次に、図1(b)に示すように、上記反応
ガスをチャンバ内に導入し、所定の時間保持する。これ
により、高濃度のP2O3を含む所定の膜厚のPSG膜14
が形成される。このとき、P2O3の濃度又はP2O3/P2O5
割合によって、PSG膜14は成膜中に基板温度程度で
流動化する場合があり、この場合は成膜と同時に平坦化
も達成される。そうでない場合は、図2(a)〜(c)
に示すように、被堆積基板101にPSG膜15を成膜
した後に別に平坦化のための加熱処理を行い、PSG膜
15aを流動化し、平坦化する。
【0042】上記の成膜方法により形成されたPSG膜
14について、蛍光X線分析(XRF)及びフーリエ変
換赤外分光法(FTIR)により形成膜中のリン(P)
濃度を検出した。XRFでは膜中のP2O3+P2O5の総濃度
を検出でき、FTIRでは膜中のP2O5のみの濃度を検出
できる。分析結果を図3(a),(b)及び図4に示
す。図3(a)は基板温度に対する依存性を示し、縦軸
は線形目盛りで表したP濃度(wt%)を、横軸は線形
目盛りで表した基板温度(℃)を示す。図3(b)はオ
ゾン濃度に対する依存性を示し、縦軸は線形目盛りで表
したP濃度(wt%)を、横軸は線形目盛りで表したオ
ゾン濃度(%)を示す。図4はリン含有化合物のガス流
量に対する依存性を示し、縦軸は線形目盛りで表したP
濃度(wt%)を、横軸は線形目盛りで表したSOP-11の
ガス流量(SLM)を示す。
【0043】また、図3(a),(b)及び図4中、白
丸印はXRFによる分析結果を表し、膜中のP2O3+P2O5
の総濃度を示す。黒丸印はFTIRによる分析結果を表
し、膜中のP2O5の濃度を示す。これらの差がP2O3の濃度
となる。以上の結果より、形成されたPSG膜14中の
P2O3の濃度又はP2O3/P2O5の割合は基板温度、オゾン濃
度及びSOP-11のガス流量により調整することができるこ
とが分かった。
【0044】また、これらのPSG膜14の溶融温度又
は流動化温度はP2O3の濃度又はP2O3/P2O5の割合が高い
ほど低くなり、実験では500℃以下の溶融温度又は流
動化温度が得られた。 (2)第2の実施の形態 本発明の第2の実施の形態に係る、プラズマ励起CVD
法によりP2O3を含むPSG膜を形成する方法について図
5(a),(b)を参照しながら説明する。
【0045】反応ガスとしてTEOS+TMPの混合ガ
スを用いた。酸素不足の状態が十分に満たされるように
酸素(O2 )は添加しなかった。下記にTMP(Trimeth
ylphosphite(P(OCH3)3))の構造式を示す。
【0046】
【化13】
【0047】成膜条件は以下の通りである。成膜方法と
してECR法によるプラズマ励起CVD法を用いた。
【0048】
【表4】
【0049】成膜中のPSG膜16は基板温度200℃
程度でも配線層13a,13b間の凹部に流れ込み、フ
ロー性を示した。図5(b)に成膜後の断面図を示す。
なお、図5(a)は成膜前の被堆積基板101の断面図
である。図6(a),(b)は、成膜後の被堆積基板1
01の断面を顕微鏡観察した写真である。図6(b)は
図6(a)の部分拡大図である。
【0050】実験結果より、リンはIII 価のP2O3の形で
PSG膜16中に含まれているものと考えられる。外部
から酸素を供給していないので、反応系内では酸素不足
の状態であり、Si−O、P−Oはそれぞれ分子内に存
在する酸素原子と結合した形で成膜されると考えられ
る。なお、上記第2の実施の形態では、酸素を添加しな
かったが、酸素を添加することにより、第1の実施の形
態と同じように、P2O3の濃度又はP2O3/P2O5の割合を調
整し、溶融温度或いは流動化温度を調整することができ
ることはいうまでもない。また、その他の成膜パラメー
タの基板温度やTMPの流量を調整しても、第1の実施
の形態と同じように、P2O3の濃度又はP2O3/P2O5の割合
の調整を介して、溶融温度或いは流動化温度を調整する
ことができる。
【0051】(3)第3の実施の形態 本発明の第3の実施の形態に係る、熱CVD法又はプラ
ズマ励起CVD法によりP2O3を含むBPSG膜を形成す
る方法について図7(a),(b)を参照しながら説明
する。反応ガスとしてTEOS+SOP-11(b) +TMB又
はTEB+O2 又はO3 の混合ガスを用いた。
【0052】成膜条件は以下の通りである。
【0053】
【表5】
【0054】
【表6】
【0055】上記により、図7(b)に示すように、被
堆積基板101上にSiO2+P2O3+B2O3の混合物からなる
BPSG膜17が形成される。なお、図7(a)は成膜
前の被堆積基板101の断面図である。第1の実施の形
態と同じように、酸素濃度又はオゾン濃度、基板温度、
リン含有化合物又はボロン含有化合物を調整することに
より、P2O3の濃度又はP2O3/P2O5の割合を調整し、その
BPSG膜17の融点を200〜500℃の間で制御す
ることができた。
【0056】(4)第4の実施の形態 ところで、P2O3自身は容易に湿度と反応するので、上記
のようにして形成されたPSG膜14,15a,16や
BPSG膜17は、成膜後空気中に取り出すと、吸湿す
る。従って、半導体装置の層間絶縁膜等として用いるた
めには、吸湿しないように、P2O3を含むPSG膜14,
15a,16やBPSG膜17を安定化させる必要があ
る。
【0057】次に、P2O3を含むPSG膜14,15a,
16を安定化させる方法について図8を参照しながら説
明する。第1の実施の形態に係る成膜方法により成膜し
た後、密閉容器内にN2 +O2を導入し、その雰囲気
中、温度500℃程度でアニールする。図9は、アニー
ル前後でのPSG膜14,15a,16のFTIR分析
結果を示す。図9に示されているように、アニールによ
りPSG膜14,15a,16中のP2O3をP2O5に変換さ
せることができた。
【0058】以上のように、成膜後、酸素を含む雰囲気
中でアニールすることにより、P2O3をP2O5へ変換させて
PSG膜14,15a,16等を安定化させることがで
きる。また、P2O5という最終的な組成は、PSG膜1
4,15a,16等にパッシペーション効果を持たせ、
界面特性の安定化に寄与する。なお、アニールにより同
時に、形成膜中に含まれる残余のカーボンも酸化され
る。
【0059】以上により、デバイスへの適用が可能とな
る。なお、上記アニールの代わりに、或いはアニールと
ともに、PSG膜14,15a,16等上に吸湿阻止用
のカバー絶縁膜を形成してもよい。また、CVD装置と
しては、熱CVD装置やプラズマ励起CVD装置が用い
られ、膜の改質のためにアニール用の炉が用いられる
が、成膜後大気に触れさせないで直ちに膜の改質が可能
なように、成膜装置全体としてCVD装置とアニール用
の炉がロードロック室で接続されたものを用いることが
望ましい。
【0060】以上のように、第1〜第4の実施の形態に
おいては、リン成分としてP2O3の濃度が高い絶縁膜を形
成しているので、流動化温度を500℃以下大幅に低下
させることができる。従って、アルミニウム配線を被覆
する層間絶縁膜として用いることができる。また、高密
度化に伴い、拡散層が浅くなっている半導体装置にその
配線層の下地絶縁膜として用いた場合でも、拡散層内の
不純物の再分布を防止することができる。
【0061】更に、CMP法等の平坦化加工技術を必要
とせず、形成膜を熱的に流動化させることにより層間絶
縁膜を平坦化することができるので、配線層間等の凹部
を隙間無く埋めることができる。
【0062】
【発明の効果】以上のように、本発明においては、酸素
不足の状態でPSG膜やBPSG膜を形成しているの
で、リン成分としてP2O3の濃度が高いPSG膜やBPS
G膜を形成し、流動化温度を500℃以下大幅に低下さ
せることができる。これにより、配線層の下の平坦化さ
れた下地膜として、及び配線層を被覆する平坦化された
層間絶縁膜として用いることができる。
【0063】更に、形成膜を熱的に流動化させることに
より層間絶縁膜を平坦化することができるので、配線層
間等の凹部を隙間無く埋めることができる。
【図面の簡単な説明】
【図1】図1(a),(b)は、本発明の第1の実施の
形態に係るP2O3を含むPSG膜の成膜方法について示す
断面図である。
【図2】図2(a)〜(c)は、本発明の第1の実施の
形態に係るP2O3を含むPSG膜の他の成膜方法について
示す断面図である。
【図3】図3(a)は、本発明の第1の実施の形態に係
る成膜方法により形成されたP2O3を含むPSG膜中のリ
ン濃度と基板温度との関係について示す特性図であり、
図3(b)は、本発明の第1の実施の形態に係る成膜方
法により形成されたP2O3を含むPSG膜中のリン濃度と
3 濃度との関係について示す特性図である。
【図4】図4は、本発明の第1の実施の形態に係る成膜
方法により形成されたP2O3を含むPSG膜中のリン濃度
とリン含有化合物のガス流量との関係について示す特性
図である。
【図5】図5(a),(b)は、本発明の第2の実施の
形態に係るP2O3を含むPSG膜の成膜方法について示す
断面図である。
【図6】図6(a)は、本発明の第2の実施の形態に係
る成膜方法により被堆積基板上に形成されたP2O3を含む
PSG膜の断面写真である。図6(b)は図6(a)の
部分拡大写真である。
【図7】図7(a),(b)は、本発明の第3の実施の
形態に係るP2O3を含むBPSG膜の成膜方法について示
す断面図である。
【図8】図8は、本発明の第4の実施の形態に係るP2O3
を含むPSG膜の安定化方法について示す断面図であ
る。
【図9】図9は、本発明の第4の実施の形態に係る安定
化前後のPSG膜中のリン化合物の種類をフーリエ変換
赤外分光法により調査した結果について示す図である。
【図10】図10は、P2O5-SiO2 系ガラスの状態図につ
いて示す特性図である。
【図11】図11(a),(b)は、従来例に係る、加
熱・流動化による平坦化を含む層間絶縁膜の形成方法に
ついて示す断面図である。
【図12】図12(a)〜(c)は、従来例に係る、エ
ッチバックによる平坦化を含む層間絶縁膜の形成方法に
ついて示す断面図である。
【図13】図13(a),(b)は、従来例に係るCM
Pによる平坦化を含む層間絶縁膜の形成方法について示
す断面図である。
【符号の説明】
11 シリコン基板(半導体基板)、 12 下地絶縁膜、 13a,13b 配線層、 14,15,15a,16 PSG膜、 17 BPSG膜、 101 被堆積基板。
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成9年1月21日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
【化1】 下記の構造式を有するSi-O-P構造を有するリン含有化合
【化2】 または、
【化3】 のうちいずれかであることを特徴とする請求項1乃至請
求項9のいずれかに記載の成膜方法。

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 III 価のリンを有し、かつ少なくともリ
    ンの結合手の一つに酸素が結合したリン含有化合物を含
    む反応ガスを用いて、P2O3を含むシリコン含有絶縁膜を
    被堆積基板上に形成することを特徴とする成膜方法。
  2. 【請求項2】 前記反応ガスを加熱により又はプラズマ
    化により励起することを特徴とする請求項1に記載の成
    膜方法。
  3. 【請求項3】 前記成膜中の被堆積基板を温度400℃
    以下に加熱することを特徴とする請求項1又は請求項2
    に記載の成膜方法。
  4. 【請求項4】 前記P2O3を含むシリコン含有絶縁膜は、
    リンシリケートグラス膜(PSG膜)又はボロンリンシ
    リケートグラス膜(BPSG膜)であることを特徴とす
    る請求項1乃至請求項3のいずれかに記載の成膜方法。
  5. 【請求項5】 前記P2O3を含むシリコン含有絶縁膜を形
    成した後、さらに、前記形成膜を加熱し、流動化させて
    平坦化することを特徴とする請求項1乃至請求項4のい
    ずれかに記載の成膜方法。
  6. 【請求項6】 前記形成膜を加熱する温度は500℃以
    下であることを特徴とする請求項5に記載の成膜方法。
  7. 【請求項7】 前記P2O3を含むシリコン含有絶縁膜を形
    成した後、さらに、酸素を含む雰囲気中で前記形成膜を
    加熱し、前記形成膜中のP2O3をP2O5に変換することを特
    徴とする請求項1乃至請求項4のいずれかに記載の成膜
    方法。
  8. 【請求項8】 前記形成膜を加熱し、流動化させて平坦
    化した後、さらに、酸素を含む雰囲気中で前記形成膜を
    加熱し、前記形成膜中のP2O3をP2O5に変換することを特
    徴とする請求項5又は請求項6に記載の成膜方法。
  9. 【請求項9】 前記III 価のリンを有し、かつ少なくと
    もリンの結合手の一つに酸素が結合したリン含有化合物
    は、下記の構造式を有するTMP(Trimethylphosphite
    (P(OCH3)3))、 【化1】 下記の構造式を有するSi-O-P構造を有するリン含有化合
    物 【化2】 または、 【化3】 のうちいずれかであることを特徴とする請求項1乃至請
    求項8のいずれかに記載の成膜方法。
  10. 【請求項10】 絶縁膜上に配線層を形成する工程と、 請求項1乃至請求項9のいずれかに記載の成膜方法によ
    り、前記絶縁膜上の配線層を被覆して、平坦化された前
    記P2O3を含むシリコン含有絶縁膜を形成する工程とを有
    することを特徴とする半導体装置の製造方法。
  11. 【請求項11】 前記配線層の材料はアルミニウム又は
    アルミニウム合金であることを特徴とする請求項10に
    記載の半導体装置の製造方法。
JP8288787A 1996-10-30 1996-10-30 成膜方法及び半導体装置の製造方法 Expired - Fee Related JP2983476B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP8288787A JP2983476B2 (ja) 1996-10-30 1996-10-30 成膜方法及び半導体装置の製造方法
TW086105271A TW345686B (en) 1996-10-30 1997-04-23 Film forming method and manufacturing method of semiconductor device
US08/842,425 US5915200A (en) 1996-10-30 1997-04-24 Film forming method and semiconductor device manufacturing method
KR1019970016419A KR100369427B1 (ko) 1996-10-30 1997-04-30 성막방법및반도체장치의제조방법
DE69705915T DE69705915T2 (de) 1996-10-30 1997-06-02 Herstellungsmethode eines Phosphor-gedopten Silica-Glasfilms
EP97108763A EP0840366B1 (en) 1996-10-30 1997-06-02 Method of forming a phosphorus doped silica glass film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8288787A JP2983476B2 (ja) 1996-10-30 1996-10-30 成膜方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH10135203A true JPH10135203A (ja) 1998-05-22
JP2983476B2 JP2983476B2 (ja) 1999-11-29

Family

ID=17734723

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8288787A Expired - Fee Related JP2983476B2 (ja) 1996-10-30 1996-10-30 成膜方法及び半導体装置の製造方法

Country Status (6)

Country Link
US (1) US5915200A (ja)
EP (1) EP0840366B1 (ja)
JP (1) JP2983476B2 (ja)
KR (1) KR100369427B1 (ja)
DE (1) DE69705915T2 (ja)
TW (1) TW345686B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1006566A3 (en) * 1998-12-04 2001-01-03 Canon Sales Co., Inc. Film forming equipment
US6221755B1 (en) 1998-12-04 2001-04-24 Canon Sales Co., Inc. Film formation method and manufacturing method of semiconductor device

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3229276B2 (ja) * 1998-12-04 2001-11-19 キヤノン販売株式会社 成膜方法及び半導体装置の製造方法
US6150285A (en) * 1998-06-17 2000-11-21 Advanced Micro Devices, Inc. Method for simultaneous deposition and sputtering of TEOS
US6136703A (en) * 1998-09-03 2000-10-24 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
JP2001007102A (ja) * 1999-06-17 2001-01-12 Mitsubishi Electric Corp 半導体形成方法および半導体製造装置
JP2001284347A (ja) 2000-03-31 2001-10-12 Canon Sales Co Inc 成膜方法及び半導体装置の製造方法
JP2005338746A (ja) * 2003-11-13 2005-12-08 Seiko Epson Corp 電気光学装置の製造方法及び電気光学装置、並びにこれを備えた電子機器
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
WO2017210518A1 (en) * 2016-06-03 2017-12-07 Entegris, Inc. Vapor phase etching of hafnia and zirconia
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0141496A1 (en) * 1983-08-31 1985-05-15 Morton Thiokol, Inc. Process for deposition silicon dioxide containing dopant onto a substrate
US4708884A (en) * 1984-07-11 1987-11-24 American Telephone And Telegraph Company, At&T Bell Laboratories Low temperature deposition of silicon oxides for device fabrication
ATE112097T1 (de) * 1988-04-26 1994-10-15 Siemens Ag Verfahren zum herstellen borhaltiger und/oder phosphorhaltiger silikatglasschichten für höchstintegrierte halbleiterschaltungen.
DE69311184T2 (de) * 1992-03-27 1997-09-18 Matsushita Electric Ind Co Ltd Halbleitervorrichtung samt Herstellungsverfahren
US5409743A (en) * 1993-05-14 1995-04-25 International Business Machines Corporation PECVD process for forming BPSG with low flow temperature

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1006566A3 (en) * 1998-12-04 2001-01-03 Canon Sales Co., Inc. Film forming equipment
US6221755B1 (en) 1998-12-04 2001-04-24 Canon Sales Co., Inc. Film formation method and manufacturing method of semiconductor device
KR100339820B1 (ko) * 1998-12-04 2002-06-07 다케모토 히데하루 성막방법 및 반도체장치의 제조방법
KR100353704B1 (ko) * 1998-12-04 2002-09-26 캐논 한바이 가부시키가이샤 성막장치

Also Published As

Publication number Publication date
EP0840366A2 (en) 1998-05-06
EP0840366B1 (en) 2001-08-01
JP2983476B2 (ja) 1999-11-29
KR19980032093A (ko) 1998-07-25
DE69705915D1 (de) 2001-09-06
KR100369427B1 (ko) 2003-03-26
DE69705915T2 (de) 2001-11-29
TW345686B (en) 1998-11-21
US5915200A (en) 1999-06-22
EP0840366A3 (en) 1999-01-27

Similar Documents

Publication Publication Date Title
JP2983476B2 (ja) 成膜方法及び半導体装置の製造方法
JP4987083B2 (ja) Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP3208376B2 (ja) 成膜方法及び半導体装置の製造方法
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
US20020086166A1 (en) Silane-based nanoporous silica thin films and precursors for making same
US9257302B1 (en) CVD flowable gap fill
JP3463416B2 (ja) 絶縁膜の製造方法および半導体装置
JP4032044B2 (ja) 成膜方法、半導体装置の製造方法及び半導体装置
JP3251554B2 (ja) 成膜方法及び半導体装置の製造方法
JP3229276B2 (ja) 成膜方法及び半導体装置の製造方法
JP3256708B2 (ja) 半導体装置の製造方法
US6432839B2 (en) Film forming method and manufacturing method of semiconductor device
JPH07193129A (ja) 半導体装置の製造方法
JP3401322B2 (ja) 絶縁膜を有する半導体装置の製造方法
JPH06283519A (ja) 半導体装置の製造方法
JP4426808B2 (ja) 成膜方法及び半導体装置の製造方法
JPH07115091A (ja) 半導体装置における絶縁膜形成方法及びcvd装置
JPH09293716A (ja) フッ素含有絶縁膜の形成方法
JP3038566B2 (ja) 半導体装置のケイ素酸化膜の製造法
JP2856307B2 (ja) 薄膜形成方法
JPH0669197A (ja) 半導体装置の製造方法
JP3070894B2 (ja) 薄膜形成方法
JPH07183292A (ja) 半導体装置の製造方法
JPH0758097A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990907

LAPS Cancellation because of no payment of annual fees