JPH09184066A - ウエハヒータ組立体 - Google Patents

ウエハヒータ組立体

Info

Publication number
JPH09184066A
JPH09184066A JP8305282A JP30528296A JPH09184066A JP H09184066 A JPH09184066 A JP H09184066A JP 8305282 A JP8305282 A JP 8305282A JP 30528296 A JP30528296 A JP 30528296A JP H09184066 A JPH09184066 A JP H09184066A
Authority
JP
Japan
Prior art keywords
wafer
support
base
heater assembly
heater
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP8305282A
Other languages
English (en)
Inventor
Sergio Edelstein
エーデルシュタイン セルジオ
Steven A Chen
エー. チャン スティーヴン
Vijay D Parkhe
ディー. パーキー ヴィジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09184066A publication Critical patent/JPH09184066A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Resistance Heating (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

(57)【要約】 【課題】 ウエハヒータ組立体全体を取り外すことなく
とも、ウエハ支持体部分及び更に所望により加熱要素
を、比較的に容易にチャンバから取り外すことが可能。 【解決手段】 ウエハヒータ組立体は、ベースと、ウエ
ハ支持体又はチャックと、これら2つを分離する外周バ
リア支持体を具備する。ウエハ支持体にはウエハチャッ
ク面が設けてある。ヒータ小組立体はウエハ支持体に熱
的につながり、ウエハチャック面に所望の加熱を与える
ようにぴったりとウエハ支持体に装着している。外周バ
リア支持体は、ウエハ支持体と一体となった延長部分で
あることが好ましく、また、組立体のベースと係合する
下部端ないしベース端を有している。Oリング等の流動
シールは、バリア支持体のベース端と組立体のベースの
間に配置される。ボルト等ユーザー側で使用可能な固定
具を用いて、間にバリア支持体を嵌挿してウエハ支持体
をベースに固定し、流動シールを間に嵌着してバリア支
持体のベース端をベースに押圧する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、堆積やエッチング
ングなどに広く用いられるウエハヒータ組立体に関す
る。
【0002】
【従来の技術】物理気相堆積(PVD)チャンバ、化学
気相堆積法(CVD)チャンバ及びエッチングチャンバ
では、通常、ウエハやその他の基板が載置される、ウエ
ハ(又は他の基板)の加熱の支持体ないしペデスタルを
使用して、処理中に基板の温度を制御できるようにす
る。ウエハと支持体間の熱移動を確実に予測できるよう
にするため、ウエハは支持体に、ぴったりとしかも着脱
可能に、取付けなければならない。熱は、一般的に、ウ
エハ支持体の底面と接触させた電気抵抗線ヒータの設置
によって供給される。
【0003】ウエハを基板支持体に取付ける従来の方法
の1つは、ウエハの外縁と係合してウエハ支持体のチャ
ック面に対してウエハを堅く固定するクランプリングを
使用するものである。別のタイプのウエハ支持体は、静
電チャックとも呼ばれる静電ウエハ支持体であって、静
電力を用いてウエハをウエハ支持体に固定することによ
り、クランプリングの必要をなくするものである。静電
チャックの使用は、半導体デバイスの形成用にウエハの
全面を利用できるようにするが、これは、ウエハを適所
に固定するためのクランプリングの必要がないからであ
る。
【0004】静電チャックは通常、3層以上のウエハチ
ャック面で作られている。チャックの最上層と最底層
は、銅その他の導電層を層間にサンドイッチ状に有する
ポリイミド樹脂が代表的である。特に温度が約300℃
を超える用途には、別の誘電体材料を使用することもで
きる。例えば、窒化アルミニウムは温度が約500〜6
00℃までの誘電体材料として使用することができる。
【0005】
【発明が解決しようとする課題】静電チャックの使用
は、粒子の生成を減じ、ウエハの反りを制限し、デバイ
ス組立てに利用可能なウエハ表層を増加する他、いくつ
かの利点をもたらす一方、デバイスの製造者に対して余
計なメンテナンスの問題を生じさせる。従来のチャッ
ク、即ちウエハを支持体に取付けるためクランプを利用
するチャックは、ステンレス鋼又はアルミニウムで作ら
れているものが一般的であり、保守はほとんど要しな
い。静電チャックのウエハチャック面は、金属面チャッ
クのウエハチャック面とは異なり、処理の環境からのダ
メージを非常に受け易く、その結果、寿命も短い。静電
チャックの絶縁面上に僅かなスクラッチがあれば、これ
が十分に絶縁面に損傷を及ぼすため、チャックやウエ
ハ、又はチャックに取付けた他の基板を破壊できるアー
ク放電を発生する。また、ポリマー材料、曝露プラズマ
ガス、とくに、アルゴン及び窒素ベースのクリーニング
プラズマは、結果としてウエハチャック面の寿命を短く
する。
【0006】従来の静電チャックは、非静電チャックと
同じ製法で作ることができる。すなわち、ウエハヒータ
組立体全体、つまり、基板支持体と加熱組立体の双方
が、単一の溶接ユニットを成すように作ることができ
る。静電チャックのウエハチャック面が損傷したとき、
唯一の手段は、ウエハヒータ組立体を全部取り外してそ
れを取り替えることであり、費用がかさむ困難な作業で
ある。更に、従来のウエハヒータ組立体のウエハ支持体
つまりチャックの修繕や取り替えには、ウエハヒータ組
立体全体を取り外さなければならない。この作業は、不
便で困難であり、通常、数時間もかかるため、費やした
努力や失った操業時間の大きな犠牲を強いる作業であ
る。
【0007】これらの欠点に応えるべく、ウエハ支持体
の最上層をウエハ支持体本体の残りの部分に着脱可能に
装着した誘電チャックが製造された。これによって、ウ
エハヒータ組立体とヒータ組立体支持体の全体を取り替
える必要がなくなる一方で、加熱源とウエハチャック面
の間に特別の界面が付加される。この界面が付加される
ことにより、加熱源とウエハチャック面との間の熱抵抗
が顕著に増大し、ウエハチャック面に同じ温度を生じさ
せるために熱源を高く加熱する必要がある。これによっ
て温度の到達範囲まで必要なエネルギー量を増加させる
だけでなく、他の構成部分の温度も上昇させ、冷却シス
テム上に増加負荷を載荷させる。加えて、ウエハ支持体
本体が多層で作られている場合は、ウエハチャック面の
所望の状態、典型的には平面状態を維持するために要す
るウエハ支持体本体の剛性がかなり失われる結果とな
る。
【0008】
【課題を解決するための手段】本発明は、ウエハヒータ
組立体全体を取り外さなくとも、ウエハ支持体部分及び
更に所望により加熱要素を、比較的に容易にチャンバか
ら取り外すことができる、堆積/エッチングのチャンバ
のためのウエハヒータ組立体に関するものである。これ
を実現するには:1)ウエハヒータ組立体を少なくとも
2個の分離可能な部分、具体的には、流動シールにより
接合された、加熱要素を有する支持体とベースとの2つ
の部分、となるように設計し:2)流動シールが曝露さ
れることになる最高温度に適した流動シールを選択する
(弾性Oリング、金属Vシールなど)。これによって、
技術者は、ベースをチャンバの適所に置いたまま支持体
を取り外すことによって、故障したウエハ支持体を効率
的な方法で修理又は取り替えできるようになる。従っ
て、損傷ウエハチャック面に起因してウエハヒータ組立
体全体を廃棄する必要はなくなる。
【0009】本発明の好ましい具体例では、ウエハヒー
タ組立体は、ベースと、ウエハ支持体又はチャックと、
これら2つを分離する外周バリア支持体を具備する。ウ
エハ支持体にはウエハチャック面が設けてある。ヒータ
小組立体はウエハ支持体に熱的につながり、ウエハチャ
ック面に所望の加熱を与えるようにぴったりとウエハ支
持体に装着していることが好ましい。外周バリア支持体
は、ウエハ支持体と一体となった延長部分であることが
好ましく、また、組立体のベースと係合する下部端ない
しベース端を有している。OリングやVシールなどの流
動シールは、バリア支持体のベース端と組立体のベース
の間に配置される。ボルトなどユーザー側で使用可能な
固定具ないし止め具を用いて、間にバリア支持体を嵌挿
してウエハ支持体をベースに固定し、流動シールを間に
嵌着してバリア支持体のベース端をベースに押圧する。
この構成は2つの特徴を提供する。すなわち、加熱要素
を内蔵するウエハヒータ組立体内の流動緊密なヒータ室
と;流動シールが過熱しないように維持するためウエハ
支持体から流動シールに向けた熱の伝導による移動に対
してバリア支持体の形をなす熱バリアである。
【0010】好ましい具体例の1つにおいては、ウエハ
支持体面の温度が約200〜300℃の場合は、流動シ
ールの温度はウエハ支持体面の温度より約50〜70℃
低い。ビトン(Viton)製等の従来型の弾性Oリングは、
最高約175℃までの温度に使用できる。パーカーシー
ル社(Paker Seal)によって製造されたもの等のニッケル
合金製の金属Vシールは、最高約980℃までの温度で
使用できる。ポリイミド静電チャックは約300℃の限
界温度を有し、窒化アルミニウム静電チャックは、約5
00〜600℃の限界温度を有する。従って、使用する
誘電体材料の温度及び選ばれた特定の流動シールは、そ
のときに生じた操作温度によって決まる。
【0011】この好ましい具体例では、ウエハ支持体か
ら隔たった側部であるヒータ小組立体の下部は、従来技
術と同様に、ベースと加熱要素の間に冷却水を循環する
ことにより冷却される。ヒータ小組立体内に冷却水を循
環させることにより、加熱要素によるウエハ支持体の加
熱を可能にする一方、ベース及び流動シールに対する輻
射及び対流による熱移動を制限するようにする。これ
が、流動シールを許容温度程度に維持するのに役立つ。
状況によっては、流動シールを更に冷却することが必要
な場合又は望ましい場合もある。この場合は、流動シー
ルに隣接する冷却導管の中に冷却液を流すことによって
実現できる。
【0012】本発明の別の特徴は、冷却水の供給ライン
や回収ライン、ヒータガスライン、熱電対ライン、電源
ラインなど、種々のラインに急速ディスコネクト継手を
用いることである。この急速ディスコネクト継手のコネ
クタは、ウエハ支持体の底部に取付けたヒータ小組立体
に隣接して配置され、更に好ましくはチャンバから出て
くるラインの真下に配置される。好ましい具体例では、
ウエハ支持体は、まずチャンバの下の位置で複数のライ
ンごとにいくつかの急速ディスコネクト継手を取り外
し、ウエハ支持体と外周バリア支持体との結合体をウエ
ハヒータ組立体のベースに取付けている数個のボルトを
取り外し、次いでウエハ支持体/周囲隔壁支持体を、ヒ
ータ小組立体及びそれに取付けた関連するラインと共
に、ウエハヒータ組立体のベースから持ち上げるだけ
で、容易に取り外すことができる。ウエハヒータ組立体
のベースは、チャンバの内部に残り、チャンバ底部上に
可動支持管により支持される、この支持管は通常、ステ
ンレス鋼ベローズによって取り囲まれている。
【0013】外周バリア支持体は、有限要素法による解
析を用いて設計されることが好ましい。弾性材料で作ら
れた流動シールは、操作温度が十分低い場合、一般に金
属及び他の高温シールよりも好適であるが、その理由
は、より良質のシールを提供することと、組立工程中に
粒子を生成する傾向がないことがあげられる。この2つ
の点は双方とも、物理堆積(PVD)チャンバ内での高
い真空環境において極めて重要な考慮すべき点である。
従って、流動シールの温度はかなり低音、少なくともウ
エハ支持体の温度よりも約50℃低いことが好ましく、
それによって、支持体面の温度が弾性Oリングの許容操
作温度よりも約50〜70℃まで高くとも、従来の弾性
流動シールが使用できるようになる。
【0014】好ましい具体例では、バリア支持体はウエ
ハ支持体と一体の延長部分であって、ヒータ組立体の内
部とPVDチャンバ、CVDチャンバ又はエッチングチ
ャンバの内部との間の漏洩の経路を排除する。この方法
では、チャンバとウエハヒータ組立体の内部との間に唯
一漏洩の可能性のある接合箇所は、バリア支持体のベー
ス端とヒータ組立体のベース間に嵌着された流動シール
にある。
【0015】本発明の重要な利点は、ウエハ支持体又は
チャックをウエハヒータ組立体のベースから分離するこ
とにより、単に支持体面がスクラッチなどで損傷された
だけの理由で、従来の溶接静電ウエハヒータ組立体なら
必要であった、ウエハヒータ組立体全体の廃棄を、必要
としなくしたことにある。また、加熱要素がウエハ支持
体に直接装着されており即ちウエハ支持体と共に取り外
される場合、これらは容易に欠陥が生じたウエハ支持体
から取り外されて再び利用される。本発明の更なる利点
は、ウエハ支持体を取り外す必要がある場合、ステンレ
ス鋼ベローズの移動やベローズの妨害を排除することで
あり、このベローズは、ヒータ組立体のベースとチャン
バハウジングの底部の間で流動シールとして作動するも
のである。これは重要なことであり、何故なら、このベ
ローズは非常に高価で、且つ適切に取扱わないと容易に
破損するからである。
【0016】本発明の他の特徴と利点は、好ましい具体
例が添付の図面と関連して詳細に説明した以下に述べら
れている。
【0017】
【発明の実施の形態】図1は、PVDチャンバの内部6
を画するPVDチャンバハウジング4を有する、従来技
術のPVDチャンバ2を例示する。ウエハヒータ組立体
8は、ヒータ組立体支持体12によりハウジング4の底
部10の上方に支持される。ヒータリフト組立体14
が、ハウジング4の底部10の下に配置され、ヒータ組
立体支持体12を介してヒータ組立体8を上下動させ
る。ウエハリフト組立体16は、ハウジング4の底部1
0によって支持され、ウエハヒータ組立体8と整列して
内部6に配設された1対のウエハリフト腕部18を有し
ている。各腕部18には、スリットバルブ22を介して
内部6に導入し、ウエハ(図1には示していない)を支
持できるように大きさと位置を定めた1対の切り欠き支
持体20が設けてある。切り欠き支持体20はウエハ支
持体、すなわちチャック23内に形成されたノッチ21
と整列している。ウエハが切り欠き支持体20の上端部
に配設された後、ウエハを支持していたロボットブレー
ド(図示していない)がスリットバルブ22を介して引
き込められ、それにより腕部18を降下させて、ヒータ
組立体8のウエハ支持体23のウエハチャック面24と
も呼ぶウエハ支持面24上にウエハを配置させる。ウエ
ハは、クランプリング(図示していない)により、又
は、ウエハ支持面24が誘電チャックの支持面である場
合は静電力により、ウエハ支持面24に固定される。
【0018】図2及び図3は、本発明に従って作製した
ウエハヒータ組立体30を例示する。ウエハヒータ組立
体30の多くの構成部品は、図1を参照して検討した従
来の構成部品と実質的に同じである。類似の部品は類似
の参照番号により参照でき、詳細な説明は省略する。
【0019】ウエハヒータ組立体30は、支持管34に
よって底面10上に装着されたベース32を備えてお
り、支持管34は、ヒータリフト組立体14(図1にの
み示す)によって上下動される。ウエハヒータ組立体3
0も外周バリア支持体38によってベース32から分離
されたウエハ支持体又はチャック38を備えている(図
4参照)。バリア支持体38は、ウエハ支持体36の本
体39の一体型でおおむね円筒形をした延長部分であ
る。バリア支持体38には,本体39に隣接したウエハ
端40とベース32に隣接したベース端42とが設けて
ある。ベース32、本体39、及び、バリア支持体38
はすべて、ステンレス鋼製であることが好ましい。
【0020】ウエハリフト組立体16Aは図1の組立体
16と異なるように構成されている。組立体16Aは、
3本のセラミックウエハリフトピン20Aを有し、この
ピンは、ベース32及び支持体36,38をそれぞれ通
して形成された正孔26,28を貫通する。リフトピン
20Aは非導電性のセラミック材料製で、ウエハ82と
ウエハヒータ組立体30との間の導通路の形成を防止す
る。
【0021】ベース32には、その中に流動シール46
を収容する円形の溝44が設けてある(図6参照)。こ
の流動シールは通常、Oリングであり、100℃〜17
5℃のように比較的高温で10〜9トールのように高い
真空状態のチャンバ内部に耐え得るような、ビトン(Vit
on:商品名)やその他の弾性シール材料から作られるこ
とが好ましい。このような弾性Oリングを用いると、ウ
エハ支持体に適用される最高温度は、ベース端42に対
する約50〜70℃の温度降下に基づいて、およそ22
5〜245℃である。シール46によって高温が生じた
ときは、パーカーシール社(Parker Seal)から入手可能
なニッケル合金Vシール等のVシールを使用できる。周
みぞ44をベース端42の真下に位置するように配置す
ることにより、ウエハ支持体36をボルト48でベース
32に固定して、高品質の流動シールが、ウエハ支持体
36とバリア支持体38とベース32とによって画され
たPVDチャンバ2と、ヒータ室50の内部6との間に
形成される。
【0022】ステンレス鋼ベローズ52はガイド管64
の周りで使用され、ベローズの端末にてベース32と底
部10に固定してある。ベローズ52は、ウエハヒータ
組立体30が、ヒータリフト組立体14によって上下に
転移されるとき、流動緊密シールが組立体30のベース
32とPVDチャンバ2の底部10の間で確実に維持さ
れるようにする。
【0023】ウエハヒータ組立体30は、ねじ58,5
9を用いてウエハ支持体36の下部ヒータ面56に取付
けたヒータ小組立体54を含有する。ねじ58は、銅の
熱分散板62をヒータ面56に固定するために用いる。
ねじ59は、通常、ステンレス鋼製の中間支持板61を
ウエハ支持体36の本体39に取付けるため用いる。ヒ
ータ小組立体54も支持板61と熱分散板62の間に嵌
挿された一対の同心電気抵抗加熱要素60を内蔵する。
ヒータ小組立体54は、8本のねじ67で支持板61に
固定した底部材65をさらに含有する。
【0024】ガイド管64は、小組立体54の底部材6
5から伸長している。ガイド管64は支持管34内に嵌
合され、ウエハヒータ組立体30から伸長する複数本の
ラインを誘導する。すなわち、ガイド管64は、ヒータ
小組立体54の下部部分を冷却するため使用する給水ラ
インと回収ライン66,68(図3参照)、ウエハ支持
体36の温度をモニターするため使用する熱電対ライン
70、電気エネルギーを加熱要素60に供給するため使
用する加熱用電力ライン72、後で説明する静電荷をウ
エハ支持体36の静電ウエハチャック面76に供給する
ため使用する静電力ライン74、及び、ヒータガスライ
ン78などを誘導する。ガスライン78は、ウエハ支持
体36の本体39内に形成した3本の傾斜導管81に結
合して、ウエハチャック面76上に形成した浅い溝80
(図3参照)をアルゴンなど少量の熱伝導ガスで設け、
ウエハチャック面76からウエハ82に対して十分な熱
を確実に移動できるようにする。
【0025】給水ライン66,68は、支持板61に取
付けた冷却水導管83に接続されている。給水ライン6
6を経て、導管83を通り抜け、回収ライン68から出
る水の通過は、支持板61の冷却に役立ち、それによっ
て流動シール46への熱の転移を減少させる。
【0026】ウエハ支持体36の本体39は、その中心
を通りガラスピン85を収容する垂直な孔を備えてい
る。これにより従来行われている光学方法を用いてウエ
ハ82の温度を監視することができる。
【0027】この好ましい具体例では、ウエハチャック
面76は導電層をサンドイッチ状に嵌入した2個の誘電
層で作製してある。この3層構造を図4の参照番号90
によって示す。導電部分に電気的絶縁部分が2箇所あっ
て、2極性の静電チャックが設けられる。誘電層84
は、米国デラウェア州ウィルミントン(Wilmington,Del
aware)のデュポン社(DuPont)からカプトンKJ(Kapton
KJ)の名前で入手可能であるポリイミドフィルムで作製
することが好ましい。導電層86は、米国カリフォルニ
ア州ランチョドミンギィーズのアベレスティックラボラ
トリ社(Abelestik Laboratories,Rancho Dominguez,
California)から入手可能の、表面銅仕上げのクラッド
プライポリイミドフィルム、アルミニウムクラッドプラ
イポリイミドフィルム、及びアルミニウム充填ポリイミ
ドフィルムなどの種々な材料から作ることができる。パ
ララックスAP(Paralux AP)としてより好ましい導電層
86がデュポン社から入手できるようになったが、これ
は、銅箔に接着したポリイミドフィルムの非粘着性合成
物である。
【0028】静電力ライン74は、支持板61を通して
形成した正孔89と、板62を通して形成した正孔91
とを通過して本体39内に形成した一対の凹所94内に
実装された一対の電気接点92(図面では1個だけ示
す)に接続してある。電気接点92は凹所94内で溶着
され、内部6とヒータ室50の間に有効なガスシールを
設ける。
【0029】図4は、ウエハ支持体36本体39、外周
バリア支持体38、及びベース32部分の拡大断面図で
ある。外周バリア支持体38の大きさと構成によってバ
リア支持体38の本体39からベース端42にかけて有
効な温度降下をもたらす。好ましい具体例では、外周バ
リア支持体38は、ベース端42での温度がウエハ支持
体36本体39のウエハチャック面76の温度よりも約
50-70℃低いため、流動シール46の温度を許容限
度内に十分維持するのに役立つ大きさと構成になってい
る。バリア支持体38の設計に関して熱的な見地から受
け入れられる調査は、構造的に容認される設計について
有限要素分析を用いることにより好ましく達成される。
付加的な熱抵抗も、たとえば、中空セラミック球体をバ
リア支持体38内に型成形してバリア支持体38が垂直
の補強リブを備えるように修正を施し、あるいは従来の
方法又はそれ以外の方法で修正することによって達成す
ることができる。
【0030】ウエハ支持体36を修理又は取り替えるに
は、ユーザーは、まず、急速ディスコネクト継手のカッ
プリング66A,68A,70A,72A,74A,7
8AをPVDチャンバ2の真下に位置するそれぞれのラ
インから離脱させることである。チャンバハウジング4
内のウエハ支持体36へのアクセスがこれで可能にな
り、ボルト48が取り外される。ヒータ小組立体54を
取付けたウエハ支持体36は、ヒータ小組立体54の底
部材65から伸長するライン66,68,70,72,
74,78及びガイド管64と共にウエハヒータ組立体
30のベース32から取り外される。流動シール46を
周みぞ44から取り外して、みぞを清浄し、新規の流動
シール46を溝内に取付けるようにする。PVDチャン
バの内部6に残るものはベース32、支持管34、ベロ
ーズ52、及びウエハリフト組立体16となる。適切な
修理又は取り替えが行われた後、ヒータ小組立体54を
取付けたウエハ支持体36と、この支持体から伸長する
ガイド管64は、元のPVDチャンバハウジング4内に
戻され、ガイド管64は、外周バリア支持体38のベー
ス端42がベース32に支えられ流動シール46に寄り
掛かるようになるまで、支持管34とベローズ12を通
過する。次いで、ボルト48はウエハ支持体36をベー
ス32に固定させるため用いられる。また種々の急速デ
ィスコネクト継手のコネクタ66A,68A,70A,
72A,74A,78Aが、ライン66,68,70,
72,74,78を元通りに接続するように使用され、
それによりPVDチャンバ2が再度使用できるように配
置される。
【0031】開示された具体例について以下のクレーム
で定義される本発明の主題から逸脱することなく、修正
し変更することができる。たとえば、本発明は、静電チ
ャックを用いたPVDチャンバに関して記述してある。
しかしながら、従来のクランプリングチャックを用い、
またCVDチャンバ及び、加熱エッチングチャンバなど
他の加熱堆積チャンバも同様に使用できる。本発明は、
ウエハ及びウエハ支持面に関して記述したもので、本出
願に用いたように、ウエハはシリコンウエハだけをカバ
ーするように意図したものではなく、堆積及びエッチン
グチャンバに用いる他の基板も同様にカバーすることを
意図したものである。
【0032】
【発明の効果】以上詳細に説明したように、本発明によ
れば、ウエハヒータ組立体全体を取り外すことなくと
も、ウエハ支持体部分及び更に所望により加熱要素を、
比較的に容易にチャンバから取り外すことが可能な、堆
積/エッチングのチャンバのためのウエハヒータ組立体
が提供される。
【図面の簡単な説明】
【図1】内部構成部分を示すため一部を分解した従来か
らのPVDチャンバの斜視図である。
【図2】本発明に従って作製されたウエハヒータ組立体
の側面断面図である。
【図3】図2のウエハヒータ組立体、及びヒータ組立体
支持体の斜視図である。
【図4】ウエハ支持体の本体部分及び外縁バリア支持体
の拡大断面図である。
【符号の説明】
2…PVDチャンバ、4…チャンバハウジング、6…内
部、8…ウエハヒータ組立体、10…ハウジング底部、
12…ヒータ組立体支持体、14…ヒータリフト組立
体、16…ウエハリフト組立体/組立体A、18…ウエ
ハリフト腕部、20…切り欠き支持体/リフトピン20
A、21…ノッチ、22…スリットバルブ、23…チャ
ック(=ウエハ支持体)、24…ウエハ支持面、26,
28正孔、30…ウエハヒータ組立体、32…底面上の
ベース、34…支持管、36…ウエハ支持体/チャッ
ク、38…外周バリア支持体、39…ウエハ支持体の本
体、40…ウエハ端、42…ベース端、44…周溝、4
6…流動シール、48…ボルト、50…ヒータ室、52
…ベローズ、54…ヒータ小組立体、56…ヒータ面、
58,59…ねじ、60…電気抵抗加熱要素、61…中
間支持板、62…熱分散板、64…ガイド管、65…底
部材、66…給水ライン、66A,68A,70A,7
2A,74A,78A…急速ディスコネクト継手カップ
リング、66,68,70,72,74,78…底部材
からの伸長ライン、68…回収ライン、70…熱電対ラ
イン、72…加熱用電力ライン、74…静電力ライン、
76…静電ウエハチャック面、78…ヒータガスライ
ン、80…浅溝、81…傾斜導管、82…ウエハ、83
…冷却水導管、84…誘電層、85…ガラスピン、86
…導電層、89、91…正孔、92…電気接点、94…
凹所。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スティーヴン エー. チャン アメリカ合衆国, カリフォルニア州, フレモント, リヴァームーア コモン 43241 (72)発明者 ヴィジェイ ディー. パーキー アメリカ合衆国, カリフォルニア州, サニーヴェール, エス. フェア オー クス アヴェニュー 655, ナンバーデ ィー108

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 堆積チャンバ又はエッチングチャンバの
    ためのウエハヒータ組立体であって、 ベースと、 ウエハチャック面を備えるウエハ支持体と、 ウエハ端を前記ウエハ支持体に、ベース端を前記ベース
    に、有する外周のバリア支持体と、 前記ウエハ支持体と熱的につながるヒータ小組立体と、 前記バリア支持体の前記ベース端と前記ベースとの間に
    配置された流動シールと、 ユーザーが使用可能な固定具であって、前記固定具は、
    前記バリア支持体を間に嵌挿して前記ベースを前記ウエ
    ハ支持体に固定し、前記流動シールを間に嵌着して前記
    バリア支持体の前記ベース端を前記ベースに対し押圧す
    る、前記固定具とを備えるウエハヒータ組立体。
  2. 【請求項2】 前記ベースと、前記ウエハ支持体と、前
    記バリア支持体とが、ヒータ室を画し、前記ヒータ小組
    立体が前記ヒータ室の内部に配置される請求項1に記載
    のウエハヒータ組立体。
  3. 【請求項3】 前記ヒータ小組立体が、機械的な装着要
    素を用いて前記ウエハ支持体に堅く装着される請求項1
    に記載のウエハヒータ組立体。
  4. 【請求項4】 前記ヒータ小組立体と前記ウエハ支持体
    との間に熱分散板を更に備える請求項3に記載のウエハ
    ヒータ組立体。
  5. 【請求項5】 前記ベースが開口を有し、前記ウエハヒ
    ータ組立体が、前記ヒータ小組立体から始まって前記開
    口を通る導電路を更に備える請求項3に記載のウエハヒ
    ータ組立体。
  6. 【請求項6】 前記ウエハヒータ組立体が、前記ベース
    内の前記開口から始まり前記ヒータ小組立体から離れる
    ように伸張するガイド管を更に備え、前記導電路が前記
    ガイド管を通る請求項5に記載のウエハヒータ組立体。
  7. 【請求項7】 前記ウエハ支持体に流動的に結合し前記
    ガイド管の中に伸びる、ガス管を更に備える請求項6に
    記載のウエハヒータ組立体。
  8. 【請求項8】 前記ガイド管が端部を有し、前記ウエハ
    ヒータ組立体が、前記ガイド管の外部の前記ガス管及び
    導通のための急速ディスコネクト継手コネクタを更に備
    える請求項7に記載のウエハヒータ組立体。
  9. 【請求項9】 前記ウエハチャック面が前記ガス管に流
    動的に結合する請求項7に記載のウエハヒータ組立体。
  10. 【請求項10】 前記ウエハ支持体の前記ウエハチャッ
    ク面が、静電的に荷電することができる表面である請求
    項1に記載のウエハヒータ組立体。
  11. 【請求項11】 前記ウエハチャック面が、電気的絶縁
    性の材料で覆われる請求項1に記載のウエハヒータ組立
    体。
  12. 【請求項12】 前記ウエハ端から前記ベース端への熱
    移動を制限するための手段を有し、前記流動シールの温
    度が前記ウエハ支持体の前記ウエハチャック面の温度よ
    りも実質的に低く維持される請求項1に記載のウエハチ
    ャック組立体。
  13. 【請求項13】 ウエハチャック面の温度が約200〜
    300℃であるとき、熱移動を制限するための前記手段
    により、前記ウエハチャック面と前記バリア支持体との
    間に少なくとも50〜70℃の温度降下を生じさせる請
    求項12に記載のウエハヒータ組立体。
  14. 【請求項14】 前記流動シールが弾性的Oリングであ
    る請求項1に記載のウエハヒータ組立体。
  15. 【請求項15】 前記固定具が複数のボルトを備える請
    求項1に記載のウエハヒータ組立体。
  16. 【請求項16】前記バリア支持体が、前記ウエハ支持体
    の、一体一片の伸張部である請求項1に記載のウエハヒ
    ータ組立体。
  17. 【請求項17】 堆積チャンバ又はエッチングチャンバ
    のためのウエハヒータ組立体であって、 開口を備えるベースと、 ウエハチャック面を備えるウエハ支持体と、 ウエハ端を前記ウエハ支持体に、ベース端を前記ベース
    に、有する外周のバリア支持体と、 前記ウエハ支持体に装着されたヒータ小組立体と、 端部を有し、前記ベース内の前記開口から始まり前記ヒ
    ータ小組立体から離れるように伸張するガイド管と、 前記ヒータ小組立体から前記開口部を経て前記ガイド管
    の中を通る導電体と、 前記ウエハ支持体に流動結合し、前記開口部とガイド管
    を経て伸長するガス管と、 前記ガイド管外部の、前記ガス管及び導体のための急速
    ディスコネクト継手コネクタと、 前記バリア支持体の前記ベース端と前記ベースとの間に
    配置された流動シールと、 ユーザーが使用可能な固定具であって、前記固定具は、
    前記バリア支持体を間に嵌挿して前記ベースを前記ウエ
    ハ支持体に固定し、前記流動シールを間に嵌着して前記
    バリア支持体の前記ベース端を前記ベースに対し押圧す
    る、前記固定具とを備え、前記バリア支持体は、前記ウ
    エハ端から前記ベース端への熱移動を制限するための手
    段を有し、熱移動を制限するための前記手段により、前
    記流動シールの温度が前記ウエハ支持体の前記ウエハチ
    ャック面の温度よりも実質的に低く維持され、ウエハチ
    ャック面の温度が約200〜300℃であるとき、熱移
    動を制限するための前記手段により、前記ウエハチャッ
    ク面と前記バリア支持体との間に少なくとも50〜70
    ℃の温度降下を生じさせる、ウエハヒータ組立体。
JP8305282A 1995-11-28 1996-11-15 ウエハヒータ組立体 Withdrawn JPH09184066A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/565,185 US5796074A (en) 1995-11-28 1995-11-28 Wafer heater assembly
US08/565185 1995-11-28

Publications (1)

Publication Number Publication Date
JPH09184066A true JPH09184066A (ja) 1997-07-15

Family

ID=24257544

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8305282A Withdrawn JPH09184066A (ja) 1995-11-28 1996-11-15 ウエハヒータ組立体

Country Status (6)

Country Link
US (1) US5796074A (ja)
EP (1) EP0777262B1 (ja)
JP (1) JPH09184066A (ja)
KR (1) KR970030620A (ja)
AT (1) ATE222023T1 (ja)
DE (1) DE69622823T2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100836183B1 (ko) * 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
JP2010159829A (ja) * 2009-01-08 2010-07-22 Toshiba Corp 蒸気弁装置およびそれを備えた発電設備

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US6040562A (en) * 1997-11-13 2000-03-21 Kabushiki Kaisha Kyushu Nissho Structure for uniform heating in a heating device
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
KR100448294B1 (ko) * 1999-12-18 2004-09-10 주성엔지니어링(주) 고온용 몰딩히터
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6414271B2 (en) * 2000-05-25 2002-07-02 Kyocera Corporation Contact heating device
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
DE10156407A1 (de) 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
KR100430604B1 (ko) 2001-11-23 2004-05-10 주성엔지니어링(주) 반도체 웨이퍼를 가열하기 위한 몰딩히터 및 그 제조방법
KR20030047341A (ko) * 2001-12-10 2003-06-18 삼성전자주식회사 이온주입장치의 정전척
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7846254B2 (en) 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
JP4278046B2 (ja) * 2003-11-10 2009-06-10 モメンティブ・パフォーマンス・マテリアルズ・ジャパン合同会社 ヒータ機構付き静電チャック
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR100597641B1 (ko) * 2004-07-13 2006-07-05 삼성전자주식회사 웨이퍼 불량 로딩 검출부를 갖는 베이크 장비
DE102005056536A1 (de) * 2005-11-28 2007-05-31 Aixtron Ag CVD-Reaktor mit widerstandsbeheiztem Suszeptor
US7652227B2 (en) * 2006-05-18 2010-01-26 Applied Materials, Inc. Heating and cooling plate for a vacuum chamber
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP5352103B2 (ja) * 2008-03-27 2013-11-27 東京エレクトロン株式会社 熱処理装置および処理システム
US7967995B2 (en) * 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
BR112014004911A2 (pt) * 2011-08-30 2017-05-30 Watlow Electric Mfg sistema de matriz térmica
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) * 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6208588B2 (ja) * 2014-01-28 2017-10-04 東京エレクトロン株式会社 支持機構及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
DE102014108925A1 (de) * 2014-06-25 2015-12-31 Von Ardenne Gmbh Substratbeschichtungseinrichtung und Bedampfungsverfahren
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9666467B2 (en) * 2014-11-21 2017-05-30 Varian Semiconductor Equipment Associates, Inc. Detachable high-temperature electrostatic chuck assembly
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112789714A (zh) * 2018-08-01 2021-05-11 莫门蒂夫性能材料股份有限公司 可拆卸的热矫平器
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11482444B2 (en) 2020-03-10 2022-10-25 Applied Materials, Inc. High temperature micro-zone electrostatic chuck
US11784080B2 (en) 2020-03-10 2023-10-10 Applied Materials, Inc. High temperature micro-zone electrostatic chuck
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US20220068674A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Heater Assembly with Process Gap Control for Batch Processing Chambers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11776794B2 (en) 2021-02-19 2023-10-03 Applied Materials, Inc. Electrostatic chuck assembly for cryogenic applications
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230162954A1 (en) * 2021-11-19 2023-05-25 Applied Materials, Inc. High temperature detachable very high frequency (vhf) electrostatic chuck (esc) for pvd chamber

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
US4609037A (en) * 1985-10-09 1986-09-02 Tencor Instruments Apparatus for heating and cooling articles
JPH0697676B2 (ja) * 1985-11-26 1994-11-30 忠弘 大見 ウエハサセプタ装置
JPH01242778A (ja) * 1988-03-23 1989-09-27 Hitachi Ltd 基板加熱装置
US4993359A (en) * 1988-06-24 1991-02-19 Combustion Engineering, Inc. Apparatus for and method of chromizing articles
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
KR940011708B1 (ko) * 1990-04-09 1994-12-23 니찌덴 아네루바 가부시끼가이샤 기판온도제어기구
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
JPH0478133A (ja) * 1990-07-20 1992-03-12 Tokyo Electron Ltd プラズマ処理装置
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
JP3106172B2 (ja) * 1991-02-26 2000-11-06 東京エレクトロン株式会社 熱処理装置の封止構造
KR100188455B1 (ko) * 1991-05-20 1999-06-01 이노우에 아키라 드라이 에칭방법
JP3140096B2 (ja) * 1991-07-11 2001-03-05 東京エレクトロン株式会社 熱処理装置
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5431737A (en) * 1992-02-04 1995-07-11 Genus, Inc. Interchangeable CVD chuck surface
DE59306704D1 (de) * 1992-02-12 1997-07-17 Balzers Hochvakuum Vakuumbearbeitungsanlage
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JP2766433B2 (ja) * 1992-07-23 1998-06-18 株式会社東芝 半導体気相成長装置
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JPH06244095A (ja) * 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
US5525780A (en) * 1993-08-31 1996-06-11 Texas Instruments Incorporated Method and apparatus for uniform semiconductor material processing using induction heating with a chuck member
US5467220A (en) * 1994-02-18 1995-11-14 Applied Materials, Inc. Method and apparatus for improving semiconductor wafer surface temperature uniformity
US5575856A (en) * 1994-05-11 1996-11-19 Sony Corporation Thermal cycle resistant seal and method of sealing for use with semiconductor wafer processing apparatus
US5538758A (en) * 1995-10-27 1996-07-23 Specialty Coating Systems, Inc. Method and apparatus for the deposition of parylene AF4 onto semiconductor wafers

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100836183B1 (ko) * 2007-01-16 2008-06-09 (주)나노테크 히터 조립체 및 그 설치구조
JP2010159829A (ja) * 2009-01-08 2010-07-22 Toshiba Corp 蒸気弁装置およびそれを備えた発電設備

Also Published As

Publication number Publication date
DE69622823T2 (de) 2003-04-30
ATE222023T1 (de) 2002-08-15
EP0777262B1 (en) 2002-08-07
KR970030620A (ko) 1997-06-26
EP0777262A1 (en) 1997-06-04
US5796074A (en) 1998-08-18
DE69622823D1 (de) 2002-09-12

Similar Documents

Publication Publication Date Title
JPH09184066A (ja) ウエハヒータ組立体
KR101892911B1 (ko) 정전 척 및 정전 척의 사용 방법들
JP6666900B2 (ja) 独立した分離されたヒータ区域を有するウエハキャリア
TWI714547B (zh) 以高溫聚合物接合劑接合至金屬基底的陶瓷靜電夾盤
US6677167B2 (en) Wafer processing apparatus and a wafer stage and a wafer processing method
CN108505010B (zh) 用于沉积腔室的基板支撑夹盘冷却
US20040055540A1 (en) Wafer stage for wafer processing apparatus
TWI728440B (zh) 用於減少基板處理夾盤冷凝的氣流
KR20060127387A (ko) 유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법
KR19980063671A (ko) 기판의 균일 가열을 위한 기판 지지부재
WO2014086283A1 (zh) 静电卡盘以及等离子体加工设备
CN102160167A (zh) 静电吸盘组件
JP2006140455A (ja) 基板の温度を制御する方法及び装置
KR20010051530A (ko) 반도체 프로세싱 시스템 내의 온도를 제어하기 위한 장치
TW201241899A (en) Thin heated substrate support
JP2002526915A (ja) 半導体ウェーハ処理装置にウェーハを保持する静電チャック内蔵カソード組立体
JP7402255B2 (ja) 高温用途のための着脱可能なバイアス可能な静電チャック
TW202137374A (zh) 具有抗電弧的冷卻液導管的基板支撐組件
US20010045262A1 (en) Chemical vapor deposition chamber
KR100666445B1 (ko) 유도결합형 플라즈마 처리장치의 유전체 덮개 온도유지장치
US10847401B2 (en) Wafer holding apparatus and baseplate structure
US20230356342A1 (en) Electrostatic chuck
TW202412055A (zh) 具有故障保護的高溫基板支撐組件
TW202410281A (zh) 具有故障保護的高溫基板支撐組件
JP2022155221A (ja) 載置盤および載置構造

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040203