JPH07508125A - プラズマチャンバに一様な電場を誘起するための誘電性ウインドウを有するプラズマ装置及び物体をそのプラズマ装置で取り扱う方法 - Google Patents

プラズマチャンバに一様な電場を誘起するための誘電性ウインドウを有するプラズマ装置及び物体をそのプラズマ装置で取り扱う方法

Info

Publication number
JPH07508125A
JPH07508125A JP6502734A JP50273494A JPH07508125A JP H07508125 A JPH07508125 A JP H07508125A JP 6502734 A JP6502734 A JP 6502734A JP 50273494 A JP50273494 A JP 50273494A JP H07508125 A JPH07508125 A JP H07508125A
Authority
JP
Japan
Prior art keywords
plasma
chamber
window
dielectric window
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6502734A
Other languages
English (en)
Other versions
JP3378248B2 (ja
Inventor
チェン,チン・ワ
リウ,デイビッド
トラン,ダク
Original Assignee
ラム リサーチ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ラム リサーチ コーポレイション filed Critical ラム リサーチ コーポレイション
Publication of JPH07508125A publication Critical patent/JPH07508125A/ja
Application granted granted Critical
Publication of JP3378248B2 publication Critical patent/JP3378248B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。

Description

【発明の詳細な説明】 プラズマチャンバに一様な電場を誘起するための誘電性ウィンドウを有するプラ ズマ装置及び物体をそのプラズマ装置で取り扱う方法発明の背景 本発明は、プラズマチャンバ内の高周波(radiofrequency)誘起 プラズマを使用して基板を処理するための装置に関する。特に、本発明は、一様 なプラズマ密度を発生させるための装置及び方法に関する。
ガスプラズマ技術は、集積回路の製造に使用され従来がらよく知られた技術であ る。平板炉(parallel plale reactors)は、薄膜エツ チング及びウェーハの成長(deposition)に必要な化学反応を起こす ために反応チャンバのガスを励起するのに広く使用されている。一般に、絶縁体 を介して電力を接続する場合は、従来のハードウェアを用いた設備では、高い励 起効率のために、ガスの励起周波数として13.56MHzが使用されている。
例えば、オグル(Ogle)の米国特許番号4゜948.458に示されている 。
このオグル(Ogle)による装置では、外部平板コイルを通じて高周波(RF )共振電流を送り、発生した高周波エネルギーをチャンバの誘電性ウィンドウを 通過させることによって、低圧チャンバに高周波磁場が誘起される。ガスがチャ ンバに注入される過程に於ける電子の回転フラックスにイオン種(ionic  5pecies)及びラジカル種(radical 5pecies)の領域を 形成させることによって、磁場がプラズマを発生する。こうして発生したプラズ マは、チャンバのウェーハ上の材料のエツチングや成長を実行するのに使用され る。
これらの装置では、ウェーハの表面を介してのプラズマ密度は大きくばらつき、 150mmと200mmのウェーハ面を介しての密度は、ある部分では他の部分 の二倍にもなることがわかっている。この非一様なプラズマ密度は、測定ウェー ハ面積上でかなりの非均−な酸化物及びレジストエツチングスピードをもたらし 、ウェーハ上の微細な線の形状の微妙な大きさをlll1#することを極端に難 しくしている。
通常は、平たい誘電性ウィンドウがこれら装置には使用されている。平板コイル の磁束は、ウィンドウの中央の近傍で最大であり、平坦なウィンドウの場合は、 誘起電場は、従って、ウィンドウの中央付近でより大きくなる。本発明の装置及 び方法は、特徴的な断面積を有する誘電性ウィンドウを使用し、そのウィンドウ は、中央でより厚く、周囲でより薄く、ウィンドウの中央付近でより高い誘起電 場を低減している。
発明の概要 本発明の特徴の一つによれば、装置は、チャンバを有するハウジングを含み、そ の中でウェーハがプラズマにより処理され、ハウジングは、プロセスガスがチャ ンバに供給される、チャンバの内部に連通している少なくとも一つの注入ボート を含む。装置は、更に、高周波(RF)エネルギーをチャンバに通し、チャンバ 内部にプラズマを誘起するために構成されたプラズマ発生電極を含む高周波(R F)エネルギー源を含み、その高周波(RF)エネルギー源により誘起された電 場により注入ボートを通じてチャンバに供給されるプロセスガスが起動され、プ ラズマ発生電極は、チャンバの平坦領域内に電子流を誘起する。チャンバの内壁 の部分を形成する内面を有する誘電性ウィンドウは、高周波エネルギー源からの 高周波エネルギーが誘電性ウィンドウを通じてチャンバ内部に通過可能となるよ うに構成される。誘電性ウィンドウは、内表面に沿った異なった点でその厚みを 変化させ、誘電性ウィンドウの中央部が最も厚く、誘電性ウィンドウの中央部に 近接したチャンバ内部の誘起電場を効果的に低減している。
高周波(RF)エネルギー源は、誘電性ウィンドウの外平坦面に対面する一つの 平坦面を有するほぼ平坦なプラズマ発生電極を含む。誘電性ウィンドウは、円形 でもよい。誘電性ウィンドウは、複数の同じか、又は異なった絶縁材料の層によ り形成されてもよい。誘電性ウィンドウは、中央部を取り巻く薄い厚みの領域を 形成するように少なくとも一つの段差を形成するようにしてもよい。誘電性ウィ ンドウは、中央部を取り巻く少なくとも一つのテーパー面を含んでもよいし、叉 、誘電性ウィンドウは、凸状としてもよい。
本発明は、叉、チャンバ内に物体を配置し、プロセスガスをチャンバに注入し、 高周波(RF)エネルギーをチャンバの誘電性ウィンドウを通過させることによ り一様な電場を発生させるステップを含む物体をプラズマで扱うための方法を提 供する。誘電性ウィンドウは、その中央部に於て厚みが厚いように、その内表面 にそった異なった点で厚みを変化させている。その結果、一様な電場が、プロセ スガス中に一様な電子流を起こし、従って一様なプラズマ密度のプラズマを発生 させる。処理は、更に、物体の表面をチャンバ内に発生したプラズマに露出する ことにより、物体をプラズマ処理するステップを含む。
図面の簡単な説明 本発明の特徴及び長所は、次の図面をと共に詳細な説明を酔うことによって理解 されるであろう。これらの図面に於ては、同じ番号は同じ要素を示す。
図1は、本発明による平坦プラズマを発生させるための装置の等胸回である。
図2は、図1の装置の断面図である。
図3は、図1の装置の回路図である。
図4は、図1の装置により形成された磁場プロフィールを説明する図である。
図5は、平坦な断面の誘電性ウィンドウを有する装置でのウェーハの中心からの 距離に対するイオン電流密度を示すグラフである。
図6は、本発明による装置に於けるウェーハの中心からの距離に対するイオン電 流密度を示すグラフである。
図7は、本発明による誘電性ウィンドウの実施例の側面図である。
図8は、本発明による誘電性ウィンドウの他の実施例の側面図である。
図9は、本発明による誘電性ウィンドウの更に他の実施例の側面図である。
好適な実施例の詳細な説明 本発明によれば、非常に一様で、比較的広い面積で平坦なプラズマを発生するた めの装置及び方法が提供される。プラズマ中に形成されたイオン種及びラジカル 種は、非平坦な方向に最小の加速度を受け、得られたプラズマは、非常に低い所 望の運動エネルギーを有するものとなる。更に、一様で平坦なプラズマが、非常 に広い圧力範囲、一般に10−’Torr〜5Torr及びそれ以上で形成され る。
本発明の装置は、少なくとも部分的に誘電性ウィンドウにより囲まれた内部チャ ンバを含むハウジングを具備する。平坦なコイルがそのウィンドウに近接して配 置され、高周波(RF)源がそのコイルに接続されている。通常、高周波源は、 電力伝送を最大とするためにインピーダンスマツチング回路を通じて、一般に1 3.56MHzの同調周波数の同調回路に接続されている。チャンバにプロセス ガスを供給するために、注入ボートが備わっている。コイルを通じて高周波(R F)電流を共振させることによって、電磁場が誘起され、その誘起された電磁場 は、誘電性ウィンドウを通過してチャンバの内部に延びる。こうして、電子流が 、誘起される。更に、電子は、平坦コイルに平行な平面方向に密に閉じ込められ るので、非平面方向での運動エネルギーの伝送は最小となる。
チャンバは、一般的には半導体ウェーハのような平坦な物体のための支持表面を 有する。この表面は、コイルの面と平行な面でウェーハを支持し、従ってプラズ マの面とも平行である。こうして、半導体ウェーハは、一様なプラズマ束に露出 され、一様なプラズマ処理が実現できる。プラズマ種は、非平面方向には最小の 運動速度を有し、ウェーハに対する運動衝撃は最小とされる。こうして、処理は 、全体的にみれば、プラズマ種とウェーハとの化学相互作用に限られる。
半導体ウェーハ表面の法線方向における速度成分は、プラズマ平面の法線方向に 高周波(RF)ポテンシャルを印加することによりもたらされる。そのような電 圧は、ウェーハを支持する前記支持面により印加されることが好ましい。例えば 、前記支持面は、そのような電圧を供給するための従来の底部電極を含んでもよ い。
本発明の方法及び装置は、プラズマエツチング、成長(deposition) 処理、レジスト除去、プラズマを用いた化学的気相成長(CVD)等の種々の半 導体処理工程に有効である。
前記ハウジングは、平坦なプラズマが形成されるべく全体的に気密内部チャンバ を形成している。そのハウジングは、プロセスガスを注入するための少なくとも 一つの注入ポートと、チャンバ内で所望の動作圧を維持するために真空システム に接続された少なくとも一つの流出ボートとを含む。予め選択されたプロセスガ スを供給し、チャンバ内で予め選択された圧力を維持するためのシステムは、従 来技術でよく知られているのでここでは特に説明はしない。チャンバ内では、一 つ以上の表面が処理対象の物体を支持する。一般に、これら表面は、チャンバ内 に形成される平坦なプラズマに対して予め設定された向きで配置され、これら表 面は、通常、プラズマ面に略平行である。
所望の平面プラズマを誘起するために、電気的導体コイルが、前記誘電性ウィン ドウの外部に隣接して配置される。そのコイルは、はぼ平坦であり、一般に、平 坦なスパイラル状又は一連の同心リング状に形成された単一の導体素子を含む。
コイル内に高周波(RF)電流を誘導して形成された電磁場は、コイルの平面に 平行な平坦領域内に電子流を誘起する。
平坦なコイルは、一般に、円形であるが、楕円や真の円形とは異なる他の形状で もよい。更に、コイルは、その直径を横切って真の平坦であってもよいし、真の 平坦性からは若干ずれていてもよい。平坦性よりのずれは、コイル直径の0゜2 倍より小さく、通常、直径の0.1倍より小さい。コイルの形状を調整して、発 生する場の形状を修正してもよい。コイルの直径は、発生するプラズマの大きさ にも全体的に対応する。コイルの直径は、約8cm〜30cmの範囲であり、通 常、約13cm〜18cmの範囲内である。半導体ウェーハを扱う上では、コイ ルの直径は、一般に、13cm−18cmである。
コイルは、その全体の直径を横切って比較的一様な磁場を形成するべく、十分な 巻数を含む。巻数は、コイルの直径にも依存する。半導体ウェーハを扱うための コイルは、通常は、5〜8の巻数である。コイルの得られるインダクタンスは、 1.2〜3゜5pHであり、そのインピーダンスは約20〜300オームである 。
平坦なコイルは、いがなる電気的導体材料、通常、平坦なコイルは銅で構成され る。コイルは、約5〜100アンペアの範囲の負荷能力がある。
その平坦なコイルは、処理チャンバの一部を構成する誘電性ウィンドウに近接し て配置される。この誘電性ウィンドウはそのチャンバ内部の隔離を保持しつつ、 その一方で、前記平坦コイルによって形成される磁場を通過せしめる。ハウジン グの残り部分は金属製であってよい。この誘電性ウィンドウは、水晶から構成さ れるが、他の絶縁材料、特に、動作域の周波数でエネルギーを吸収しない七うミ ノクスを使用してもよい。誘電性ウィンドウは、前記ハウジングの壁に形成され た開口に隣接して配置されることが好ましい。開口の形状は、通常、平坦コイル の形状に対応し、平坦コイルは、通常、円形である。平坦コイルは、チャンバ内 に形成される磁場の強度を最大とするために、誘電性ウィンドウに非常に近接す るか、接触して配置される。誘電性ウィンドウの厚みは、プラズマエネルギーを プラズマに伝送するに十分なほど薄く、その厚みは、通常、チャンバ内の真空に より形成される差圧を持ちこたえるに十分なように選択される。例えば、ウィン ドウは、少なくとも1/2インチ(1,27cm)以上の厚みである。
この平坦コイルは、半導体処理装置の動作で一般に使用される種類の高周波(R F)発振器により駆動される。RF発振器は、通常、13.56MHz=100  MHzの範囲の周波数で動作し、このRF発振器は13.56MHzで動作す る。
RF発振器は、通常、低インピーダンス(一般に、50オーム)であり、1〜6 アンペアの範囲、通常では、2〜3.5アンペアの範囲の出力であり、少なくと も約50vの実効(RMS)!圧、通常、少なくとも約70V以上の実効電圧を 出力する。RF発振器は、平坦コイルを動作させる回路に直接接続可能な同軸ケ ーブルの形状の出力コネクタを有することが好ましい。
図1及び2を参照すると、個々の半導体ウェーハWをエツチングするに適当なプ ラズマ処理システム10は、上部壁16中に形成されたアクセスポート14を有 するチャンバ12を含む。誘電性シールド/ウィンドウ18は、上部壁16の下 部に配置され、アクセスポート14を越えて延びている。誘電性ウィンドウ18 は上部壁16に密封され、チャンバ12の真空内部チャンバ19を画定している 。
平坦コイル20は、誘電性ウィンドウ18に隣接して、アクセスポート14内に 配置されている。コイル20は、センタータップ22及び外側タップ24を有す るスパイラルとして形成されている。コイル20の形成する平面は、誘電性ウィ ンドウ18及びウェーハWが載置される支持表面13の両者に対して平行となる 向きに配置される。こうして、コイル20は、ウェーハWに平行なチャンバ12 のチャンバ19内に平面プラズマを形成することが可能となる。コイル20と支 持表面13の間の距離は、約3cm〜15cmであり、より一般的には、5cm 〜20cmの範囲であり、正確な距離は、個別の応用分野に依存する。
図1〜図3を参照して、平坦コイル20は、既に説明した種類のRF発振器30 により駆動される。発振器30の出力は、同軸ケーブル32によりマツチング回 路34に接続される。マツチング回路34は、−次コイル36及び二次ループ3 8を含み、これらは、回路の有効的結合を調整し、動作周波数での回路の負荷を 考慮するように相互に配置される。−次コイル36は、結合を調整するために、 垂直軸42を中心として回転するディスク40上に配置されている。
可変コンデンサ44が、RF発振器30の周波数出力との回路の共振周波数を調 整するために、第2のループ38と直列に接続されている。インピーダンスマツ チングは、平坦コイル20に対する電力伝送の効率を最大とする。付加コンデン サ46が、回路のコイル36の誘導性リアクタンスの部分を打ち消すために、− 次回路に接続されている。しかし、平坦コイル20の動作に共振し、RF発振器 とコイルの回路のインピーダンスマツチングのために、他の回路を使用してもよ いO 図4は、平坦な誘電性ウィンドウ18及び平坦なコイル20を使用した従来の装 置に於ける望ましい磁場プロフィールを示す。コイル20の端では、磁場の強度 が、中央より弱い。誘起磁場は、電子がプロセスガスの個々の分子と衝突するこ とにより形成されたプラズマ中に、電子の回転フラツクスを誘起し、従って、イ オン種及びラジカル種の領域を形成する。図5は、一様な厚みのウィンドウを有 する装置に於て、イオン電流密度が、ウィンドウ18の中心0から遠ざかるにつ れ、急激に低下することを示している。図5の点線は、6インチウェーハ(15 ,24cm)の縁に対応する。
ウェーハWが十分に小さければ、縁におけるこの低減されたイオン電流密度は、 ウェーハの酸化物及びレジストエツチング速度に悪影響を及ぼすことはない。し かし、一般には、十分に大きい直径のウェーハWが使用されていて、コイル20 及びウィンドウ18の中心からの距離に応じて低減されたイオン電流密度は、ウ ェーハW上の酸化物及びレジストエツチング速度に悪影響をもたらす。
図6の試験結果のグラフで示されているように、150mm及び200mmの全 体直径の範囲で±5%の範囲に収まる、はぼ一様なイオン電流密度は、厚い中央 部を有するウィンドウ18を設ける本発明により実現可能である。特に、イオン 電流密度は、中央部0から、中央部Oより少なくとも750mmの距離までほぼ 一様である。図6の点線は、8インチ(20,32cm)ウェーハの縁に対応し ている。
図7〜図9が示すように、本発明によるウィンドウ18は、種々の断面を有する 。セラミック、水晶及びガラスを含む、ウィンドウ材料の幾つかの異なった種類 が誘電性ウィンドウ18に使用可能である。特定の用途の使用条件下で最も効果 のあるウィンドウ断面は、選択されたその特定のウィンドウ材料の誘電率と、コ イルに供給される電力との関数である。例えば、500ワツトがコイルに供給さ れる場合は、中央の厚みtcの縁の厚みtel:対する比(t c/ t e) が3:1である。電力が、1000ワツトに増加すると、比(tc/le)は、 1.5:1が好ましい。一方、電力が、200ワツトに低下すると、比(tc/ le)は、6:1が好ましい。
厚みの増した中央部をもつウィンドウ18は、A I 20.、ZrO,、Si n。
等の特定の誘電性材料を機械加工するが、モールドすることによって形成され、 特定のレンズ断面が形成される。例えば、ウィンドウ18Aは、図7で示される ように一連の段差を形成する、一連の逐次小さいウィンドウ部181,182. 183を(例えば、焼結により)積層することにより形成される。図7では、部 74インチ(0,64cm)の厚みである。逐次、小さな部分181,182゜ 183は、単一の絶縁材料から形成しもよいことは勿論である。これに替えて、 ウィンドウ18Bは、図8が示すように、凸部の断面からなるが、又はウィンド ウ18Cは、図9が示すように錐台上の断面を有する。図9では、ウィンドウ1 8Cは、ウィンドウの厚い中央部を囲むテーパーが付いた面を含む。ウィンドウ 18は、叉、異なった絶縁特性を持つ材料を積層して、例えばセラミック材料を 好ましくは接着剤なしで積層してもよい。
本発明の好適な実施例によれば、誘電性ウィンドウ18は、直径9〜10インチ (22,86〜25.4cm)のA1□03のディスクより構成される。そのよ うなウィンドウは、プラズマチャンバに形成された12インチ(30,48cm )の直径の開口部に適当なシール手段により取付けられる。ウィンドウの厚い中 央部分は、約5〜6インチ(12,7〜15.24cm)の直径のAI、O,の ディスクから構成されるのが好ましい。Al 203の二つのディスクが焼結に より積層され、二つのディスクの厚みの比は約2:1である。コイルに500ワ ツトが供給されると、ウィンドウの縁の厚みteは、好ましくは1.0インチ( 2,54cm)であり、ウィンドウの中央部の厚みはtcは、好ましくは、1. 5インチ(3,81cm)である。
ウィンドウ18の厚い中央部は、通常、チャンバ12の内側に向けられ、ウィン ドウ18の平坦な外側の面がチャンバの外側を向く。しかし、異なった特性の断 面、形状、材料及びウィンドウの厚みが、特定の用途に対してはより効果がある 場合のある。
本発明の範囲を逸脱しないで、以上説明した特定の形式以外の形式で実施するこ とが可能である。ここで説明した実施例は、説明のためであり、これに限定する 意図はない。本発明の範囲は、以上の説明ではなく、特許請求の範囲により与え られ、特許請求の範囲内の変形及び均等例は、本発明に含まれる。
図1 図2 図4 図8 イ’ff! (cm) 図5 図6 フロントページの続き (51) Int、 C1,’ 識別記号 庁内整理番号HOIL 21/30 65 (72)発明者 トラン、ダク アメリカ合衆国 カリフォルニア州 95070 サラトガ、コックス アベニューI

Claims (19)

    【特許請求の範囲】
  1. 1.プラズマエッチング又はプラズマ成長のための装置であって、ウェーハをプ ラズマで処理するチャンバを有するハウジングであって、プロセスガスを前記チ ャンバに供給し、このチャンバの内部に接続された少なくとも一つの注入ポート を含むハウジングと、 前記チャンバに高周波(RF)エネルギーを通過させるように構成されたプラズ マ発生電極を含む高周波エネルギー源であって、前記高周波エネルギー源により 誘起された電場により前記注入ポートを通じて前記チャンバに供給されるプロセ スガスを活性化することによって前記チャンバ内部にプラズマを誘起するように 構成され、前記チャンバの平坦領域内に電子流を誘起する前記プラズマ発生電極 を有する前記エネルギー源と、 前記チャンバの内壁の部分を形成する内面を有する誘電性ウインドウであって、 前記高周波エネルギー源からの高周波エネルギーを前記誘電性ウインドウを通じ て前記チャンバ内部に通過させると共に、前記内面に沿った異なった点でその厚 みを変化させ、その中央部において最も厚く、そのウインドウの中央部に近接し た前記チャンバ内部の誘起電場を低減する効果を有するような前記誘電性ウイン ドウを具備することを特徴とする装置。
  2. 2.前記高周波エネルギー源は、前記誘電性ウインドウの外側の平坦面に対面す る一つの平坦面を有するほぼ平坦なプラズマ発生電極を含むことを特徴とする請 求項1記載のプラズマエッチング又はプラズマ成長のための装置。
  3. 3.前記誘電性ウインドウは、誘電性材料の複数の層を含むことを特徴とする請 求項1記載のプラズマエッチング又はプラズマ成長のための装置。
  4. 4.前記誘電性ウインドウは、第2の誘電性層に対して積層された第1の誘電性 層を含み、前記第2の誘電性層は、前記第1の誘電性層の外縁より内側に位置す る外縁を有することを特徴とする請求項3記載のプラズマエッチング又はプラズ マ成長のための装置。
  5. 5.前記誘電性ウインドウは、更に、前記第2の誘電性層に対して積層された第 3の誘電性層を含み、前記第3の誘電性層は、前記第2の誘電性層の外縁から内 側に位置する外縁を有することを特徴とする請求項4記載のプラズマエッチング 又はプラズマ成長のための装置。
  6. 6.前記誘電性ウインドウの一方の面は平坦であることを特徴とする請求項1記 載のプラズマエッチング又はプラズマ成長のための装置。
  7. 7.前記誘電性ウインドウの前記平坦面は、前記誘電性ウインドウの外側の面で あることを特徴とする請求項6記載のプラズマエッチング又はプラズマ成長のた めの装置。
  8. 8.前記誘電性ウインドウは、ほぼ円形の形状であることを特徴とする請求項1 記載のプラズマエッチング又はプラズマ成長のための装置。
  9. 9.前記誘電性ウインドウは、中央部を取り巻く薄い厚みの領域を形成するよう に少なくとも一つの段差を含むことを特徴とする請求項1記載のプラズマエッチ ング又はプラズマ成長のための装置。
  10. 10.前記誘電性ウインドウは、中央部を取り巻く少なくとも一つのテーパ一面 を含んでいることを特徴とする請求項1記載のプラズマエッチング又はプラズマ 成長のための装置。
  11. 11.前記誘電性ウインドウの内部表面は、凸状であることを特徴とする請求項 1記載のプラズマエッチング又はプラズマ成長のための装置。
  12. 12.物体をプラズマで処理する方法であって、チャンバ内に物体を配置し、 プロセスガスを前記チャンバに注入し、高周波エネルギーを前記チャンバ内の誘 電性ウインドウを通過させて一様な電場を発生させ、前記誘電性ウインドウが、 内表面に沿った異なった点でその厚みを変化し、その中央部が最も厚く形成され ていることにより、前記一様な電場は、前記プロセスガス中の一様な電子流を発 生させ、従って一様なプラズマ密度のプラズマを発生させ、 前記チャンバに発生した前記プラズマに前記物体の表面を露出することによって 前記物体をプラズマ処理することを特徴とする方法。
  13. 13.前記物体は、半導体基板を含み、前記プラズマ処理ステップは、前記半導 体基板のアルミニウム層のエッチングを含むことを特徴とする請求項12記載の 方法。
  14. 14.前記プラズマの密度のばらつきは、少なくとも150mmの直径内で表面 で5%未満であることを特徴とする請求項12記載の方法。
  15. 15.前記プラズマ処理ステップは、半導体ウェーハ上の酸化物のエッチングを 含むことを特徴とする請求項12記載の方法。
  16. 16.前記プラズマ処理ステップは、半導体ウェーハ上のレジストを除去するこ とを含むことを特徴とする請求項12記載の方法。
  17. 17.前記プラズマ処理ステップは、半導体ウェーハ上に層を成長することを含 むことを特徴とする請求項12記載の方法。
  18. 18.前記ウィンドウは、水晶の単体を含むことを特徴とする請求項12記載の 方法。
  19. 19.前記ウィンドウは、異なった絶縁材料からなる複数の層を含むことを特徴 とする請求項12記載の方法。
JP50273494A 1992-05-14 1993-05-13 プラズマチャンバに一様な電場を誘起するための誘電性ウインドウを有するプラズマ装置及び物体をそのプラズマ装置で取り扱う方法 Expired - Fee Related JP3378248B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US07/883,201 US5226967A (en) 1992-05-14 1992-05-14 Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US883,201 1992-05-14
PCT/US1993/004496 WO1993023874A1 (en) 1992-05-14 1993-05-13 Plasma treatment apparatus and method in which a uniform electric field is induced by a dielectric window

Publications (2)

Publication Number Publication Date
JPH07508125A true JPH07508125A (ja) 1995-09-07
JP3378248B2 JP3378248B2 (ja) 2003-02-17

Family

ID=25382172

Family Applications (1)

Application Number Title Priority Date Filing Date
JP50273494A Expired - Fee Related JP3378248B2 (ja) 1992-05-14 1993-05-13 プラズマチャンバに一様な電場を誘起するための誘電性ウインドウを有するプラズマ装置及び物体をそのプラズマ装置で取り扱う方法

Country Status (9)

Country Link
US (2) US5226967A (ja)
EP (1) EP0640244B1 (ja)
JP (1) JP3378248B2 (ja)
KR (1) KR100278232B1 (ja)
AT (1) ATE157197T1 (ja)
DE (1) DE69313275T2 (ja)
ES (1) ES2105263T3 (ja)
TW (1) TW215968B (ja)
WO (1) WO1993023874A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125497A (ja) * 1996-06-10 1998-05-15 Lam Res Corp ほぼ均一なプラズマ束を誘導するための誘導結合源
JP2004006742A (ja) * 2002-03-25 2004-01-08 Adaptive Plasma Technology Corp 半導体製造用プラズマエッチング法およびその装置

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5772832A (en) * 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
TW260857B (ja) * 1993-03-04 1995-10-21 Tokyo Electron Co Ltd
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5619103A (en) * 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
TW293231B (ja) * 1994-04-27 1996-12-11 Aneruba Kk
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5521351A (en) * 1994-08-30 1996-05-28 Wisconsin Alumni Research Foundation Method and apparatus for plasma surface treatment of the interior of hollow forms
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2770753B2 (ja) * 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
EP0710055B1 (en) 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5874704A (en) * 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6116185A (en) * 1996-05-01 2000-09-12 Rietzel; James G. Gas injector for plasma enhanced chemical vapor deposition
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
WO1998000576A1 (en) 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6056848A (en) 1996-09-11 2000-05-02 Ctp, Inc. Thin film electrostatic shield for inductive plasma processing
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US6146979A (en) * 1997-05-12 2000-11-14 Silicon Genesis Corporation Pressurized microbubble thin film separation process using a reusable substrate
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US20070122997A1 (en) 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6548382B1 (en) 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US5994236A (en) * 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6228176B1 (en) 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6074516A (en) * 1998-06-23 2000-06-13 Lam Research Corporation High sputter, etch resistant window for plasma processing chambers
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US5962345A (en) * 1998-07-13 1999-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce contact resistance by means of in-situ ICP
KR100297552B1 (ko) * 1998-08-03 2001-11-30 윤종용 반도체소자제조용식각장치의절연창
US6237526B1 (en) * 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6229264B1 (en) 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6319355B1 (en) 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
AU1606101A (en) * 1999-11-15 2001-05-30 Lam Research Corporation Materials and gas chemistries for processing systems
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
JP3591642B2 (ja) * 2001-02-07 2004-11-24 株式会社日立製作所 プラズマ処理装置
US6417626B1 (en) 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US6527912B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US6583572B2 (en) 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6838387B1 (en) 2001-06-21 2005-01-04 John Zajac Fast etching system and process
US20030180971A1 (en) * 2002-03-25 2003-09-25 Adaptive Plasma Technology Corporation Plasma etching method and apparatus for manufacturing a semiconductor device
KR20040005161A (ko) * 2002-07-08 2004-01-16 어댑티브프라즈마테크놀로지 주식회사 플라즈마 발생장치
US6707017B2 (en) * 2002-05-16 2004-03-16 Rayth On Company High-power microwave window
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US6876155B2 (en) 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
KR100650714B1 (ko) * 2003-06-16 2006-11-27 주식회사 하이닉스반도체 반도체소자의 저유전체막 형성방법
JP2005175028A (ja) * 2003-12-09 2005-06-30 Canon Inc プラズマ処理方法およびプラズマ処理装置
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US20070246354A1 (en) * 2006-04-19 2007-10-25 Maxim Integrated Products, Inc. Plasma systems with magnetic filter devices to alter film deposition/etching characteristics
US8454810B2 (en) 2006-07-14 2013-06-04 4D-S Pty Ltd. Dual hexagonal shaped plasma source
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
US7811900B2 (en) 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
US8330126B2 (en) 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US20110293853A1 (en) * 2009-02-13 2011-12-01 Mitsui Engineering & Shipbuilding Co., Ltd Thin film forming apparatus and thin film forming method
US8329557B2 (en) 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
KR20120035559A (ko) * 2010-10-06 2012-04-16 주식회사 유진테크 반원 형상의 안테나를 구비하는 기판 처리 장치
KR101246857B1 (ko) * 2011-01-10 2013-03-25 엘아이지에이디피 주식회사 플라즈마 처리장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9623449B2 (en) 2012-04-09 2017-04-18 Lam Research Corporation Dielectric window cleaning apparatuses
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6406631B2 (ja) * 2014-10-22 2018-10-17 パナソニックIpマネジメント株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102408720B1 (ko) * 2017-06-07 2022-06-14 삼성전자주식회사 상부 돔을 포함하는 반도체 공정 챔버
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR101914902B1 (ko) * 2018-02-14 2019-01-14 성균관대학교산학협력단 플라즈마 발생장치 및 이를 포함하는 기판 처리 장치
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210012178A (ko) * 2019-07-24 2021-02-03 삼성전자주식회사 기판 처리장치 및 이를 구비하는 기판 처리 시스템
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022139328A (ja) * 2021-03-11 2022-09-26 東京エレクトロン株式会社 着火方法及びプラズマ処理装置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1203089B (it) * 1976-03-03 1989-02-15 Int Plasma Corp Procedimento ed apparecchiatura per eseguire reazioni chimiche nella regione della scarica luminescente di un plasma
US4017404A (en) * 1976-03-11 1977-04-12 The United States Of America As Represented By The Secretary Of The Department Of Health, Education And Welfare Apparatus for low temperature ashing using radio frequency excited gas plasma
FR2376904A1 (fr) * 1977-01-11 1978-08-04 Alsthom Atlantique Procede d'attaque d'une couche mince par decomposition d'un gaz dans un plasma
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
JPS5687670A (en) * 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
US4324611A (en) * 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4426274A (en) * 1981-06-02 1984-01-17 International Business Machines Corporation Reactive ion etching apparatus with interlaced perforated anode
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS59207631A (ja) * 1983-05-11 1984-11-24 Semiconductor Res Found 光化学を用いたドライプロセス装置
JPH0812856B2 (ja) * 1986-01-17 1996-02-07 株式会社日立製作所 プラズマ処理方法および装置
US4818359A (en) * 1986-08-27 1989-04-04 International Business Machines Corporation Low contamination RF sputter deposition apparatus
US5038712A (en) * 1986-09-09 1991-08-13 Canon Kabushiki Kaisha Apparatus with layered microwave window used in microwave plasma chemical vapor deposition process
US4738761A (en) * 1986-10-06 1988-04-19 Microelectronics Center Of North Carolina Shared current loop, multiple field apparatus and process for plasma processing
JPH0676664B2 (ja) * 1986-12-09 1994-09-28 キヤノン株式会社 マイクロ波プラズマcvd法による機能性堆積膜の形成装置
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4885074A (en) * 1987-02-24 1989-12-05 International Business Machines Corporation Plasma reactor having segmented electrodes
JP2602276B2 (ja) * 1987-06-30 1997-04-23 株式会社日立製作所 スパツタリング方法とその装置
EP0297898B1 (en) * 1987-07-02 1995-10-11 Kabushiki Kaisha Toshiba Method of dry etching
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4950316A (en) * 1989-07-28 1990-08-21 Charles Harris Dehumidification system
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5234526A (en) * 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10125497A (ja) * 1996-06-10 1998-05-15 Lam Res Corp ほぼ均一なプラズマ束を誘導するための誘導結合源
JP4540758B2 (ja) * 1996-06-10 2010-09-08 ラム リサーチ コーポレーション 真空プラズマ加工機
JP2004006742A (ja) * 2002-03-25 2004-01-08 Adaptive Plasma Technology Corp 半導体製造用プラズマエッチング法およびその装置

Also Published As

Publication number Publication date
US5368710A (en) 1994-11-29
US5226967A (en) 1993-07-13
EP0640244A1 (en) 1995-03-01
EP0640244A4 (en) 1995-07-12
DE69313275D1 (de) 1997-09-25
JP3378248B2 (ja) 2003-02-17
ES2105263T3 (es) 1997-10-16
ATE157197T1 (de) 1997-09-15
KR950701768A (ko) 1995-04-28
TW215968B (en) 1993-11-11
DE69313275T2 (de) 1997-12-04
KR100278232B1 (ko) 2001-02-01
WO1993023874A1 (en) 1993-11-25
EP0640244B1 (en) 1997-08-20

Similar Documents

Publication Publication Date Title
JPH07508125A (ja) プラズマチャンバに一様な電場を誘起するための誘電性ウインドウを有するプラズマ装置及び物体をそのプラズマ装置で取り扱う方法
JP3482904B2 (ja) プラズマ処理方法及び装置
US5622635A (en) Method for enhanced inductive coupling to plasmas with reduced sputter contamination
KR100826488B1 (ko) 균일 처리속도 생성방법 및 장치
JP5315243B2 (ja) 誘導結合コイルおよび該誘導結合コイルを用いた誘導結合プラズマ装置
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US6346915B1 (en) Plasma processing method and apparatus
JP4869059B2 (ja) アンテナ、プラズマ処理装置および基板の処理方法
EP1079671A2 (en) Antenna device for generating inductively coupled plasma
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP3854909B2 (ja) プラズマ処理装置
JP3254069B2 (ja) プラズマ装置
JP4013674B2 (ja) プラズマドーピング方法及び装置
KR930005012B1 (ko) 마이크로파 플라스마 에칭방법 및 장치
JP3650332B2 (ja) 低圧誘導結合高密度プラズマリアクター
WO2008031320A1 (fr) Bobine de couplage inductif et appareil au plasma à couplage inductif correspondant
CN110770880B (zh) 等离子处理装置
JP4598253B2 (ja) プラズマ装置
TWI787239B (zh) 有機材料的蝕刻方法及設備
JP3676680B2 (ja) プラズマ装置及びプラズマ生成方法
US7481904B2 (en) Plasma device
US20030127191A1 (en) Plasma generation apparatus
KR20050049169A (ko) 유도 결합형 플라즈마 발생 장치와 그 유도전기장 발생을위한 안테나 코일 구조
JP3379506B2 (ja) プラズマ処理方法及び装置
JP2750430B2 (ja) プラズマ制御方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081206

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091206

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101206

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101206

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111206

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111206

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121206

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees