KR100826488B1 - 균일 처리속도 생성방법 및 장치 - Google Patents

균일 처리속도 생성방법 및 장치 Download PDF

Info

Publication number
KR100826488B1
KR100826488B1 KR1020027006166A KR20027006166A KR100826488B1 KR 100826488 B1 KR100826488 B1 KR 100826488B1 KR 1020027006166 A KR1020027006166 A KR 1020027006166A KR 20027006166 A KR20027006166 A KR 20027006166A KR 100826488 B1 KR100826488 B1 KR 100826488B1
Authority
KR
South Korea
Prior art keywords
turn
antenna
layer
plasma
processing chamber
Prior art date
Application number
KR1020027006166A
Other languages
English (en)
Other versions
KR20020068346A (ko
Inventor
앤드류 디. Ⅲ 베일리
앨렌 엠. 쇼에프
앤드래스 쿠티
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20020068346A publication Critical patent/KR20020068346A/ko
Application granted granted Critical
Publication of KR100826488B1 publication Critical patent/KR100826488B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Abstract

플라즈마로 기판을 처리하기 위한 플라즈마 처리장치가 개시된다. 이 장치는 제 1RF주파수를 갖는 제 1RF전원 및 처리챔버를 포함한다. 추가로, 이 장치는 제 1RF전원에 작동적으로 결합되고 기판이 처리를 위해 처리 챔버 내에 배치될 때에 기판에 의해 제공된 평면 위에 배치되는 실질적으로 원형인 안테나를 포함한다. 이 실질적으로 원형인 안테나는 제 1RF전원에 의해 생성된 제 1RF에너지로 처리 챔버 내부에 전계를 유도하도록 구성된다. 실질적으로 원형인 안테나는 적어도 제 1평면에 제 1동심 루프쌍 및 제 2평면에 제 2동심 루프쌍을 구비한다. 제 1동심 루프쌍 및 제 2동심 루프쌍은 서로 실질적으로 동일하며 대칭적으로 정렬된다. 실질적으로 원형인 안테나는 처리 챔버 내부에 방위각 대칭 플라즈마를 형성한다. 또한, 이 장치는 안테나와 처리 챔버 간에 배치된 결합 윈도우를 포함한다. 이 결합 윈도우는 안테나로부터 처리 챔버의 내부로 제 1RF에너지의 통과를 허용하도록 구성된다. 이 결합 윈도우는 제 1층 및 제 2층을 갖는다. 제 2층은 실질적으로 원형인 안테나와 플라즈마 간에 형성된 용량성 결합을 실질적으로 억제하도록 배열된다. 실질적으로 원형인 안테나 및 결합 윈도우는 기판의 표면에 걸쳐서 실질적으로 균일한 처리속도를 생성하도록 함께 작용한다.
플라즈마 처리장치, 균일 처리속도, 안테나 설비, 결합 윈도우 설비

Description

균일 처리속도 생성방법 및 장치{METHOD AND APPARATUS FOR PRODUCING UNIFORM PROCESS RATES}
본 발명은 IC 제조용 반도체 기판이나 평판 패널 디스플레이 장치용 글라스 패널과 같은 기판을 처리하기 위한 장치 및 방법에 관한 것이다. 보다 상세하게는, 본 발명은 기판의 표면에 걸쳐서 높은 처리 균일성으로 기판을 처리할 수 있는 개선된 플라즈마 처리시스템에 관한 것이다.
플라즈마 처리시스템은 오랜 기간동안 사용되어 왔다. 수년간, 유도결합 플라즈마 소스, 전자 사이클로트론 리조넌스(electron cyclotron resonance; ECR)소스 , 용량성 소스 등을 이용하는 플라즈마 처리시스템이 반도체 기판과 글래스 패널을 처리하는데 다양한 범위에 걸쳐서 도입 및 사용되어 왔다.
처리 동안, 다중 증착 및/또는 에칭단계가 통상적으로 사용된다. 증착 동안, 재료는 (글라스 패널 또는 웨이퍼의 표면 등의)기판 표면 상으로 증착된다. 가령, 다양한 형태의 규소, 산화규소, 질화규소, 금속 등과 같은 증착층이 기판의 표면 상에 형성될 수 있다. 역으로, 기판 표면 상의 소정 영역으로부터 재료를 선택적으로 제거하기 위해 에칭을 사용할 수도 있다. 예를 들면, 바이어스(vias), 접점, 또는 트렌치(trench)와 같은 에칭된 특정부를 기판의 층에 형성할 수도 있다.
하나의 특정한 플라즈마 처리방법에서는 유도성 소스를 사용하여 플라즈마를 생성한다. 도 1은 플라즈마 처리용으로 사용되는 종래의 유도성 플라즈마 처리 반응기(100)를 나타낸다. 통상적인 유도성 플라즈마 처리 반응기는 유전 윈도우(106) 상에 배치된 안테나 또는 유도코일(104)을 갖는 챔버(102)를 포함한다. 통상적으로, 안테나(104)는 제 1RF전원(108)에 작동적으로 결합된다. 또한, 가령, 에칭액 소스 가스와 같은 기상(氣相)의 소스재료를 유전 윈도우(106)와 기판(112)간의 RF 유도 플라즈마 영역으로 방출하기 위해 배치된 챔버(102)내에는 가스 포트(110)가 제공된다. 기판(112)은 챔버(102)내로 도입된 다음, 일반적으로 전극으로 작용하며 제 2RF전원(116)에 작동적으로 결합되는 척(114)상에 놓여진다.
플라즈마를 생성하기 위해서, 처리가스는 가스 포트(110)를 통해서 챔버 (102)내로 주입된다. 다음에, 전력은 제 1RF전원(108)을 사용하는 유도코일(104)로 공급된다. 공급된 RF전원은 유전 윈도우(106)를 통해 결합되며, 커다란 전계가 챔버(102)내부에 유도된다. 보다 상세하게는, 전계에 응답하여, 순환 전류가 챔버(102)내에 도입된다. 전계는 챔버 내부에 존재하는 소수의 전자를 가속시킴으로써 처리가스의 가스 분자와의 충돌을 일으킨다. 이러한 충돌은 이온화 및 방전 또는 플라즈마(118) 의 점화를 가져온다. 종래에 잘 알려져 있는 바와 같이, 이러한 강한 전계가 가해질 때 처리가스의 중성 가스분자는 전자를 잃게 되며, 양전기로 충전된 이온을 남긴다. 결과적으로, 양전기로 충전된 이온, 음전기로 충전된 전자 및 중성 가스분자( 및/ 또는 원자)는 플라즈마(118)내부에 포함된다. 자유전자의 생성속도가 그들의 손실속도를 넘는 순간, 플라즈마는 가열된다.
일단 플라즈마가 형성되면, 플라즈마 내부의 중성 가스는 기판의 표면 쪽으로 향한다. 예를 들면, 기판에서 중성 가스분자의 존재에 기여하는 하나의 메커니즘은 확산(즉, 챔버 내부에 있어서의 분자의 랜덤한 운동)일 수도 있다. 그러므로, 중성류(가령, 중성 가스분자)의 층은 대체로 기판(112)의 표면을 따라 발견될 수도 있다. 마찬가지로, 바닥전극(114)에 전원이 인가되면, 이온은 기판쪽으로 가속됨으로써 중성류와의 결합을 통해 에칭 반응을 활성화시킨다.
상술한 바와 같은 유도성 플라즈마 시스템에 봉착된 하나의 문제점은 기판에 걸친 가령, 불균일한 에칭속도와 같은 에칭성능의 변화에 있었다. 즉, 기판의 어느 하나의 영역은 다른 영역과 다르게 에칭된다. 결과적으로, 가령 임계 치수, 종횡비 등과 같은 집적회로와 관련된 파라미터를 제어하는 것이 매우 힘들다. 또한, 불균일한 에칭속도는 반도체 회로에서의 디바이스 불량을 초래할 수도 있으며, 이는 통상적으로 제조상의 높은 코스트로 이어진다. 더구나, 전체 에칭속도, 에칭프로필, 미세 로딩, 선택비 등과 관련한 다른 문제점도 존재한다.
최근, 이들 불균일한 에칭속도는 기판의 표면에 걸쳐서 플라즈마 밀도에 변화를 초래하며, 즉 플라즈마가 보다 크거나 적은 양의 반응류(가령, 양전기로 충전된 이온)를 갖는 영역을 구비하게 된다. 이론에 속박되기를 원하지 않는 한, 플라즈마 밀도의 변화는 가령, 안테나, 유전 윈도우 및/또는 플라즈마와 같은 전력 결합의 전력 전달특성에서 발견되는 비대칭에 의해 생성되는 것으로 믿어진다. 전력 결합이 비대칭이면, 유도 전계의 순환전류가 비대칭이 되는 논거가 되며, 따라서 플라즈마의 이온화 및 점화는 비대칭이 될 것이다. 결과적으로, 플라즈마의 밀도변화를 초래하게 될 것이다. 예를 들면, 어떤 안테나 설비에서는 코일의 중심에서 강하고 코일의 외경에서는 약한 전류를 유도한다. 마찬가지로, 플라즈마는 (도 1의 플라즈마(118)로 도시한 바와 같이)처리 챔버의 중앙을 향해 모이는 경향이 있다.
비대칭 전력결합을 극복하기 위한 표준 기술은 비대칭을 보상하거나 균형을 맞추기 위한 것이었다. 예를 들면, 미약 전류 영역에서 전류밀도를 증대시키기 위하여 한쌍의 평판형 안테나를 사용하고, 상이한 반경으로 보다 원형인 루프를 형성하기 위하여 반경방향 부재를 나선형 안테나에 결합하고, 강한 전류 영역에서 전류밀도를 감소시키기 위하여 유전 윈도우 두께를 변화시키는 것이 있다. 그러나, 이들 밸런싱 방법은 방위각상으로 대칭의 전력결합을 제공하도록 의도되지 않았다. 즉, 그들은 여전히 플라즈마에 변화를 가져오는 방위각상의 변화를 초래하는 경향이 있으며, 이것은 에칭 균일성을 얻기가 힘들게 된다.
더구나, 오늘날 사용되는 대부분의 안테나 설비는 이 안테나와 플라즈마 간에 여러 가지 형태의 용량성 결합을 형성한다. 용량성 결합은 안테나와 플라즈마 간의 전압 강하에 의해 생성된다. 전압 강화는 특히 결합 윈도우에서 또는 그 근처에서 시스 전압(sheath voltage)을 형성한다. 대부분 시스 전압은 (전원이 인가된) 바닥 전극과 같이 작용하는 경향이 있다. 즉, 플라즈마 내의 이온은 시스를 통해서 가속되는 경향이 있으며, 그로 인해 음전기로 충전된 결합 윈도우 쪽으로 가속된다. 결과적으로, 가속되는 이온은 결합 윈도우의 표면을 가격하는 경향이 있다.
이들 가격되는 이온은 그들이 기판 상에서 작용하는 것과 실질적으로 동일한 효과를 결합 윈도우 상에 가져오는데, 즉, 이는 결합 윈도우 표면 상에 재료를 에칭 또는 증착할 것이다. 이것은 바람직하지 않은 및/또는 예상치 못한 결과를 가져올 수도 있다. 예들 들면, 증착된 물질이 결합 윈도우 상에 축적될 수도 있으며, 특히 재료가 기판 표면 상에 얇은 조각으로 벗겨질 때 유해한 입자원이 될 수 있다. 결합 윈도우로부터의 물질의 제거는 유사한 효과를 갖는다. 결국, 두께의 증가 또는 감소는 예를 들면, 전력 결합(가령, 안테나, 유전 윈도우, 플라즈마)의 전력 전달성능에 프로세스 변화를 일으키게 된다. 상술한 바와 같이, 프로세스 변화는 불균일한 처리를 야기할 수도 있으며, 이것은 반도체 회로에서 디바이스 불량을 가져온다.
상술한 바의 관점에서 보면, 기판의 표면에서 균일한 처리를 생성하기 위한 개선된 방법 및 장치를 필요로 한다. 또한, 안테나와 플라즈마 간의 용량성 결합을 줄이기 위한 개선된 방법 및 장치를 필요로 한다.
본 발명은 일실시예에 있어서 플라즈마로 기판을 처리하기 위한 플라즈마 처리장치에 관한 것이다. 이 장치는 제 1RF주파수를 갖는 제 1RF전원을 포함한다. 추가로 이 장치는 처리 챔버를 포함한다. 이 장치는 제 1RF전원에 작동적으로 결합되고 기판이 처리를 위해 처리 챔버 내에 배치될 때에 기판에 의해 정의되는 평면 위에 배치되는 실질적으로 원형인 안테나를 포함한다. 이 실질적으로 원형인 안테나는 제 1RF 전원에 의해 생성된 제 1RF에너지로 처리 챔버 내부에 전계를 유도하도록 배열된다. 실질적으로 원형인 안테나는 적어도 제 1평면에 제 1동심 루프쌍 및 제 2평면에 제 2동심 루프쌍을 포함한다. 제 1동심 루프쌍 및 제 2동심 루프쌍은 서로 실질적으로 동일하며 대칭적으로 정렬된다. 실질적으로 원형인 안테나는 처리 챔버 내부에 방위각상으로 대칭인 플라즈마를 형성한다.
또한, 이 장치는 안테나와 처리 챔버 간에 배치된 결합 윈도우를 포함한다. 이 결합 윈도우는 안테나로부터 처리 챔버의 내부로 제 1RF에너지의 통과를 허용하도록 구성된다. 이 결합 윈도우는 제 1층 및 제 2층을 갖는다. 제 2층은 실질적으로 원형인 안테나와 플라즈마 간에 형성되는 용량성 결합을 실질적으로 억제하도록 구성된다. 실질적으로 원형인 안테나와 결합 윈도우는 기판의 표면에 걸쳐서 실질적으로 균일한 처리속도를 생성하도록 함께 작용한다.
본 발명은 다른 실시예에 있어서, 처리 챔버 내부에서 기판을 처리하기 위한 실질적으로 원형인 안테나 설비에 관한 것이다. 안테나 설비는 제 1RF전원에 작동적으로 결합되고, 기판이 처리를 위해 처리 챔버 내에 배치될 때에 기판에 의해 정의되는 평면 위에 배치된다. 이 안테나 설비는 제 1평면에 제 1동심 루프쌍 및 제 2평면에 제 2동심 루프쌍을 포함한다. 제 2동심 루프쌍은 제 1동심 루프쌍에 작동적으로 결합된다. 제 2동심 루프쌍은 제 1동심 루프쌍과 실질적으로 동일하며 대칭적으로 정렬된다. 제 2동심 루프쌍은 제 1동심 루프쌍에 근접하고, 제 1동심 루프쌍은 제 2동심 루프쌍 위에 배치된다. 이 실질적으로 원형인 안테나 설비는 제 1RF 전원에 의해 생성된 제 1RF에너지로 처리 챔버 내부에 방위각 대칭 전계를 형성하고, 방위각 대칭 전계는 실질적으로 방위각 대칭 플라즈마를 형성하며, 이는 기판의 표면에 걸쳐서 실질적으로 균일한 처리속도를 생성한다.
본 발명은 또 다른 실시예에 있어서, 처리 챔버 내부에서 플라즈마로 기판을 처리하기 위한 결합 윈도우 설비에 관한 것이다. 이 결합 윈도우는 안테나와 처리 챔버 간에 배치된다. 결합 윈도우는 안테나로부터 처리 챔버 내부로 제 1RF에너지의 통과를 허용하도록 구성된다. 이 처리는 안테나와 플라즈마 간에 용량성 결합을 형성한다. 이 설비는 유전성 물질로 형성되는 제 1층 및 처리 동안에 처리 챔버 내에 존재하는 플라즈마에 충분히 견디는 도전성 물질로 형성되는 제 2층을 갖는다. 제 2층은 제 1층과 접합되고, 제 2층은 처리 챔버의 내주면의 일부를 형성한다. 또한 제 2층은 처리 동안에 안테나와 플라즈마 간에 형성되는 용량성 결합을 실질적으로 억제하도록 구성된다.
본 발명은 한정을 위해서가 아닌 예시를 위해서 설명되며, 첨부한 도면의 도들에서 동일 참조번호는 유사한 요소를 가리킨다.
도 1은 플라즈마 치리를 위해서 사용되는 종래의 유도성 플라즈마 처리 반응기를 나타내는 도면이다.
도 2는 본 발명의 일실시예에 따르는 안테나 설비 및 결합 윈도우 설비를 포 함하는 플라즈마 처리시스템을 나타내는 도면이다.
도 3은 본 발명의 일실시예에 따르는 멀티 턴(mluti-turn)안테나 설비를 나타내는 도면이다.
도 4는 본 발명의 일실시예에 따르는 멀티 턴 안테나 설비의 측면 단면도이다.
도 5는 본 발명의 일실시예에 따르는 다층 결합 윈도우의 측면 단면도이다.
이하 본 발명을 첨부 도면에 도시된 바와 같이 몇 개의 바람직한 실시예에 관한 상세한 설명을 통해 설명할 것이다. 이하의 설명에 있어서, 수많은 구체적인 상세한 내용은 설명은 본 발명의 이해를 돕기 위해 제공한 것임을 밝힌다. 그러나, 이들 구체적인 상세한 내용의 일부 또는 전부가 없이도 본 발명이 실시될 수 있다는 것은 당업자에게 있어 명백할 것이다. 다른 견지에서, 본 발명을 불필요하게 모호하게 하지 않기 위해, 공지의 프로세스 단계는 설명하지 않았다.
기판의 처리에 있어서, 개선을 위해서 공정 기술자가 노력하는 가장 중요한 파라미터 중 하나는 처리의 균일성이다. 이 용어는 여기에서 사용하는 바와 같이, 에칭 균일성은 기판의 표면에 걸쳐서, 에칭속도, 미세 로딩, 마스크 선택비, 하층 선택비, 임계 치수제어, 및 측벽 각도 및 거칠기와 같은 프로필 특성을 포함하는 전체 에칭 처리의 균일성을 가리킨다. 만일 에칭이 매우 균일하면, 가령 기판 상의 다른 점에서의 에칭속도는 실질적으로 동일한 경향을 갖는 것이 기대된다. 이 경우에 있어서, 다른 영역이 부적절하게 에칭된 상태로 남아있는 동안에 기판의 어느 한 지점은 부적절하게 오버 에칭되는 것을 줄일 것이다.
본 발명은 균일한 에칭을 생성할 수 있는 기판 처리용 플라즈마 처리시스템을 제공한다. 이 플라즈마 처리시스템은 RF전원과 처리 챔버를 포함한다. 또한, 이 플라즈마 처리시스템은 제 1RF전원에 작동적으로 결합되고 기판이 처리를 위해 처리 챔버 내에 배치될 때에 기판에 의해 정의되는 평면 위에 배치되는 실질적으로 원형인 안테나를 포함한다. 이 실질적으로 원형인 안테나는 RF 전원에 의해 생성된 RF에너지로 처리 챔버 내부에 전계를 유도하도록 구성된다. 실질적으로 원형인 안테나는 적어도 제 1평면에 제 1동심 루프쌍 및 제 2평면에 제 2동심 루프쌍을 갖는다. 제 1동심 루프쌍 및 제 2동심 루프쌍은 실질적으로 동일하며 서로 공통축을 따라서 대칭으로 정렬된다.
또한, 플라즈마 처리장치는 안테나와 처리 챔버 간에 배치된 결합 윈도우를 포함한다. 이 결합 윈도우는 안테나로부터 처리 챔버 내부로 제 1RF에너지를 통과시키도록 구성된다. 또한, 결합 윈도우는 제 1층 및 제 2층을 갖는다. 제 2층은 결합 윈도우를 통과하는 전압의 적어도 일부를 흡수함으로써 윈도우와 플라즈마 간에 형성된 전압 강하를 줄이도록 구성된다. 실질적으로 원형인 안테나 및 상기 결합 윈도우는 처리 챔버 내부에서 방위각상 대칭인 플라즈마를 형성할 수 있게 함께 동작하도록 배열되어, 기판의 표면에 걸쳐서 실질적으로 균일한 처리속도를 생성한다.
본 발명의 하나의 측면에 따르면, 기판 표면에 걸친 처리의 균일성은 균일한 플라즈마를 생성하도록 구성된 개선된 안테나 설비의 제공에 의해서 달성된다. 상술한 바와 같이, 전력이 안테나로 공급되어 처리 챔버 내부에 전계 및 그로 인한 순환 전류를 유도한다. 마찬가지로, 전계는 처리 챔버 내의 전자를 가속시킴으로써 그들을 처리 가스의 가스 분자와 충돌시켜, 결과적으로 이온화 및 플라즈마의 점화를 가져온다.
플라즈마의 형성에 이어서, 전력이 하부전극으로 공급되고 이온은 기판쪽으로 가속된다. 기판 표면에서 가속된 이온 및 중성 반응물은 기판 표면 상에 증착된 물질과 반응함으로써, 기판을 처리한다. 일반적으로, 플라즈마의 밀도가 기판의 어느 한 영역보다 클 때, 불균일 처리속도가 생성될 것이다. 따라서, 개선된 안테나 설비는 방위각 대칭 전계를 유도함으로써 이들 플라즈마 변화를 감소시켜 보다 균일한 처리 속도를 생성하도록 구성된다.
일실시예에 있어서, 개선된 안테나는 방위각 대칭 순환 전류를 생성하도록 구성된다. 이론에 속박되기를 원하지 않는 한, 전력 결합의 전달 라인특성은 유도된 전계에 응답하여 순환전류에서 방위각상의 변화를 일으키는 것으로 믿어진다. 이들 전달 라인특성은 안테나의 길이를 따라서 고전압 및 저전압의 변동영역을 형성함으로써 유도 전계 내에 고전류 및 저전류, 즉 전압이 높을 때 전류는 낮고, 전압이 낮을 때 전류는 높은 밀도의 변동영역을 가져오는 정상파를 생성하는 경향이 있다. 당업자에게 잘 알려진 바와 같이, 플라즈마 내의 전력 배치는 전류밀도에 좌우된다. 예를 들면, 전류밀도가 높으면 플라즈마 밀도는 높아지는 경향이 있으며, 전류밀도가 낮으면 플라즈마 밀도는 낮아지는 경향이 있다. 그러므로, 방위각 대칭 플라즈마는 통상적으로 전류밀도가 고전류 및 저전류의 변동영역을 가질 때 생성된다.
보다 상세하게는, RF에너지의 파장이 안테나 길이보다 작을 때, 보다 많은 노드가 정상파 패턴에서 나타날 것이다. 일반적으로, 정상파는 안테나의 전기적 길이=1/2(파장)*n, 여기에서, n=노드의 수인 방정식에 의해 결정된다. 대부분의 안테나 설비는 길이가 약 1과 1/2 내지 약 2와 1/2파장이며, 결과적으로 약 3 내지 5노드를 생성한다. 이들 노드는 상술한 저전압에 상응한다.
개선된 안테나는 전력 분배 시스템에서 전달 라인보다는 집중 회로소자로서 작용하도록 구성됨으로써 이러한 불이익을 극복한다. 즉, 개선된 안테나는 작동 주파수에서 RF에너지의 파장 보다 작은 장치 길이를 갖도록 구성된다. 결과적으로, 노드의 양은 감소되며, 그로 인해 유도 전류의 방위각상의 변화는 실질적으로 제거되어 그러한 전달 라인 유사는 더 이상 유지되지 않는다.
일실시예에 있어서, 개선된 안테나 설비는 단일 턴 안테나와 같이 작용하는 멀티 턴 안테나이다. 이 멀티 턴 안테나는 복수의 루프를 포함하는 실질적으로 단일 도전성 소자이며, 이것은 서로 근접하게 권선되어 적층된다. 루프를 서로 근접하게 권선 및 적층함으로써, 안테나의 전체 크기(가령, 외경)를 유도 순환전류의 강도에 영향을 주지 않고도 작게 만들 수 있다. 또한, 안테나의 크기를 감소시킴으로써, 안테나의 전체 길이는 작게 만들 수 있으며, 결과적으로 안테나의 전달 라인특성을 감소시킨다. 또한, 루프가 서로 근접하게 배치되므로, 턴 사이에서 주로 발견되는 반경방향의 변화 역시 감소될 수 있다. 마찬가지로, 개선된 안테나 설비는 방위각 대칭 순환 전류를 유효하게 유도한다. 따라서, 방위각상으로 대칭인 순환전류는 방위각 대칭 플라즈마를 형성함으로써, 결과적으로 기판의 표면에 균일한 플라즈마 처리를 생성하는 경향이 있다.
멀티 턴 적층 안테나 설비의 다른 측면은 셀프 보호특성, 즉 플라즈마가 윈도우에 인접한 턴에 의해서 안테나 터미널 전압으로부터 보호되는데 있다. 이것은 용량성 결합 및 이로 인한 윈도우 부식의 중대한 감소를 가져오며, 이들 모두는 이하에서 보다 상세하게 설명한다.
본 발명의 다른 측면에 따르면, 개선된 결합 윈도우는 안테나와 플라즈마 사이에서 발생하는 용량성 결합을 감소시키도록 구성된다. 대부분의 전력 결합 설비(가령, 안테나, 결합 윈도우, 및 플라즈마)는 안테나와 플라즈마 사이에 약간의 용량성 결합을 형성한다. 용량성 결합은 안테나와 플라즈마 사이에서 발생하는 전압강하에 의해 형성된다. 이 전압강하는 전형적으로 결합 윈도우에 근접하게 시스 전압을 형성한다. 당업자에게 잘 알려진 바와 같이, 시스 전압은 플라즈마에 부가적인 변화를 일으킬 수 있으며, 가령 시스 전압이 플라즈마를 윈도우로부터 밀어내어 유도 결합계수의 감소를 초래할 수 있다. 또한, 시스 전압은 결합 윈도우에 대한 이온의 충격으로 인한 중대한 입자 오염마저 생성할 수 있다. 더구나, 윈도우의 이온 충격에 의해 사용된 어떤 전력은 통상적으로 플라즈마의 생성을 위해서 이용할 수 없으며, 그와 상응하여 주어진 전력에 대한 낮은 플라즈마 밀도를 일으킨다.
안테나와 플라즈마 간의 용량성 결합을 줄이기 위해서, 개선된 결합 윈도우는 함께 배치되는 유도층 및 보호층을 포함하도록 구성된다. 처리 챔버 내부에 배치되는 이 보호층은 전압을 결합 윈도우의 표면으로부터 멀리 향하도록 하는 정전 보호체로서 작용하도록 구성되는 것이 바람직하다. 이 보호층은 플라즈마에 대한 용량성 결합을 근본적으로 억제한다. 또한, 이 보호층은 유도성(curl B, grad F=0 타입)전계가 실질적으로 변하지 않는 동안 용량성(정전형, 전위 구배)전계를 제거하도록 구성된다. 즉, 결합 윈도우는 안테나가 (보호층에 대한 실질적인 손실없이)플라즈마를 유도적으로 형성하는 동안 결합 윈도우를 통해서 직접적인 용량성 결합을 차단하도록 구성된다.
보다 상세하게는, 보호층은 도전성 또는 반도전성 물질로 형성되고 전기적으로 격리된다. 그러므로, 안테나와 플라즈마 간에 보통 발생하던 전압강하는 이제 안테나와 보호층 사이에서 발생한다. 따라서, 결합 윈도우의 표면 근처에서의 시스 전압은 실질적으로 감소되며, 결과적으로 유도 결합계수를 증가시켜 결합 윈도우의 비생산적인 이온 충돌로 인한 전력 손실을 감소시킨다.
또한, 비접지 정전 보호체는 균일한 정전계를 생성하며, 보호체 영역 상에서 정전계의 변화만을 보호한다. 이 후자의 특징은 플라즈마의 충돌을 촉진하는데 사용될 수도 있다. 또한, 보호층이 처리 챔버의 내부에 노출되므로, 플라즈마 처리의 열적, 화학적 및 물리적 영향을 저지할 수 있는 물질로 형성되는 것이 바람직하다.
본 발명의 특징 및 이익은 도면과 이하의 설명을 참조하여 보다 잘 이해할 수 있을 것이다.
도 2는 본 발명의 일실시예에 따르는 플라즈마 처리시스템(200)을 나타내는 것으로, 이 시스템은 기판(206)의 처리를 위해서 플라즈마(204)가 가열되고 유지될 수 있는 처리 챔버(202)를 포함한다. 기판(206)은 처리될 소재를 나타내는 것으로, 이것은 가령 에칭, 증착 등이 행해질 반도체 기판이나 평판 패널 디스플레이로 만들어질 글라스 패널을 나타낼 수도 있다. 또한, 처리 챔버(202)는 실질적으로 원통형 형상으로 배열되고, 실질적으로 수직인 챔버벽(208)을 갖는 것이 바람직하다. 그러나, 본 발명은 상술한 바로 한정되는 것은 아니며 다양한 구성의 처리 챔버가 사용될 수도 있음은 물론이다.
플라즈마 처리시스템(200)은 안테나 설비(210) 및 결합 윈도우 설비(212)를 추가로 포함하며, 이들은 플라즈마(204)에 전력을 결합하도록 구성된다. 안테나 설비(210)는 약 0.4㎒ 내지 약 50㎒ 범위의 주파수를 갖는 RF에너지로 안테나 설비(210)를 공급하도록 구성되는 제 1RF전원(214)에 결합된다. 결합 윈도우(212)는 안테나 설비(210)로부터 상기 처리 챔버 내부로의 제 1RF에너지의 통과를 허용하도록 구성된다. 결합 윈도우(212)는 기판(206)과 안테나 설비(210)사이에 배치되는 것이 바람직하다.
또한, 안테나 설비(210)는 플라즈마(204)의 형성을 촉진하도록 결합 윈도우에 충분히 근접되어야 한다. 즉, 결합 윈도우에 안테나 설비가 근접할수록 챔버 내에 생성된 전류밀도는 더욱 커진다. 또한, 안테나 설비(210)는 처리 챔버(202)와 기판(206) 모두에 동축으로 배열되는 것이 바람직하다. 안테나 설비의 대칭 배치는 기판 표면에 걸쳐서 플라즈마의 균일성을 강화할 수 있으나, 모든 처리에서 필요로 하는 것은 아님을 이해하여야 한다. 안테나 설비(210)와 결합 윈도우(212)는 이하에서 보다 상세하게 설명한다.
가스 인젝터(215)는 통상적으로 챔버(202)내에 제공된다. 가스 인젝터(215)는 챔버(202)의 내주면 둘레에 배치되고 가령, 에칭액 소스 가스와 같은 기상의 소스물질을 결합 윈도우(212)와 기판(206)간의 RF 유도 플라즈마 영역으로 방출하도록 배열되는 것이 바람직하다. 다르게는, 기상의 소스물질은 챔버 자체의 벽에 형성된 포트로부터 또는 유전 윈도우에 배치된 샤워 헤드를 통해 방출될 수 있다. 가스의 대칭 분포는 기판 표면에 걸쳐서 플라즈마 균일성을 높일 수도 있으나, 모든 처리에서 필요로 하는 것은 아님을 이해하여야 한다. 예시적인 플라즈마 처리시스템에서 사용될 수도 있는 가스 분배시스템의 예는 동일날짜로 출원되고 여기에 참조로 인용하는 "동적 가스 분배제어를 갖는 플라즈마 처리시스템(PLASMA PROCESSING SYSTEM WITH DYNAMIC GAS DISTRIBUTION CONTROL)"(대리인 문서번호:LAM1P0123/P0557)란 명칭의 공동 계류중인 특허출원에 보다 상세하게 설명되어 있다.
대부분의 경우, 기판(206)은 챔버(202)내로 도입되어 척(216)위에 놓여지는데, 척은 처리 동안에 기판을 유지하도록 구성된다. 척(216)은 가령, 정전력에 의해서 기판(206)을 척의 표면에 고정시키는 ESC(정전)척을 나타낼 수도 있다. 통상적으로, 척(216)은 바닥 전극으로서 작용하며 제 2RF전원(218)에 의해 바이어스되는 것이 바람직하다. 또한, 척(216)은 실질적으로 원통형상이 되도록 배열되고 처리 챔버(202)와 축방향으로 정렬됨으로써, 처리 챔버와 척이 원통상으로 대칭이 되도록 하는 것이 바람직하다. 척(216)은 기판(206)의 로딩 및 언로딩을 위한 제 1위치(도시 생략)와 기판의 처리를 위한 제 2위치(도시 생략)사이에서 이동하도록 구성된다.
계속해서 도 2를 참조하면, 챔버(202)의 벽과 척(216)사이에는 배기포트 (220)가 배치된다. 그러나, 배기포트의 실제 위치는 각각의 플라즈마 처리시스템의 구체적인 설계에 따라서 변화될 수도 있다. 하지만, 높은 균일도가 중요한 경우에는, 원통상으로 대칭인 배기 포트는 상당히 유용할 수 있다. 배기 포트(220)는 처리 동안에 형성된 부산물 가스를 배기하도록 구성되는 것이 바람직하다. 또, 배기 포트(220)는 통상적으로 챔버(202)의 외부에 배치되는 터보분자 펌프(도시 생략)에 결합된다. 당업자에게 잘 알려진 바와 같이, 터보분자 펌프는 챔버(202)내부의 압력을 적절하게 유지한다.
또한, 에칭 처리 등과 같은 반도체 공정의 경우, 처리 챔버 내의 다수의 파라미터는 높은 내구력 결과를 유지하기 위해 정밀하게 제어될 필요가 있다. 처리 챔버의 온도는 그같은 파라미터 중의 하나이다. 에칭 내구력( 및 결과로 얻어지는 반도체 기반 디바이스 성능)은 시스템 내의 구성요소들의 온도 변동에 매우 민감할 수 있으며, 따라서 정밀한 제어가 요구된다. 예를 들면, 온도 제어를 달성하기 위한 예시적인 플라즈마 처리시스템에서 사용할 수 있는 온도 관리 시스템은 동일자로 출원되고 참조로 여기에 인용되는 "플라즈마 처리장치용 온도제어 시스템(TEMPERATURE CONTROL SYSTEM FOR PLASMA PROCESSING APPARATUS)"(대리인 문서번호:LAM1P0124/P0558)이란 명칭으로 공동 계류중인 특허 출원서에 보다 상세히 설명되어 있다.
또한, 플라즈마 처리에 있어서 정밀한 제어를 달성하기 위한 다른 중요한 조건은 가령 챔버벽과 같은 내부표면 등의, 플라즈마 처리 챔버에 이용되는 물질이다. 또 다른 중요한 조건은 기판을 처리하는데 사용한 가스의 성분에 있다. 예를 들면, 예시적인 플라즈마 처리시스템에서 사용될 수 있는 물질 및 가스의 성분 모두는 동일자로 출원되고 참조로 여기에 인용되는 "플라즈마 처리시스템용 물질 및 가스성분(MATERIALS AND GAS CHEMISTRIES FOR PLASMA PROCESSING SYSTEMS)"(대리인 문서번호:LAM1P0128/P0561-1)이란 명칭으로 공동 계류중인 특허 출원서에 보다 상세히 설명되어 있다.
플라즈마를 형성하기 위해서, 처리 가스는 가스 인젝터(215)를 통해서 챔버 (202)내로 주입된다. 다음에 전력은 RF 전원(214)을 이용하여 안테나 설비(210)에 공급되며, 결합 윈도우(212)를 통해서 챔버(202)내부에 큰 전계가 도입된다. 전계는 챔버 내부에 존재하는 적은 수의 전자를 가속시킴으로써 그들이 처리 가스의 가스 분자와 충돌되도록 한다. 이들 충돌은 이온화 및 방전 또는 플라즈마(204)의 점화를 가져온다. 당업자에게 잘 알려진 바와 같이, 처리 가스의 중성 가스 분자는 이들이 강한 전계를 받을 때 전자를 잃어 양전기로 충전된 이온으로 남는다. 결과적으로, 양전기로 충전된 이온, 음전기로 충전된 전자 및 중성 가스 분자는 플라즈마(204)내부에 포함된다.
일단 플라즈마가 형성되면, 플라즈마 내부의 중성 가스분자는 기판의 표면쪽으로 향하는 경향이 있다. 예를 들면, 기판에서 중성 가스분자의 존재에 기여하는 메커니즘 중의 하나는 확산(즉, 챔버 내부에서의 분자의 랜덤한 운동)일 수 있다. 따라서, 중성류(가령, 중성 가스분자)의 층은 통상적으로 기판(206)의 표면을 따라 발견된다. 마찬가지로, 바닥전극(216)에 전원이 인가되면, 이온은 기판쪽으로 가속되는 경향이 있고, 여기서, 그들은 중성류와 결합되어 기판 처리, 즉 에칭, 증착 또는 기타를 활성화시킨다.
대부분의 경우, 플라즈마(204)는 챔버의 상부영역(가령, 활성영역)에 주로 잔류하지만, 플라즈마의 일부는 전체 챔버에 충진될 수 있다. 플라즈마는 일반적으로 챔버 내의 거의 모든 곳에 유지될 수 있다. 예를 들면, 플라즈마는 펌핑 설비의 아래와 같은 기판 아래의 영역(가령, 비활성 영역)에 충진될 수도 있다. 만일 플라즈마가 이들 영역에 도달하면, 영역의 에칭, 증착 및 식각 중 하나 이상이 실행될 수 있으며, 이는 가령, 영역의 에칭 또는 증착된 재료의 박리에 의해서 처리 챔버 내부에 입자의 혼합을 가져올 수도 있다.
또한, 불균일한 플라즈마는 비균일 플라즈마를 형성하도록 의도되고, 처리 성능, 즉 에칭 균일성, 전체 에칭속도, 에칭 프로필, 미세 로딩, 선택비 등에서의 변화를 가져올 수 있다. 상술한 영향을 줄이기 위해서, 플라즈마를 제한하도록 플라즈마 제한설비를 사용할 수도 있다. 예를 들면, 예시적인 플라즈마 처리시스템에서 사용할 수 있는 플라즈마 제한설비는 동일자로 출원되고 참조로 여기에 인용되는 "플라즈마의 체적 제어방법 및 장치"(대리인 문서번호:LAM1P0129/P0561-2)이란 명칭으로 공동 계류중인 특허 출원서에 보다 상세히 설명되어 있다.
본 발명의 일 측면에 따르면, 플라즈마 처리장치는 멀티 턴 안테나 설비를 구비함으로써, 플라즈마 처리장치 내부에서의 방위각 대칭 전계가 감소하게 된다. 도 3 및 4는 본 발명의 일실시예에 따르는 멀티 턴 안테나 설비(400)를 나타낸다. 이 멀티 턴 안테나 설비(400)는 가령 도 2에 도시된 안테나(210) 및 RF 전원(214)에 각각 상응하는 RF 전원(404)에 작동적으로 결합된 멀티 턴 안테나(402)를 포함한다.
상술한 바와 같이, 만일 안테나의 길이가 전력 결합의 전달 라인기술보다 파 장이 작다면, 더 이상 적절하지 않으며, 전력 결합은 일괄 회로소자로서 작용하기 시작한다. 그러므로, 멀티 안테나(402)는 전달 에너지의 파장보다 작은 길이를 갖도록 배열된다. 안테나의 길이를 줄이기 위해서, 보다 적은 노드가 정상파 패턴으로 생성되고, 그로 인해 안테나의 방위각상 방향의 고전압 및 저전압 영역은 실질적으로 감소된다.
멀티 턴 안테나는 서로 근접하게 배치되는 멀티 턴을 가짐으로써 생성된 전계가 단일 턴 안테나를 형성하도록 배열되는 것이 바람직하다. 보다 상세하게는, 턴을 서로 근접하게 배치함으로써 안테나의 전류 생성 용량이 증대된다. 가령, 안테나가 서로 근접한 4개의 턴으로 형성되면 플라즈마를 통한 전류는 안테나와 같이 강해져 약 4배가 될 것이다. 마찬가지로, 이 집적된 전류는 보다 균일하게 집중된 플라즈마로 옮겨진다. 결과적으로, 처리 챔버의 직경에 대한 안테나의 직경은 작게 만들 수 있으며, 그로 인해 안테나의 길이를 감소시킬 수 있다. 안테나의 실제 크기는 이하에서 상세하게 설명한다.
멀티 턴 안테나(402)는 실질적으로 원형이며 적어도 제 1평면에 제 1동심 루프쌍(406) 및 제 2평면에 제 2동심 루프쌍(410)을 구비한다. 제 1동심 루프쌍(406) 및 제 2동심 루프쌍(410)은 실질적으로 동일하며 안테나 축(414)을 따라 서로 대칭으로 정렬되는 것이 바람직하다. 실질적으로 원형인 안테나는 실질적으로 원형인 전계를 형성하며, 결과적으로 실질적으로 원형인 플라즈마를 생성하게 됨은 물론이다. 따라서, 처리 챔버 및 기판이 원형이므로, 그같은 이유로 인해 실질적으로 원형인 플라즈마는 기판의 표면에서 보다 균일한 처리를 생성하기 쉽다.
비록 본 발명은 실질적으로 원형인 것에 대해 도시하고 설명하였으나, 챔버 설계에서 어떤 비대칭을 디스플레이하거나 보상하기 위한 그러한 다른 형상의 기판을 필요로 하는 적용을 위해서 적절한 안테나 형상이 사용될 수 있음을 이해하여야 한다. 예를 들면, 상술한 바와 동일한 원리를 따르는 원형 코너를 구비한 타원형 또는 사각형도 잘 동작할 수 있다.
또한, 제 1동심 루프쌍(406)은 제 2동심 루프쌍(410)위에 적층되는 것이 바람직하다. 전형적으로 열전압 및 모든 전압노드가 윈도우에 아주 근접하게 배치되므로 하나의 평면 안테나는 용량성 결합의 양을 증가시킨다. 그러나, 제 1동심 루프쌍과 제 2동심 루프쌍 사이에 적층된 안테나 및 대칭 정렬로 인해서, 높은 열전압은 제 2동심 루프쌍에 의해 보호되는 것이 이익적이다. 보다 상세하게는, 제 1동심 루프쌍과 제 2동심 로프쌍 간에 주로 발생하는 전압강하(가령, 용량성 결합)는 제 2동심 루프쌍이 전압강하에 대한 도전성 경로를 제공하므로 실질적으로 감소되어, 전압강하는 플라즈마와 상호작용하지 않게 된다.
또한, 제 1동심 루프쌍(406)은 제 1턴(416)과 제 4턴(418)을 바람직하게 구비하고, 제 2동심 루프쌍(410)은 제 2턴(420)과 제 3턴(422)을 바람직하게 구비한다. 또한, 제 1턴(416)은 실질적으로 제 2턴(420)과 동일하고 그위에 배치되며, 제 4턴(418)은 제 3턴(422)과 실질적으로 동일하고 그위에 배치된다.
제 1턴(416)은 제 2턴(420)에 작동적으로 결합되고, 제 2턴(420)은 제 3턴(422)에 작동적으로 결합되고, 제 3턴(422)은 제 4턴(418)에 작동적으로 결합되며, 각 턴은 전류가 안테나 축(414)둘레에서 동일 방향으로 흐르도록 배열된다. 일 실시예에 있어서, 멀티 턴 안테나는 단일 전도성 소자로 형성된다. 그러나, 멀티 턴 안테나가 서로 구조적으로 및 전기적으로 결합되는 분리된 부품으로 구성될 수 있다면 특별히 한정되는 것이 아님은 물론이다. 또한, 멀티 턴 안테나(402)는 입력 리드(424)와 출력 리드(426)를 구비한다. 입력 리드(424)는 제 1턴(416)에 작동적으로 결합되고, 출력 리드(426)는 제 4턴(418)에 작동적으로 결합된다. 따라서, RF전류는 입력 리드(424)와 출력 리드(426)간에 RF 전압을 인가함으로써 멀티 턴 안테나(402)를 통해서 흐를 수 있게 된다.
계속해서 도 3 및 4를 참조하면, 제 4턴(418)은 제 1턴(416)보다 큰 직경을 가지며, 제 3턴(422)은 제 2턴(420)보다 큰 직경을 갖는다. 비록 외측 턴(가령, 제 3 및 제 4턴)이 보다 큰 직경을 갖지만 그들은 내부 턴(가령, 제 1및 제 2턴)에 근접하게 배치된다. 즉, 제 4턴(418)은 제 1턴(416)에 근접하게 배치되는 것이 바람직하고, 제 3턴(422)은 제 2턴(420)에 근접하게 배치되는 것이 바람직하다. 그들이 근접함에 따라서, 멀티 턴 안테나는 단일 턴 안테나(가령, 실질적으로 턴 간에 공간이 없는)처럼 보이고 동작한다. 따라서, 반경방향으로의 고전류 또는 저전류 영역은 크게 감소된다.
당업자에게 잘 알려진 바와 같이, 2개의 도체 간의 작은 공간은 전형적으로 2개의 도체 간에 아크를 생성한다. 그러므로, 외부 턴과 내부 턴 간의 공간은 아크를 제거하는 거리에 의해서 제한된다. 그러나, 본 발명의 일실시예에 있어서, 내부 턴과 외부 턴 간의 아크를 실질적으로 제거하면서, 공간은 외부 턴과 내부 턴이 서로 가능한 한 근접하게 배치되도록 유전 물질로 충전된다. 예를 들면, 약 0.2 내지 약 1㎝ 사이의 공간을 갖는 테플론 세라믹 물질은 잘 동작한다.
또한, 멀티 턴 안테나는 대체로 구리로 형성된다. 일실시예에 있어서, 멀티 턴 안테나는 은으로 코팅된 구리로 형성된다. 그러나, 멀티 턴 안테나는 은으로 코팅된 구리로 한정되는 것은 아니며 어떠한 적절한 전도성 물질도 사용이 가능함은 물론이다. 일실시예에 있어서, 안테나 루프의 단면은 윈도우 및 각기 다른 루프에 대해 각 루프의 반복 가능한 위치를 용이하게 하도록 직사각형이다. 그러나, 이것으로 제한되는 것은 아니며 다른 단면형상 및 크기를 사용할 수 있음은 물론이다. 또한, 안테나 루프는 온도 제어(즉, 그곳을 지나는 유체의 흐름)를 용이하게 하도록 중공의 도체로 형성될 수도 있다.
멀티 턴 안테나의 전체 크기, 즉 외경에 대해서, 안테나의 크기는 기판 상의 영역에서의 플라즈마의 응집을 유지하고 챔버벽으로의 부적절한 플라즈마의 확산을 막기 위해서 처리 챔버의 단면보다 작은 적이 일반적으로 바람직하며, 플라즈마 처리장치를 작동하는데 보다 많은 전력을 필요로 하며 벽의 부식을 증가시키는 것은 이익적이지 못하다. 또한, 플라즈마의 크기는 일반적으로 사용하는 안테나의 크기에 상응하여 만들어지며, 그로 인해 멀티 턴 안테나는 균일한 에칭속도를 생성하기 위해서 기판의 직경과 실질적으로 유사한 외경을 가져야 한다. 예를 들면, 기판의 크기는 전형적으로 약 6 내지 12인치 사이이며, 그러므로, 일실시예에 있어서, 멀티 턴 안테나는 약 6 내지 약 12인치 사이의 외경을 갖는다.
보다 상세하게는, 증가된 전류용량, 즉 단일 턴과 같이 작용하는 멀티 턴 안테나로 인해, 멀티 턴 안테나는 기판 보다 작아지도록 배열할 수 있다. 다시 말해 서, 전류의 높은 집중도는 기판을 처리하기에 충분히 큰 플라즈마를 생성하기 쉽다. 보다 작은 안테나의 사용은 모든 처리에 대해서 필요로 하는 것은 아님을 이해하여야 한다. 그러나, 높은 균일도가 중요한 경우라면, 보다 작은 안테나의 사용은 상당히 이익적일 것이다. 예를 들면, 안테나의 직경은 12인치 기판의 처리를 위해서, 약 6 내지 약 15인치 사이, 바람직하게는 약 7 내지 약 11인치 사이이다. 그러나, 이것으로 한정되는 것은 아니며 안테나의 실제 크기는 기판의 특수한 크기(가령, 안테나의 크기는 소형 또는 대형 기판이 포함될 때에 필요에 따라서 크기를 정할 수 있음) 및 플라즈마 처리장치의 특수 설계에 따라서 변화시킬 수 있다.
일반적인 지침에서와 같이, 이용하는 RF주파수에 대해서, 낮은 RF주파수(가령, 13㎒ 이하)는 정상파 영향의 감소에 의해서 전력 결합의 전달 라인특성의 영향을 저감시키는 경향이 있다. 즉, 낮은 주파수는 안테나의 본질적인 방위각 대칭 결합특성이 덜 나타나는 경향이 있다. 또한, 낮은 RF주파수에서, 안테나와 플라즈마 간의 용량성 결합이 덜 나타나며, 그러므로 결합 윈도우의 충격은 감소된다. 따라서, RF전원은 일반적으로 약 13㎒와 같거나 그 이하로, 바람직하게는 약 0.4㎒ 내지 약 13㎒, 보다 바람직하게는 약 4㎒가 되도록 배열된다. 낮은 주파수의 사용은 모든 처리에 대해서 필요로 하는 것은 아님을 이해하여야 한다. 그러나, 높은 균일도를 유지하는 것이 중요하다면, 낮은 주파수의 사용은 상당히 이익적일 것이다.
이상에서 알 수 있는 바와 같이, 본 발명의 제 1측면의 이익은 무수히 많다. 다른 실시예 또는 실행은 다음의 하나 이상의 이익을 가질 수 있다. 본 발명의 하나의 이익은 방위각 대칭 플라즈마가 처리 챔버 내에 생성되는 것이다. 결과적으 로, 증대된 처리 균일도가 달성되어, 기판의 생산성을 증가시키고 장치의 페일을 감소시키며, 처리되는 기판의 전체적인 생산성을 증가시킨다. 본 발명의 다른 이익은 본 발명의 안테나 설비가 자체 보호되며, 그로 인해 안테나와 플라즈마 간의 용량성 결합이 감소되는데 있다. 마찬가지로, 결합 윈도우의 충격은 감소되어, 결합 윈도우의 수명이 증대되고, 이온 충격과 관련된 입자의 혼합은 감소된다.
본 발명의 제 2측면에 따르면, 플라즈마 처리장치는 안테나와 플라즈마 간의 용량성 결합을 실질적으로 감소시키기 위한 다층 결합 윈도우 설비를 구비한다. 본 발명의 이러한 측면의 논의를 용이하게 하기 위해, 도 5는 본 발명의 일실시예에 따른 다층 결합 윈도우 설비(500)를 나타낸다. 이 다층 결합 윈도우 설비(500)는 도 2에 도시한 결합 윈도우(212)에 각각 대응할 수 있다. 다층 결합 윈도우(500)는 적어도 제 1층(504)과 제 2층(506)을 구비한다. 제 1층(504)은 제 2층(506)에 접합되는 것이 바람직하다. 일실시예에 있어서, 2개의 층은 서로 가열 접합된다. 그러나, 이것으로 한정되는 것은 아니며 다른 접합 처리가 사용될 수 있음은 물론이다. 또한, 층들 사이에 갭, 즉 층들 사이로 가스의 흐름을 가능케 하는 진공 갭 또는 갭이 배치될 수도 있다. 또한, 제 2층(506)은 처리 챔버의 내주면의 일부를 적절하게 형성한다.
먼저 제 2층을 참조하면, 이 제 2층은 그의 표면 상의 전위차를 감소시키는 정전 보호체로서 작용하도록 배열된다. 또한, 제 2층은 안테나로부터 플라즈마까지의 유도성 RF에너지의 통로를 조장할 수 있는 전도성 또는 반전도성 물질로 전기적으로 격리되고 그로 형성되는 것이 바람직하다. 또한, 제 2층이 처리 챔버 내부의 플라즈마에 노출되어 있으므로, 제 2층은 플라즈마에 실질적으로 견딜 수 있는 재질로 형성되는 것이 바람직하다. 바람직한 실시예에 있어서, 제 2층은 탄화규소(SiC)로 형성된다. 대부분의 경우에, SiC는 플라즈마 처리의 열적, 화학적, 물리적 영향에 견딜 수 있다. 또한, SiC는 전류의 흐름에 약간의 저항을 나타내 보이면서 일반적으로 유전체로 분류된다. 저항율은 어떤 보호 효과를 만들며, 유전성은 어떤 유전 결합을 가능케 한다.
제 2층의 내성은 유전성 전계에 영향을 주지는 않는 한, 이 층이 정전 보호체로서 작용하는 것을 보증하기 위해서 중요한 파라미터이다. 대부분의 경우, 본 발명에서 사용되는 입자의 저항율 범위는 결합 윈도우가 사용되는 안테나의 정확한 크기, 전력 결합의 동작 주파수 및 제 2층의 두께에 따라서 달라진다. 예를 들면, 약 100Ω-㎝ 내지 약 10㏀-㎝의 내성은 잘 동작한다. 그러나, 원하는 경우에 저항율은 제 2층(가령, SiC)이 보다 유전층으로서 작용할 수 있도록 106Ω-㎝보다 커지도록 배열될 수 있다.
이론에 속박되기를 원하지 않는 한, 제 2층의 전기 저항율은 플라즈마를 형성하기 위해 사용한 처리 가스에 대한 등전위 면에 존재한다고 여겨진다. 가령, 플라즈마의 이온화 후에, 제 2층 상의 전위는 플라즈마가 제 2층에 근접되어 있으므로 실질적으로 감소된다. 또한, 용량성 전압 분할기가 일반적으로 형성되는데, 가령, 일정한 커패시턴스를 갖는 제 1유전층에 의해 상부에 형성되고, 이온화 전에 제 2도전층 및 챔버 벽과 이온화 후에 제 2도전층 및 플라즈마 에 의해 하부에 형성된다. 이온화 전에 하부는 작은 커패시턴스를 가지며, 그로 인해 대량의 전압 보 조 점화(가령, 방전, 특히 필요한 용량성 전계를 점화시키기 위해)가 있게 된다. 이온화 후에 하부는 전압이 실질적으로 감소되도록 큰 커패시턴스를 가지며, 그로 인해 이것은 커다란 용량성 전압 결합을 가져오지 않는다.
이제 제 1층을 참조하면, 이 제 1층은 안테나로부터 플라즈마로의 유도성 RF에너지의 흐름을 촉진할 수 있는 유도성 물질로 바람직하게 형성된다. 또한, 제 1층은 진공을 구조적으로 충분히 지탱할 수 있도록 강하게 만들어지고 챔버의 청소기간 동안에 충분히 쉽게 취급할 수 있도록 배열되는 것이 바람직하다. 또한, 제 1층은 일반적으로 윈도우의 온도 제어를 가능케 하기 위해 우수한 열적 특성을 갖는 유전성 물질로 형성된다. 예를 들면, 질화규소(SiN) 또는 질화 알루미늄(AlN)으로 형성된 유전성 물질은 잘 작동한다. 그러나, 이것으로 한정되는 것은 아니며 다른 물질의 사용도 가능함을 이해하여야 한다. 가령, 알루미나 및 석영 역시 잘 작동한다.
다층 결합 윈도우(500)의 전체 두께는 처리 동안에 생성되는 압력과 열에 충분히 견딜 수 있는 한, 안테나 RF에너지를 플라즈마로 효과적으로 전달하기에 충분히 얇아지도록 배열된다. 다층 결합 윈도우의 두께는 약 0.5 내지 1인치 사이가 바람직하다. 보다 바람직하게는, 다층 결합 윈도우의 두께는 약 0.8인치이다. 또, 제 1층(504)은 제 2층(506)보다 큰 두께를 갖는다. 제 1층의 두께는 약 0.5 내지 약 1인치 사이가 바람직하고, 보다 바람직하게는 제 1층의 두께는 약 0.6인치이다. 또한, 제 1층의 두께는 약 0.1 내지 약 0.5인치가 바람직하다. 보다 바람직하게는, 제 2층의 두께는 약 0.2인치이다. 이들 층의 실제 두께는 각 층에 대해 선택한 특 수 물질에 따라 달라질 수 있다.
일실시예에 있어서, 제 2층은 플라즈마의 노출로부터 제 1층을 보호하고, 순수 물질(가령, SiC)이 기판과 접촉되는 플라즈마 영역을 향하도록 하기 위해 제 1층 전체를 커버하도록 배열된다. 그러나, 이것으로 한정되는 것은 아니며 다른 배열도 사용이 가능함은 물론이다. 가령, 제 2층은 안테나 근처에서 플라즈마를 향하는 제 1층의 일부만을 커버하도록 배열될 수도 있다.
결합 윈도우의 크기는 플라즈마 처리 챔버의 크기와 동일할 필요는 없다. 그러나, 일반적으로 작은 결합 윈도우는 특히 SiC와 같은 고가의 물질이 사용될 때는 코스트의 저감을 가져온다. 일실시예에 있어서, 윈도우 결합의 형상은 안테나 배열의 형상과 일치하도록 배열되며, 그로 인해 결합 윈도우는 실질적으로 원형이 되도록 배열된다. 다른 실시예에 있어서, 결합 윈도우의 외부 치수는 안테나를 둘러쌀 수 있는 전기 도전성 소자로의 어떤 결합을 줄이기 위해서, 안테나의 외부 치수를 넘어서 작은 길이로 연장되도록 배열될 수 있다. 일실시예에 있어서, 결합 윈도우의 외부 치수는 안테나의 외경을 넘어 약 1인치 연장되도록 배열된다. 또 다른 실시예에 있어서, 결합 윈도우는 안테나와 실질적으로 동일한 형상, 즉 둥근 테 모양의 형상을 가질 수 있다.
일반적인 지침으로써 채용된 유전적 속성(가령, 유전상수)에 대해서, 낮은 유전상수, 가령 약 10이하는 정상파 영향의 감소에 의해서 전력 결합의 전달 라인특성의 효과를 감소시키는 경향이 있다. 보다 바람직하게는, 낮은 유전상수는 전달되는 에너지의 파장을 보다 길게 하는 경향이 있으며, 안테나를 짧게 만들어 정상 파 패턴에서 보다 적은 노드가 생성된다. 따라서, 낮은 유전상수는 안테나의 어떤 본질적인 방위각상의 대칭적인 결합 특성이 덜 나타나도록 하는 경향이 있다.
일실시예에 있어서, 결합 윈도우의 전체 유전상수는 약 10과 동일하거나 그 이하가 되도록 배열되는 것이 바람직하다. 낮은 유전상수의 사용은 모든 처리에 대해 필요로 하는 것이 아님을 이해하여야 한다. 실제로, 높은 방위각상의 대칭에 대한 요구 및 구조와 열적 설계의 단순화 사이에서의 절충을 특히 필요로 한다. 그러나, 높은 균일도가 중요하다면 낮은 유전상수의 사용이 상당히 이익적일 수 있다.
이상에서 알 수 있는 바와 같이, 본 발명의 제 2측면의 이익은 무수히 많다. 다른 실시예나 실행은 하나 이상의 다음과 같은 이익을 가져올 수 있다. 가령, 본 발명은 안테나와 플라즈마 간의 용량성 결합을 실질적으로 감소시키는 결합 윈도우를 제공한다. 결과적으로서, 플라즈마 내에서의 변화는 실질적으로 감소된다. 예를 들면, 유도 결합계수는 대체로 유지되며, 결합 윈도우의 충격은 전체적으로 감소되어, 플라즈마의 생성에 보다 많은 전력, 즉 주어진 전력에 대해 보다 높은 플라즈마 밀도를 이용할 수 있다. 게다가, 이온 충격이 감소되므로, 이온 충격과 관련된 입자의 혼합은 전체적으로 감소되며, 그로 인해 가변 두께 윈도우(즉, 증착 또는 제거된 물질)와 관련된 처리 변화가 감소된다. 또한, 본 발명은 처리 변화를 줄일 수 있는 순수한 물질, 즉 SiC를 이용한다.
또한, 플라즈마 처리장치에서 기판의 균일한 처리를 강화하기 위하여, 상술한 멀티 턴 안테나 및 다층 결합 윈도우를 따라서 부가적인 요소를 채용할 수 있음을 고려할 수 있다. 예를 들면, 결합 윈도우와 멀티 턴 안테나에 근접한 영역의 처 리 챔버 내에서 정적인 자계의 반경방향 변화를 제어하기 위해 멀티 턴 안테나에 자석설비를 배열시킬 수 있다. 그러한 자석설비의 예는 동일자로 출원되고 참조로 여기에 인용되는 "개선된 플라즈마 처리시스템 및 그 방법"(대리인 문서번호:LAM1P0122/P0527)이란 명칭으로 공동 계류중인 특허 출원서에서 찾을 수 있다.
본 발명을 여러 바람직한 실시예에 의해 설명하였지만, 본 발명의 범주 내에 속하는 변경, 치환 및 동등물이 존재한다. 또한, 본 발명의 방법 및 장치를 시시하는 많은 대안적인 방법이 존재함을 알아야 한다. 따라서, 첨부된 다음의 청구범위는 본 발명의 진정한 사상 및 범위 내에 속하는 그러한 변경, 치환 및 동등물을 포함하는 것으로 해석된다.

Claims (30)

  1. 플라즈마로 기판을 처리하기 위한 플라즈마 처리장치로서,
    제 1RF 주파수를 갖는 제 1RF 전원;
    처리 챔버;
    상기 제 1RF 전원에 작동적으로 결합되고, 상기 기판이 처리를 위해 상기 처리 챔버 내에 배치될 때에 상기 기판에 의해 정의되는 평면 위에 배치되는 원형인 안테나; 및
    상기 안테나와 상기 처리 챔버 간에 배치된 결합 윈도우를 포함하며,
    상기 원형인 안테나는 상기 제 1RF 전원에 의해 생성된 제 1RF 에너지로 상기 처리 챔버의 내부에 전계를 유도하도록 구성되고,
    상기 원형인 안테나는 적어도 제 1 평면에 제 1 동심 루프쌍 및 제 2 평면에 제 2 동심 루프쌍을 포함하며, 상기 제 1 동심 루프쌍 및 상기 제 2 동심 루프쌍은 서로 동일하고 대칭적으로 정렬되며,
    상기 원형인 안테나는 상기 처리 챔버 내부에 방위각 대칭 플라즈마를 형성하며,
    상기 결합 윈도우는 상기 안테나로부터 상기 처리 챔버 내부로 상기 제 1RF 에너지의 통과를 허용하도록 구성되고,
    상기 결합 윈도우는 제 1층 및 제 2층을 가지고, 상기 제 2층은 상기 원형인 안테나와 상기 플라즈마 간에 형성되는 용량성 결합을 억제하도록 구성되며,
    상기 원형인 안테나와 상기 결합 윈도우는 상기 기판의 표면에 걸쳐서 균일한 처리 속도를 생성하도록 함께 작용하는, 플라즈마 처리장치.
  2. 제 1항에 있어서,
    상기 제 1동심 루프쌍은 상기 제 2동심 루프쌍에 근접하는, 플라즈마 처리장치.
  3. 제 1항에 있어서,
    상기 제 1동심 루프쌍은 상기 제 2동심 루프쌍의 상부에 적층되는, 플라즈마 처리장치.
  4. 제 3항에 있어서,
    상기 제 2동심 루프쌍은 상기 제 1동심 루프쌍에 의해 생성된 터미널 전압을 보호하는, 플라즈마 처리장치.
  5. 제 1항에 있어서,
    상기 제 1동심 루프쌍은 제 1턴 및 제 4 턴을 갖고, 상기 제 2동심 루프쌍은 제 2턴 및 제 3턴을 가지며, 상기 제 4턴은 상기 제 1턴보다 큰 직경을 갖고 상기 제 3턴은 상기 제 2턴보다 큰 직경을 가지며, 상기 제 1턴은 상기 제 2턴과 동일하고 상기 제 3턴은 상기 제 4턴과 동일하며, 상기 제 1턴은 상기 제 2턴 위에 배치되고 상기 제 4턴은 상기 제 3턴 위에 배치되며, 상기 제 1턴은 상기 제 2턴에 작동적으로 결합되고, 상기 제 2턴은 상기 제 3턴에 작동적으로 결합되며, 상기 제 3턴은 상기 제 4턴에 작동적으로 결합되고, 상기 각각의 턴은 상기 각각의 턴에서의 전류의 흐름이 동일한 방향이 되도록 배열되는, 플라즈마 처리장치.
  6. 제 5항에 있어서,
    상기 제 1턴은 상기 제 4턴에 근접하고 상기 제 2턴은 상기 제 3턴에 근접하며, 상기 제 4턴에 대한 상기 제 1턴의 근접 및 상기 제 3턴에 대한 상기 제 2턴의 근접은 상기 원형인 안테나에서의 반경방향 변화를 감소시키는, 플라즈마 처리장치.
  7. 제 1항에 있어서,
    상기 원형인 안테나는 상기 기판이 상기 처리 챔버 내부에 배치될 때에 상기 처리 챔버 및 상기 기판의 중심에 대해 대칭으로 정렬되고, 상기 원형인 안테나는 상기 기판이 상기 처리 챔버 내부에 배치될 때에 상기 기판 위에 배치되는, 플라즈마 처리장치.
  8. 제 1항에 있어서,
    상기 원형인 안테나는 상기 결합 윈도우에 근접하게 배치되는, 플라즈마 처리장치.
  9. 제 1항에 있어서,
    결합 윈도우의 상기 제 1층 및 상기 제 2층은 서로 접합되는, 플라즈마 처리장치.
  10. 제 1항에 있어서,
    상기 제 2층은 상기 처리 챔버의 내주면의 일부를 형성하는, 플라즈마 처리장치.
  11. 제 1항에 있어서,
    상기 제 1층은 유전성 물질로 형성되는, 플라즈마 처리장치.
  12. 제 11항에 있어서,
    상기 제 1층은 본질적으로 질화규소나 질화알루미늄으로 구성되는 그룹으로부터 선택되는 물질로 형성되는, 플라즈마 처리장치.
  13. 제 1항에 있어서,
    상기 제 2층은 도전성 물질로 형성되는, 플라즈마 처리장치.
  14. 제 13항에 있어서,
    상기 제 2층은 100Ω-㎝ 내지 10,000Ω-㎝의 저항율을 갖는 SiC로 형성되는, 플라즈마 처리장치.
  15. 제 1항에 있어서,
    상기 제 2층은 상기 처리 동안에 상기 처리 챔버 내에 존재하는 상기 플라즈마에 대해 충분히 견딜 수 있는 물질로 형성되는, 플라즈마 처리장치.
  16. 제 15항에 있어서,
    상기 제 2층은 SiC로 형성되는, 플라즈마 처리장치.
  17. 제 1항에 있어서,
    상기 제 2층은 전기적으로 유동할 수 있도록 구성되는, 플라즈마 처리장치.
  18. 제 1항에 있어서,
    상기 제 1RF 주파수는 4㎒로 형성되는, 플라즈마 처리장치.
  19. 제 1항에 있어서,
    상기 처리 챔버는 원통형 플라즈마 처리 챔버인, 플라즈마 처리장치.
  20. 제 1RF 전원에 작동적으로 결합되고, 기판이 처리를 위해 처리 챔버 내에 배치될 때에 상기 기판에 의해 정의되는 평면 위에 배치되며, 상기 처리 챔버 내부에서 상기 기판을 처리하기 위한 원형인 안테나 설비로서,
    제 1평면에 제 1동심 루프쌍; 및
    제 2평면에 제 2동심 루프쌍을 포함하고,
    상기 제 2동심 루프쌍은 상기 제 1동심 루프쌍에 작동적으로 결합되고, 상기 제 1동심 루프쌍과 동일하고 대칭적으로 정렬되며, 상기 제 1동심 루프쌍에 근접하고,
    상기 제 1동심 루프쌍은 상기 제 2동심 루프쌍 위에 배치되며,
    상기 원형인 안테나 설비는 상기 제 1RF 전원에 의해 생성된 제 1RF 에너지로 처리 챔버 내부에 방위각 대칭 전계를 형성하고, 상기 방위각 대칭 전계는 방위각 대칭 플라즈마를 형성하여, 상기 기판의 표면에 걸쳐서 균일한 처리속도를 생성하는, 안테나 설비.
  21. 제 20항에 있어서,
    상기 제 1RF 전원은 4㎒ 의 주파수로 형성되는, 안테나 설비.
  22. 처리 챔버 내부에서 플라즈마로 기판을 처리하기 위한 결합 윈도우 설비로서, 상기 결합 윈도우는 안테나와 처리 챔버 간에 배치되고, 상기 안테나는 RF 에너지를 생성하도록 구성되며, 상기 생성은 상기 안테나와 상기 플라즈마 간에 용량성 결합을 형성하는, 상기 결합 윈도우 설비로서,
    유전성 물질로 형성되는 제 1층; 및
    상기 제 1층에 접합되는 제 2층을 포함하고,
    상기 제 2층은 상기 처리 동안에 상기 처리 챔버 내에 존재하는 상기 플라즈마에 충분히 견디는 물질로 형성되고, 상기 처리 챔버의 내주면의 일부를 형성하며,
    상기 제 1층과 상기 제 2층은 상기 안테나로부터 상기 처리 챔버 내부로의 상기 RF에너지의 통과를 허용하도록 구성되는, 결합 윈도우 설비.
  23. 제 22항에 있어서,
    상기 제 2층은 상기 생성 동안에 상기 안테나와 상기 플라즈마 간에 형성된 상기 용량성 결합을 억제하도록 구성되는, 결합 윈도우 설비.
  24. 제 23항에 있어서,
    상기 제 2층은 도전성 물질로 형성되는, 결합 윈도우 설비.
  25. 제 24항에 있어서,
    상기 제 2층은 100Ω-㎝ 내지 10,000Ω-㎝의 저항율을 갖는 SiC로 형성되는, 결합 윈도우 설비.
  26. 제 22항에 있어서,
    상기 제 2층은 유전성 물질로 형성되는, 결합 윈도우 설비.
  27. 제 26항에 있어서,
    상기 제 2층은 106Ω-㎝보다 더 큰 저항율을 갖는 SiC로 형성되는, 결합 윈도우 설비.
  28. 제 22항에 있어서,
    상기 제 1층은 본질적으로 질화규소나 질화알루미늄으로 구성되는 그룹으로부터 선택되는 물질로 형성되는, 결합 윈도우 설비.
  29. 제 22항에 있어서,
    상기 제 2층은 SiC로 형성되는, 결합 윈도우 설비.
  30. 제 22항에 있어서,
    상기 제 2층은 전기적으로 유동할 수 있도록 구성되는, 결합 윈도우 설비.
KR1020027006166A 1999-11-15 2000-11-14 균일 처리속도 생성방법 및 장치 KR100826488B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/440,418 1999-11-15
US09/440,418 US6320320B1 (en) 1999-11-15 1999-11-15 Method and apparatus for producing uniform process rates

Publications (2)

Publication Number Publication Date
KR20020068346A KR20020068346A (ko) 2002-08-27
KR100826488B1 true KR100826488B1 (ko) 2008-05-02

Family

ID=23748690

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027006166A KR100826488B1 (ko) 1999-11-15 2000-11-14 균일 처리속도 생성방법 및 장치

Country Status (8)

Country Link
US (2) US6320320B1 (ko)
EP (1) EP1230668B1 (ko)
JP (2) JP4758046B2 (ko)
KR (1) KR100826488B1 (ko)
CN (1) CN1227710C (ko)
AU (1) AU4902801A (ko)
TW (1) TW507253B (ko)
WO (1) WO2001045134A2 (ko)

Families Citing this family (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6518705B2 (en) * 1999-11-15 2003-02-11 Lam Research Corporation Method and apparatus for producing uniform process rates
KR100751740B1 (ko) * 1999-11-15 2007-08-24 램 리써치 코포레이션 공정 시스템들을 위한 재료들과 기체 화학성분들
US6744213B2 (en) * 1999-11-15 2004-06-01 Lam Research Corporation Antenna for producing uniform process rates
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) * 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US20020137565A1 (en) * 2001-03-09 2002-09-26 Blanco Victor K. Uniform media portal for a gaming system
US20020128068A1 (en) 2001-03-09 2002-09-12 Randall Whitten Jon Marcus Method and apparatus for managing data in a gaming system
US7218739B2 (en) * 2001-03-09 2007-05-15 Microsoft Corporation Multiple user authentication for online console-based gaming
US20020128061A1 (en) * 2001-03-09 2002-09-12 Blanco Victor Keith Method and apparatus for restricting access to content in a gaming system
US20020128067A1 (en) * 2001-03-09 2002-09-12 Victor Keith Blanco Method and apparatus for creating and playing soundtracks in a gaming system
US6527912B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US20020170677A1 (en) * 2001-04-07 2002-11-21 Tucker Steven D. RF power process apparatus and methods
US7203835B2 (en) * 2001-11-13 2007-04-10 Microsoft Corporation Architecture for manufacturing authenticatable gaming systems
US7428638B1 (en) 2001-11-13 2008-09-23 Microsoft Corporation Architecture for manufacturing authenticatable gaming systems
JP3823069B2 (ja) * 2002-06-12 2006-09-20 株式会社アルバック 磁気中性線放電プラズマ処理装置
US6842147B2 (en) * 2002-07-22 2005-01-11 Lam Research Corporation Method and apparatus for producing uniform processing rates
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
KR100964398B1 (ko) * 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US6973554B2 (en) 2003-04-23 2005-12-06 Microsoft Corporation Systems and methods for multiprocessor scalable write barrier
KR100530596B1 (ko) * 2004-03-30 2005-11-23 어댑티브프라즈마테크놀로지 주식회사 웨이퍼 상에 높은 공정 균일도를 얻기 위한 플라즈마 소스코일을 채용하는 플라즈마 장비
SG136148A1 (en) * 2004-03-30 2007-10-29 Adaptive Plasma Tech Corp Plasma source coil and plasma chamber using the same
US8131955B2 (en) 2004-04-15 2012-03-06 Microsoft Corporation Ephemeral garbage collection using a tracking mechanism on a card table to determine marked bundles
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
JP2006237479A (ja) * 2005-02-28 2006-09-07 Mitsubishi Heavy Ind Ltd プラズマ処理装置
US8956500B2 (en) * 2007-04-24 2015-02-17 Applied Materials, Inc. Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
JP2010050188A (ja) * 2008-08-20 2010-03-04 Panasonic Corp プラズマドーピング装置
US8319436B2 (en) * 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
US8608903B2 (en) 2009-10-27 2013-12-17 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5554047B2 (ja) * 2009-10-27 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置
KR101757922B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP5592098B2 (ja) 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN102056395B (zh) 2009-10-27 2014-05-07 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8884178B2 (en) * 2010-10-20 2014-11-11 Lam Research Corporation Methods and apparatus for igniting and sustaining plasma
US8659229B2 (en) * 2011-05-16 2014-02-25 Varian Semiconductor Equipment Associates, Inc. Plasma attenuation for uniformity control
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9282624B2 (en) 2011-09-16 2016-03-08 Semes Co., Ltd. Antenna structure and plasma generating device
US20140060738A1 (en) * 2012-08-31 2014-03-06 Semes Co., Ltd. Apparatus for treating substrate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11615943B2 (en) 2017-07-07 2023-03-28 Advanced Energy Industries, Inc. Inter-period control for passive power distribution of multiple electrode inductive plasma source
US11651939B2 (en) 2017-07-07 2023-05-16 Advanced Energy Industries, Inc. Inter-period control system for plasma power delivery system and method of operating same
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN109801824B (zh) * 2017-11-15 2022-07-22 北京北方华创微电子装备有限公司 介质窗组件及反应腔室
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7134104B2 (ja) * 2019-01-09 2022-09-09 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220094272A (ko) * 2020-12-28 2022-07-06 세메스 주식회사 기판 처리 장치
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240038557A1 (en) * 2022-07-28 2024-02-01 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5897713A (en) 1995-09-18 1999-04-27 Kabushiki Kaisha Toshiba Plasma generating apparatus

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5430355A (en) * 1993-07-30 1995-07-04 Texas Instruments Incorporated RF induction plasma source for plasma processing
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3153743B2 (ja) 1995-08-31 2001-04-09 東京エレクトロン株式会社 プラズマ処理装置
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6093660A (en) * 1996-03-18 2000-07-25 Hyundai Electronics Industries Co., Ltd. Inductively coupled plasma chemical vapor deposition technology
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
CA2207154A1 (en) 1996-06-10 1997-12-10 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
JP2845199B2 (ja) * 1996-06-14 1999-01-13 日本電気株式会社 ドライエッチング装置およびドライエッチング方法
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JP3940465B2 (ja) * 1997-05-14 2007-07-04 株式会社アルバック 反応性イオンエッチング装置
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US5910221A (en) * 1997-06-18 1999-06-08 Applied Materials, Inc. Bonded silicon carbide parts in a plasma reactor
US6028395A (en) 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6229264B1 (en) * 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5897713A (en) 1995-09-18 1999-04-27 Kabushiki Kaisha Toshiba Plasma generating apparatus

Also Published As

Publication number Publication date
US6653791B1 (en) 2003-11-25
AU4902801A (en) 2001-06-25
CN1423827A (zh) 2003-06-11
WO2001045134A2 (en) 2001-06-21
JP2003517197A (ja) 2003-05-20
EP1230668A2 (en) 2002-08-14
JP2011175977A (ja) 2011-09-08
TW507253B (en) 2002-10-21
EP1230668B1 (en) 2012-11-07
JP4758046B2 (ja) 2011-08-24
CN1227710C (zh) 2005-11-16
JP5309179B2 (ja) 2013-10-09
US6320320B1 (en) 2001-11-20
WO2001045134A3 (en) 2002-02-07
WO2001045134A9 (en) 2002-11-14
KR20020068346A (ko) 2002-08-27

Similar Documents

Publication Publication Date Title
KR100826488B1 (ko) 균일 처리속도 생성방법 및 장치
JP4869059B2 (ja) アンテナ、プラズマ処理装置および基板の処理方法
JP4378169B2 (ja) プロセスチャンバ内に電界を発生するアンテナ及びプラズマ処理装置
US6623596B1 (en) Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US20020092618A1 (en) Parallel-plate electrode plasma reactor having an inductive antenna coupling power through a parallel plate electrode
JP5410950B2 (ja) プラズマ処理装置
US6439154B2 (en) Plasma processing apparatus for semiconductors
JP5155235B2 (ja) プラズマ処理装置およびプラズマ生成装置
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP3854909B2 (ja) プラズマ処理装置
JP5705290B2 (ja) プラズマ処理装置
IL159935A (en) Method and apparatus for producing uniform process rates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130409

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140408

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160411

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170412

Year of fee payment: 10